diff --git a/i2c_keyboard/.sconsign.dblite b/i2c_keyboard/.sconsign.dblite index 62a7239..95aa057 100644 Binary files a/i2c_keyboard/.sconsign.dblite and b/i2c_keyboard/.sconsign.dblite differ diff --git a/i2c_keyboard/Makefile b/i2c_keyboard/Makefile index f8e2d8c..87dbfaf 100644 --- a/i2c_keyboard/Makefile +++ b/i2c_keyboard/Makefile @@ -17,3 +17,5 @@ nextpnr: top.v inouts.pcf clean: rm -f i2c_kbd_alt.blif i2c_kbd_alt.asc i2c_kbd_alt.ex i2c_kbd_alt.bin i2_kbd_alt.json + +#icetime -tmd hx1k i2c_kbd_alt.asc diff --git a/i2c_keyboard/README b/i2c_keyboard/README index fbadc9d..e0e7505 100644 --- a/i2c_keyboard/README +++ b/i2c_keyboard/README @@ -16,3 +16,10 @@ Need to install (sudo apt-get update and sudo apt-get install): qtcreator libqt5serialport5 libqt5serialport5-dev + +Used tools (place&route): +1. Apio, version 0.4.0 +2. Arachne-pnr 0.1+325+0 (git sha1 840bdfd, g++ 5.4.0-6ubuntu1~16.04.10 -O2) +3. nextpnr-ice40 -- Next Generation Place and Route (git sha1 8bda861) + +In some commits will be information about occupied LCs (with using each place&route tool). diff --git a/i2c_keyboard/descriptors.v b/i2c_keyboard/descriptors.v index 4d33cf0..9506aa4 100644 --- a/i2c_keyboard/descriptors.v +++ b/i2c_keyboard/descriptors.v @@ -1,27 +1,31 @@ -module descriptors (input CLK, input RESET, input RD_REQUEST, input [1:0] DESC_TYPE, input [7:0] ADR, output /*reg*/ [7:0] VAL/*, input [63:0] kbd_report*/); +module descriptors (input CLK, /*input RESET, input RD_REQUEST,*/ input /*[1:0]*/ DESC_TYPE, input [6:0] ADR, output /*reg*/ [7:0] VAL/*, input [63:0] kbd_report*/); parameter HID_REPORT_DESC_LEN = 63; +wire [7:0] RAM_ADR; + +assign RAM_ADR[6:0] = ADR[6:0]; +assign RAM_ADR[7] = DESC_TYPE; //reg [(8*30-1):0] i2c_hid_desc;// = 'h_1E_00__00_01__46_00__02_00__03_00__0A_00__04_00__03_00__05_00__06_00__9F_04__01_01__00_01__00_00_00_00; //reg [(8*HID_REPORT_DESC_LEN-1):0] hid_report_desc; -parameter READ_ADRESS_OFFSET = 2; +//parameter READ_ADRESS_OFFSET = 2; -reg last_rd_request = 0; -reg tx_flag = 0; // AT POSEDGE OF RD_REQUEST DATA FROM RAM MOVES TO RAM_RD_REG, AT NEXT CLK DATA MUST BE WRITE TO VAL -reg [7:0] real_adress; +//reg last_rd_request = 0; +//reg tx_flag = 0; // AT POSEDGE OF RD_REQUEST DATA FROM RAM MOVES TO RAM_RD_REG, AT NEXT CLK DATA MUST BE WRITE TO VAL +//reg [6:0] real_adress; //reg [7:0] ram_rd_t1; //reg [7:0] ram_rd_t2; -always @ (posedge CLK) begin - if (RESET == 0) begin +//always @ (posedge CLK) begin + //if (RESET == 0) begin //i2c_hid_desc <= 'h_1E_00__00_01__50_00__02_00__03_00__0A_00__04_00__03_00__05_00__06_00__9F_04__01_01__00_01__00_00_00_00; //hid_report_desc <= 'h__05_01__09_06__A1_01__05_07__85_01___19_E0__29_E7__15_00__25_01__75_01__95_08__81_02___95_01__75_08__81_01___95_05__75_01__05_05__85_01__19_01__29_05__91_02___95_01__75_03__91_03___95_06__75_08__15_00__25_65__05_07__19_00__29_65__81_00__C0; //i2c_hid_desc [207:200] <= HID_REPORT_DESC_LEN[7:0]; //i2c_hid_desc [199:192] <= HID_REPORT_DESC_LEN[15:8]; - last_rd_request <= 0; - real_adress = 0; - end - else begin + // last_rd_request <= 0; + // real_adress = 0; + //end +// else begin /*if (tx_flag == 1) begin // NEXT CLK AFTER POSEDGE REQUEST if (DESC_TYPE == 1) VAL <= ram_rd_t1; @@ -29,13 +33,13 @@ always @ (posedge CLK) begin VAL <= ram_rd_t2; tx_flag = 0;*/ //end - if ((last_rd_request == 0) && (RD_REQUEST == 1)) begin - if (DESC_TYPE == 1) - real_adress = ADR; - else - real_adress = ADR + 32; + // if ((last_rd_request == 0) && (RD_REQUEST == 1)) begin + // if (DESC_TYPE == 1) + // real_adress = ADR; + // else + // real_adress = ADR + 32; //if (DESC_TYPE == 1) begin - tx_flag = 1; // WAIT NEXT CLK + // tx_flag = 1; // WAIT NEXT CLK /* case (ADR) 2: VAL <= 8'h1E; 3: VAL <= 0; // 2-3 - DESCR LEN (30), 4: VAL <= 0; 5: VAL <= 1; // 4-5 - bcdVersion 6: VAL <= HID_REPORT_DESC_LEN[7:0]; 7: VAL <= HID_REPORT_DESC_LEN[15:8]; @@ -119,10 +123,10 @@ always @ (posedge CLK) begin else VAL <= kbd_report[ (8 * (10 - READ_ADRESS + READ_ADRESS_OFFSET - 1) + 7) : (8 * (10 - READ_ADRESS + READ_ADRESS_OFFSET - 1) + 0) ]; end*/ - end - last_rd_request <= RD_REQUEST; - end -end +// end +// last_rd_request <= RD_REQUEST; +// end +//end /* 2: VAL <= 8'h1E; 3: VAL <= 0; // 2-3 - DESCR LEN (30), 4: VAL <= 0; 5: VAL <= 1; // 4-5 - bcdVersion @@ -141,18 +145,20 @@ end */ SB_RAM40_4K #( - .INIT_0(256'h0000_0004__0000_000A__0000_0003__0000_0002__0000_003F__0001_0000__0000_001E___0000_0000), - .INIT_1(256'h0000_0000__0000_0000__0001_0000__0001_0001__0004_009F__0000_0006__0000_0005___0000_0003), - .INIT_2(256'h0000_0015__00E7_0029__00E0_0019__0007_0005__0001_00A1__0006_0009__0001_0005___0000_0000), - .INIT_3(256'h0005_0095__0001_0081__0008_0075__0001_0095__0002_0081__0008_0095__0001_0075___0001_0025), - .INIT_4(256'h0003_0091__0003_0075__0001_0095__0002_0091__0005_0029__0001_0019__0008_0005___0001_0075), - .INIT_5(256'h0000_0081__0065_0029__0000_0019__0007_0005__0065_0025__0000_0015__0008_0075___0006_0095), - .INIT_6(256'h0000_0000__0000_0000__0000_0000__0000_0000__0000_0000__0000_0000__0000_0000___0000_00C0), + /*.INIT_0(256'h0000_0004__0000_000A__0000_0003__0000_0002__0000_003F__0001_0000__0000_001E___0000_0000), + .INIT_1(256'h0000_0000__0000_0000__0001_0000__0001_0001__0004_009F__0000_0006__0000_0005___0000_0003),*/ + .INIT_0(256'h0003__0000_0004__0000_000A__0000_0003__0000_0002__0000_003F__0001_0000__0000_001E___0000), + .INIT_1(256'h0000__0000_0000__0000_0000__0001_0000__0001_0001__0004_009F__0000_0006__0000_0005___0000), + .INIT_8(256'h0025__0000_0015__00E7_0029__00E0_0019__0007_0005__0001_00A1__0006_0009__0001_0005___0000), + .INIT_9(256'h0075__0005_0095__0001_0081__0008_0075__0001_0095__0002_0081__0008_0095__0001_0075___0001), + .INIT_A(256'h0095__0003_0091__0003_0075__0001_0095__0002_0091__0005_0029__0001_0019__0008_0005___0001), + .INIT_B(256'h00C0__0000_0081__0065_0029__0000_0019__0007_0005__0065_0025__0000_0015__0008_0075___0006), + .INIT_C(256'h0000__0000_0000__0000_0000__0000_0000__0000_0000__0000_0000__0000_0000__0000_0000___0000), .WRITE_MODE(1), .READ_MODE(1) ) descriptors ( .RDATA(VAL), - .RADDR(real_adress), + .RADDR(RAM_ADR/*real_adress*/), .RCLK(CLK), .RCLKE(1'b1), .RE(1'b1), diff --git a/i2c_keyboard/hardware.asc b/i2c_keyboard/hardware.asc index 8322db0..a5efd7c 100644 --- a/i2c_keyboard/hardware.asc +++ b/i2c_keyboard/hardware.asc @@ -1,11 +1,11 @@ .comment arachne-pnr 0.1+ (git sha1 40e220b, g++ 4.8.4-2ubuntu1~14.04.3 -O2) .device 1k .io_tile 1 0 -000010000000000010 -000101010000000000 +000011010000000010 +000101011000000000 000000000000000000 000000000000000001 -000001011010000001 +000000000000000001 000000000001000000 001100000000000000 000000000000000000 @@ -18,12 +18,12 @@ 000000000000000001 000000000000000000 .io_tile 2 0 -000001111000000010 -000000001000000000 +000000000000000010 +000000000000000000 000000000000000000 000000000000000001 -000000000000000001 -000000000001000000 +000000111010000001 +000000001001000000 001100000000000000 000000000000000000 000000000000000000 @@ -35,7 +35,7 @@ 000000000000000000 000000000000000000 .io_tile 3 0 -000000000001100000 +000000000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -47,17 +47,17 @@ 000100000000000000 000000000000000000 000000000000000000 -000000000000010000 +000000000000000000 000000000000000000 000000000000000000 000000000000000000 .io_tile 4 0 000000000000000000 000100000000000000 -000000000000000000 000000000000000001 -000000000000000000 000000000000000001 +000000000000000000 +000000000000000000 001000000000000000 000000000000000000 000000000000000000 @@ -69,16 +69,16 @@ 000000000000000000 000000000000000000 .io_tile 5 0 -000000000000000000 +100000000000000000 000100000000000000 -000000000000010000 +000000000000100000 000000000000000001 000000000000000000 000000000000000000 001000000000000000 -000000000001100000 000000000000000000 000000000000000000 +010000000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -86,33 +86,33 @@ 000000000000000000 000000000000000000 .io_tile 6 0 -000000000000010000 +000000110000000000 000100000000000000 000000000000000000 000000000000000000 000000000000000100 -000000000000001100 -001000000000000000 000000000000000000 +001000000000011000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 -000010000000000000 -000010010000000000 000000000000000000 000000000000000000 -.io_tile 7 0 -000000011000000000 -000100001000000000 +000000000001100000 000000000000000000 000000000000000000 -000000000000000100 +.io_tile 7 0 +000000000000000000 +000100000000000000 000000000000000000 000000000000000000 +000000000000000100 +000000000000001000 000000000000000000 000000000000000000 -000100000000000000 +000010000000000000 +000110010000000000 000000000000000000 000000000000000000 000000000000000000 @@ -130,7 +130,7 @@ 000000000000000000 000000000000000000 000100000000000000 -000000000000000000 +010000000000000000 000000000000000000 000000000000000000 000000000000000001 @@ -146,7 +146,7 @@ 001000000000000000 000000000000000000 000000000000000000 -000000000000000000 +100000000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -161,7 +161,7 @@ 000000000000000000 000000000000000000 000100000000000000 -000000000000000000 +000000000000010000 000000000000000000 000100000000000000 000000000000000000 @@ -222,167 +222,167 @@ 000000000000000000 000000000000000000 .logic_tile 1 1 -000000000000000001100110000000000000000000000000000000 +100000000000000000000000001000000000000000000100000000 +000000000000000000000000000011000000000010000000000000 +111000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -111000000000000000000000000101000001000001010000000000 -000000000000000000000000001001101101000010010000000000 -110000000000000000000010100000000000000000000000000000 -100000000000000000000100000000000000000000000000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000000001000000000010000000000000 -000000000000001000000000000000000000000010000100000000 -000000000000000101000000000011000000000000000000000000 -000000000000000000000111000000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 +010000000000000011000000000000000000000000000101000000 +100000000000000000000000000101000000000010000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000001111000000000010000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000000000110100000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 .logic_tile 2 1 -000000000000000101100000000111011010010011110010000001 -000000000000000011000000000001001100000001110000000000 -111000000000001000000000000011000000000011000000000000 -000000000000000001000000000101000000000000000000000000 -110000000000000001100000000000000000000000000000000000 -100000000000000011000000000000000000000000000000000000 -000000000000001000000000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 -000000000000000001100000000011100000001100110000000000 -000000000000001011000000000000000000110011000000000000 -000000000000000101100000000001100000000001000100000000 -000000000000001001100000000011000000000011001000000000 -000000000000000000000000000001000000000001000100000000 -000000000000011001010000000101000000000011001000000000 -110010000000000000000000000000000000000000000100000000 -010001000000000000000000000011000000000010001000000000 +000000000000001011000000000001100000000000001000000000 +000000000000000101000011110000000000000000000000001000 +000000000001010011000000000000000000000000001000000000 +000000000000100000000000000000001011000000000000000000 +000000000000000000000011010000001000001100111001000000 +000000000000000000000010100000001011110011000000000000 +000000000000001000000000000000001001001100111010000000 +000000000000000101000000000000001010110011000000000000 +000000000000000000000000000000001000001100111000100000 +000000000000000000000000000000001000110011000000000000 +000000000000000000000010000000001001001100111000100010 +000000000000000000000000000000001101110011000000000000 +000000000000000000000000000000001000001100111000100010 +000000000000000000000000000000001001110011000000000010 +000000000000000000000000000000001000001100111001100000 +000000000000000000000000000000001000110011000000000000 .ramb_tile 3 1 -000000000000000000000000000000000000110000 -000000001000000000000000000000000000000011 -000000000000000000000000000000000000010001 -000000000000000000000000000000000000000000 -110000000000000000000000000000000000000001 -010000000000000000000000000000000000010000 -001000000000000000000000000000000000010001 -000000000000000000000000000000000000000100 -000000000000000000000000010000000000000010 -000000000000000000000011000000000000011000 -000000000000000000000000000000000000000001 -000000000000000000000000000000000000100000 -000000000000000000000000000000000000001100 -000010000000000000000000000000000000001001 -000000000000000000000000000000000000001001 -000000000000000000000000000000000000000100 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000 .logic_tile 4 1 -000000000000001001100110000011000000000000100000000000 -000000000000000001000010010011001101000000000000100000 -111000000000001111110000001001011101000100000000000000 -000000000100001111100000000111101010001100000000000000 -010000000000000101000011100001000001000000000001000000 -110000000000000101100100000101001010000000010000100000 -000000000000001001100110101001000000000001000000000010 -000000000000001101000100001111000000000000000000000000 -000000000000001111000111110001101010000110100000000000 -000000000000000011100011010111001111001111110000000000 -000000000000011000010000000101100000000010000000000000 -000000001110100001000000000111101001000000000000000000 -000000000000001101100111101000000000000000000100000001 -000000000000000101000100000001000000000010000000000010 -010000000000001000000000010000000000000000000100000100 -100000000000000101000010101011000000000010000000000100 +000000000000000000000011001101011110000010000000000000 +000000000000001011000110100001111000000000000001000000 +000000000000000000000000000000000000000000000000000000 +000000000000001011000000000000000000000000000000000000 +000000000000001000000000010000000001011010010000000000 +000000000000001111000010000000001110100101100000000000 +000000000000000000000000000111100000000000000001000000 +000100000000000000000000001001000000000011000000000001 +000000000000000000000110100000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000000000000000000000000000001000000000001010000100000 +000000000000000000000000000101101101000010010000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000101100000010000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 .logic_tile 5 1 -000010101010001011100110001101000000000001000000000000 -000001000000000011100011000101100000000000000000000000 -111000000000000111000110001101000001000000010000000010 -000001000000000101100010101101001110000000000000100000 -010000000110000001000000000000000000000000000000000000 -010100000000000000100010110000000000000000000000000000 -000000000000000001100000001001100000000001010000000000 -000000000110100000000010011001001000000010010000100000 -000000001100010000000000001001100000000001010100000000 -000000000000100000000000000011001000000010010000000000 -000001000000001001100000011001100000000001010100000000 -000000100000000001000010000011101000000010010000000000 -000001100010000000000000011111000000000001010100000000 -000011000000000000000010011011101000000010010000000000 -000000000001000000000000000000000000000000000100000000 -000000001110001001000000001001000000000010000000000000 +100000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000011000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000011010000000000000000000000000000 +100000000000000000000110100000000000000000000000000000 +000000000000000000000000001000000000000000000101000000 +000000000000000000000000000001000000000010000000100000 +000000000000000000000000000000000000000000000100100000 +000000000000000000000000001101000000000010000000000000 +000000000000000000000000000000000000000000000100100000 +000000000000000000000000001101000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000001010000000000000000000000000000000000000000 +000100000000100000000000000000000000000000000000000000 .logic_tile 6 1 -000000000000001011000110101111111001110011000000000000 -000000000000000001100100001101011111000000000000000000 -111000000101011001000011000011111110110011000000000000 -000000000000100111000010010001101001000000000000000000 -010000000000000001100010011111111011110011000000000000 -110000000000000000000010000101111001000000000000000000 -000000000000010011000011010001001011100000000000000000 -000000000000101101100110001101001010000000000000000100 -110000001110000000000000000001100000000001000000000000 -110000000000000000000000000111000000000000000000000000 -000000000000000000000111101000000000000000000100000100 -000001000000000000000110001011000000000010000001000000 -000000000000000001000000001000000000000000000100000011 -000000000000000000100000001001000000000010000000000000 -010000000000001101100110011000000000000000000100000100 -010000000000000101000010101001000000000010000000000000 -.logic_tile 7 1 -000000000000000001000000010001000000000000000000000000 -000100000000000001000011111001001000000000010000000010 -111000101100000000000000001000000000000010000000000000 -000000000000000111000011011011000000000000000000000000 -010010100000001000000111001101000000000001000001000000 -110000000000000001000011010011000000000000000000000001 -000000100000000000000000000000000000000000000000000000 -000100000110000000000000000000000000000000000000000000 +100000000000000101000000000000000000000000000100000000 +000000000000000000100010111001000000000010000000100000 +111000000000100000000000000000000000000000000000000000 +000000000001010011000011010000000000000000000000000000 +110000000000000000000000011101100001000001010101000000 +010000000000001101000010010001001100000010010000000000 000000000000001000000000000000000000000000000100000000 -000000000000001101000000000011000000000010000000100000 -001000000000001000000011101000000000000000000100000100 -000000000001000101000100000111000000000010000000000000 -000000000000000000000000001000000000000000000100000100 -000000000000000000000000001001000000000010000000100000 -010000000000000000000000000000000000000000000100000100 -010000001000000001000000001101000000000010000000100000 -.logic_tile 8 1 +000000000000001111000000000101000000000010000000000000 +000000000000000000000000011000000000000000000100000000 +000000000000000000000011001001000000000010000001000000 +000000000000000000000000010000000000000000000100000000 +000000000000000001000010000001000000000010000000000001 +000000000000000000000000001101000001000001010100000000 +000000000000000000000000001011101100000010010000000010 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 1 +000000000000000000000000001000000000000010000000000000 +000000000000000000000000000001000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000011100000000000000000000000000000 -111000000100001001000000000000000000000000000000000000 000000000000000001000000000000000000000000000000000000 -010000000000000000000111101111011100010000000001000000 -110000000000000000000010101111001110000000000000000000 -000001000000000000000000001001000000000001000000000001 -000010100000000000000000000001100000000000000000000000 -110000000000000000000000011101000001000001010100000000 -110000000000000000000010010001101100000010010000000000 -000000000000101001100000001011000001000001010100000000 -000000000000011111000000000011101110000010010000000000 -000000000000001011100000000000000000000000000000000000 -000000000000000011000000000000000000000000000000000000 -000000000000001000000011100000000000000000000000000000 -000000000000001111000100000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +.logic_tile 8 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000010000011000000 +000000000000000000000000000000000000000000000000000100 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 9 1 -000000000000001000000000000101000000000001010110000000 -000000000000000001000011010001101010000010010001000000 -111000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -010000000000000011100000000000000000000000000000000000 -010000000000000000100010100000000000000000000000000000 -000000100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000000000000000 000001000000000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 000001000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 .ramb_tile 10 1 000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000 -000000000000010000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -392,39 +392,39 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 1 -000000000000000101000010100001000000000000001000000000 -000000000000000000100100000000100000000000000000001000 -000000000000000000000000010000000000000000001000000000 -000000000000000001000010000000001000000000000000000000 -000000000000000111100000000000000001000000001000000000 -000000000000001101100000000000001001000000000000000000 -110000000000000000000000000000000000000000001000000000 -110000000000000000000000000000001010000000000000000000 -000000000000000000000000000000001000111100000010000010 -000000000000000000000000000000000000111100000000000000 -000000000000000111000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000010000000000000 -000000000000000000000000000101000000000000000000000000 -000000000000000000000000001001100000000000000000100000 -000000000000000000000000000011000000000001000001000000 -.logic_tile 12 1 -100000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -111000000000000111100000001000000000000000000100000000 -000000000000000000000000000001000000000010000000000100 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000011010001100000000000001000000000 +000000000000000000000010000000000000000000000000001000 +000000000000000101000000000011000000000000001000000000 +000000000000000000100010110000101001000000000000000000 +000000000000000111000011000101101000111100001000000000 +000000000000000000000000000000101011111100000000000000 +000000000000000000000000000101001000000011110000000000 +000000000000000000000010110000000000111100000000000101 000000000000000000000000000000000000000000000000000000 +000000000000000000000010010000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000011001000000000000000000110000000 -000000000000000000000000001011000000000010000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000000001101000000011110000000000 +000100000000000000000000000000110000111100000000000100 +.logic_tile 12 1 +100000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000010110000000000000000000000000000 +110000000000000000000010101000000000000000000100000010 +110000000000000000000100001001000000000010000000000000 000000000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000001101000000000010001000000100 +000000000000001000000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 +000000000000000000000111000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 .io_tile 13 1 000000000000000000 000000000000000000 @@ -432,7 +432,7 @@ 000000000000000000 000000000000000000 000000000000000000 -000100000000001000 +000100000000000000 000000000000000000 000000000000000000 000100000000000000 @@ -444,9 +444,9 @@ 000000000000000000 .io_tile 0 2 000000000000000000 -000000000001100000 +000000000000011000 +000000000000000000 000000000000000000 -000000000001100000 000000000000000000 000000000000000000 000100000000000000 @@ -460,217 +460,217 @@ 000000000000000000 000000000000000000 .logic_tile 1 2 -000000000000000000000010100001100000000000001000000000 -000000000000000000000000000000100000000000000000001000 -111000000000000000000110000000000001000000001000000000 -000000000000000000000000000000001111000000000000000000 -110000000000000011000000010000001001001100111000000010 -100000000000000000000010000000001010110011000000000000 -000000000000001001100000000000001000001100110010000010 -000000000000000001000010010000001001110011000000000000 -000000000000000000000000011101000000000001010000000000 -000000000000000000000011000101101101000010010000000000 -000000000000000000000000001000000000000000000100000000 -000000000000000000000000000101000000000010000000000000 -000000000000000000000000000000000000000000000101000000 -000000000000000000000000000101000000000010000000000100 -000000000000000000000000011000000000000000000101000000 -000000000000000000000010001101000000000010000000000001 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 2 2 -000000000000000101000111100001100000000000001000000000 -000000000000000000000011000000100000000000000000001000 -111000000000000011100011000101100000000000001000000000 -000000001000000111100000000000101101000000000000000000 -110000000000000101000000000001001000000011110000000000 -100000000000000000000000000000100000111100000010000000 -000000000001011001000011100011000000000001000100000000 -000000000000000101100100000001000000000011001000000000 -000000000000000000000011100001100000000001000100000000 -000000000000001011000100000101000000000011001000000000 -000010100001010000000000000001100000000001000100000000 -000001001000100000000000000001000000000011001000000000 -000010100000000000000000000101100000000001000100000000 -000000000000000000000000000101000000000011001000000000 -010000000000000000000000000001000000000001000100000000 -110000000000000000000000000001100000000011001000000000 +000000100000001000000000000000001001001100111001000001 +000000000000001111000000000000001010110011000000010000 +000000000000000101000010100000001001001100111000000001 +000000000000001101100100000000001011110011000000000000 +001000000000001111000110000000001000001100111000000001 +000000000000000001100000000000001000110011000010000000 +000000000000000101100000000000001001001100111000000001 +000000000000000000100010110000001001110011000000000000 +000000000000000000000000000000001001001100111010000000 +000000000000000000000000000000001000110011000000000000 +000000000000000000000000000000001001001100111010000000 +000000000000000000000000000000001000110011000000000100 +000000000000000000000000000000001000001100110011000000 +000000000000000000000000000000001010110011000000000000 +000000000000000000000000000101000000000001000000000000 +000000000000000000000000000101100000000000000000000100 .ramt_tile 3 2 -000000000000000000000110101000000000000000 -000000010000000000000000000111000000000000 -111010100001000000000000001000000000000000 -000000010000100000000000000101000000000000 -000000000000000000000000001000000000000000 -000000000000000000000000000101000000000000 -000010000000001101100110110000000000000000 -000000000100000101000010101001000000000000 -000001000000000000000000001000000000000000 -000000100000000000000000001101000000000000 -000000000000001000000110011000000000000000 -000000000000000111000110011101000000000000 -000000000000000000000000001000000000000000 -000010000000000000000000001011000000000000 -110000000000000001100000001000000000000000 -010000000000000000100000001011000000000000 -.logic_tile 4 2 -000000000000001011100010011011000000000001010000000000 -000000000000000001100111000011001111000010010000000000 -111010001100001111000011100101000000000001000000000001 -000000001010000001000111111011100000000000000000000000 -010000000000001000000111111111011111001001000000000000 -010000000000001001000110000001111010001010000000000000 -000000000000000011100000000001100001000001100001000000 -000010000010000000000000001001101001000000110000000000 -000000000000000011100010011101000000000001000110000000 -000000000000000000100010100111100000000011001000000000 -000000000010001000000110001011000000000010110110000010 -000000000000000011000010000001101010000000111000000000 -000000000000000000000010000001000001000001100100000000 -000000000000000000000110110001001101000010101000000100 -010000000000000011000011001111100001000001010100000100 -000000000000000000100010011011101111000010011000000000 -.logic_tile 5 2 -000000000000000001100110001001100000000011000000000000 -000000000000000000000010011001000000000000000000000000 -111000000000000001100110010111000000000001000000000000 -000000000000000000000010001001000000000011000000000000 -111000000000001000000110000111000000000000000000000000 -010000000000000001000000001111101001000000010000000000 -001010100000001001100000011101100001000010000000000000 -000000000000000001000010001101001001000000000000000000 -000000000000000000000000000101100000001111000000000000 -000000000000000000000010010000100000110000110000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000000101000000000010000101000000 -000000000000000111100010000101100000000001000100100000 -000000000000000000100010001101000000000011000100000000 -010000000000000000000000000000000000000000000100000000 -100000000000000000000000000001000000000010000101000000 -.logic_tile 6 2 -000000000100001000000110101011001101000010000000000000 -000000000000010001000010001101101001000000000000000000 -111000000000001001100011101011000000000011000000000000 -000000000000000101000111011001001001000011110000000100 -010000000000001101100000000001100000000000000000000000 -010000000000000101000000000101000000000001000000000010 -000000000000000001100010111001000000000001000000000000 -000000000000000000100010001101000000000000000000000001 -000000000000000001000010001011111101110011000000000000 -000010000000000111100100001011101111000000000000000000 -000000000000001001000110010001101101000100100000000000 -000000000000001111100011010101001001000000000000000000 -000000000000000001000000000011101111000010000000000000 -000000000000000001100000000111001010000000000000000000 -110000000000000000000010011000000000000000000100100000 -010000000000000000000111011111000000000010000000000010 -.logic_tile 7 2 -000000000000001011100110010101000000000000100000000000 -000000000000000001100010000101101101000000000000000010 -111000000001000001000000001101100000000000000000000000 -000000000000000001000000000101000000000001000000000000 -110000000000000011100111101001100000000000110000000000 -110000000001010000100110111111001011000001110000000000 -110010000000001111100111110101100000000010010000000000 -110000000000000111000010000011101000000001010000000000 -110000000000000001100110011001011111110000000000000100 -110000000000000000000110100001111110100000000000000000 -000000000000000111010000001001011111010000000000000100 -000000000000000011010000000011001011110000000000000100 -000010000000100000000011110011001110100100000000000000 -000001000001010000000010101001111010101000000000000000 -010010100000000101100111010111111100000011010100000000 -100000000000000000000110100001101100000011110000000100 -.logic_tile 8 2 -000010100000001011100000010001100000000000000000000000 -000001000000001111000011100001001111000000010000000010 -000000000000000001000011100101011010111100000000000000 -000000000000000111000000000101111011011100000000000010 -000000000000001001000110010001100000000000000000000000 -000000000000001111000010001001000000000001000000000000 -000000000000000000000110000111000000000000000000000000 -000000000000000000000000001011000000000001000000000000 -110000000000000000000010101111000000000000100010000000 -110000000100001011000110000001101011000000000000000000 -000000000001010000000000001101001101000010000000000000 -000000000000000000000000000111011001000000000000000000 -000000100010000011000010101001000000000010000000000000 -000001000000001011000110110001001100000011000000000000 -000010000000000000000000000011000000000010000000000100 -000000000000001011000000000111100000000000000000000000 -.logic_tile 9 2 -000001000000100111000110000101100001000001010001000000 -000000000001010000000011110111101101000010010000000000 -111000000000000000000011100000000000000000000000000000 -000000000000000000000011000000000000000000000000000000 -010000000000000111000010010101000000000010000000000000 -010000000000000000000111110101100000000000000000000100 -000000000000000000000011110001100000000000000010000101 -000000000000000000000010001011101000000000010000000000 -000000000000000011000011111011000001000011000000000000 -000000000000000000100010001111001011000011010000100000 -000000100000000000000000000011011100000000110000100000 -000001000000000000000011101011111001101000110000000000 -000000000000000011000000000001001101100000000000000100 -000000000000000000000000001101011001000000000000000010 -000000000000000000000110001000000000000000000100000000 -000000000000000000000000001001000000000010000000000001 -.ramt_tile 10 2 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000100000100000000000000000000000000000 000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000100000001000000000000000000000000000000 +000100000000100000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000 -000000001000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -.logic_tile 11 2 -000000000000000101000010011001000000000000000000000000 -000000000000000000100010001101000000000001000000100000 -111000000000000001000000001000000000000010000000000000 -000000000000000000100000000001000000000000000000000000 -000000000000001111000000000101101010010011000000000000 -000000000000000001000000000101101111110011010000000000 -000000000000000000000110000000000000000010000000000000 -000100000000100011000011011001000000000000000000000000 -000000001010000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000010100000000000000010010000000000000010000100100000 -000001001110000000000110101111000000000000000001000000 -000000000000000000000010001000000000000010000100000000 -000000000000000000000010011011000000000000000000100000 -000000000000000000000000001001000001000001110100000000 -000000000000000000000000000101101101000001100000000000 -.logic_tile 12 2 -000000000000000000000000010000000000000000000000000000 +.logic_tile 4 2 +100100000000000000000000010000000000000000000000000000 000000000000000000000011110000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 +111000001000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +110100000000000000000000000000000000000000000000000000 010000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -000000000000000101000000000000000000000000000000000000 +000000000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000010000000000000000000100000000 +000000000000000000000011111001000000000010000010000000 +000000000000000000000000000000000000000000000000000000 000000000010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +.logic_tile 5 2 +100000000000000000000000000000000000000000000100100000 +000000000000000000000000000111000000000010000000000000 +111000000000000000000011001000000000000000000101000000 +000000000000000000000000001001000000000010000000000000 +010000000000100000000011000000000000000000000100000000 +100000000001000000000000001101000000000010000001000000 000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000001110000000000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 +000000000000000000000000001000000000000000000110000000 +000000000110000000000000001111000000000010000000000000 +000000000000000111100000000000000000000000000101000000 +000000000000000000100000000011000000000010000000000001 +010010100000000000000000000000000000000000000000000000 +000001000000000000000011010000000000000000000000000000 +.logic_tile 6 2 +000000000100001000000000000101000001000001010000000000 +000000000000000011000000001101001000000010010000000001 +000000000000001001000000001001000001000001010000000010 +000000000000001101000000001101001010000010010000000000 +000000000000001000000010111101000001000001010000000001 +000000000000001111000011110101101000000010010000000100 +000000000000001011100000000000000000000000000000000000 +000000000000001101000010010000000000000000000000000000 +110000100000001101100000000000000000000000000000000000 +110000000000000111000010100000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000001000000000000000000110000100 -000000000000000000000000000101000000000010001110000000 -010000000000000000000000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 -.io_tile 13 2 -000000000000000000 -000000000000000000 -000000000000000000 -000000000000000000 +000000000000000000000000000011011000001100000000100000 +000000000000000000000000000011001101101100000000000000 +000000000000000000000000000011000000000001000000100010 +000000000000000000000000000011000000000000000000000000 +.logic_tile 7 2 +100000000001010000000000000000000000000000000000000000 +000000001100000000000000000000000000000000000000000000 +111010000000000000000010100011000001000000100100000010 +000000000000000000000100000011001001000000000000000000 +000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000001011100000000000000000000000000000000000 +000000001110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000100000110000000000000000000000000000000000000000000 +.logic_tile 8 2 +100000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000110000000000000000000000000000000000100000010 +000000000000000000000000000011000000000010000000000010 +110000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 +000000000000000000100010010000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 2 +100000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000001011000000000000000000000000000000000000 +110000000000000000000000001000000000000000000100000000 +000000000000000000000000000001000000000010000000100000 +000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000001111000000000010000001000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000111000000010000000000000000000000000000 +110000000000000000000010110000000000000000000000000000 +.ramt_tile 10 2 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 2 +100000000000000000000010100000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +111100000000000000000010100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000010100101000000000001000100100000 +010000000000000000000110011101100000000011001000000000 +000000000000000011100011101000000000000000000100000001 +000000000000001101100000000101000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 +000000000000000000000000000101100000000001000100000000 +000000000000000000000000001001100000000011001000000100 +000000000000000000000000000001100000000001000100000000 +000000000000000000000000001101100000000011001000000000 +010000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +.logic_tile 12 2 +000000000000000111000010100001000000000000001000000000 +000000000000001101000110100000100000000000000000001000 +000000000000001000000000000001000000000000001000000000 +000000000000000001000000000000001000000000000000000000 +000000000000000111000010110011001000111100001000000000 +000000000000001101000110000000101010111100000000000000 +000000000000000011100000000101101000000011110000000000 +000000001110000101100000000000000000111100000000000100 +000000000000000011100011000101001100000100000000000000 +000000000000000000100100001101001001000000000000000000 +000000000000000000000000001001100000000000000000000000 +000000000000000000000000000101000000000001000010000000 +000000000000000000000000000011001010000011110000000000 +000000000000000000000000000000110000111100000000000100 +000000001100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 2 +000000000000000000 +000000000000000000 000000000000000000 000000000000000000 -000100000000010000 +000000000000000000 +000000000000000000 +000100000000000000 000000000000000000 000000000000000000 000100000000000000 @@ -684,10 +684,10 @@ 000000000000000000 000000000000000000 000000000000000000 -000000000000011000 000000000000000000 000000000000000000 -000100000000010000 +000000000000000000 +000100000000000000 000000000000000000 000000000000000000 000100000000000000 @@ -698,209 +698,209 @@ 000000000000000000 000000000000000000 .logic_tile 1 3 -000000000000000000000000000001100000000000001000000000 -000000000000000000000011110000000000000000000000001000 -111000000000000111000000000101000000000000001000000000 -000010100000000000100000000000100000000000000000000000 -011000000000000000000011100011001000000011110000000100 -010000000000000000000100000000000000111100000000000001 -000000000000001000010000000000000000000000000000000000 -000000000000001101000010010000000000000000000000000000 -000000000000100111100000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 -000000000000000000000000001000000000000000000110000000 -000000000000000000000000000101000000000010000000000000 -000100000100000000010000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000011000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000011100000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000000000101000000 +000000000000000000000000000001000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -011000000000000000000000001000000000000000000100000000 -100000000000000000000000001101000000000010000001000000 .logic_tile 2 3 -000000100000000001100110001111100000000010100000000000 -000000000000000011000000000111101010000001100001000000 -111000000000001101100011101111000001000000100000000001 -000000000000001101100100000001001001000000000000000000 -110000000000001111100011001001000000000001000000000000 -010000000000000001100000001101000000000000000010000000 -000000000110000001110110010111001011000101110000000000 -000000000000000000000011110101111000001001110000000000 -001100000000000000000000000001100000000000000000100000 -000000000000001111000011100111101100000000010000000000 -000000000000001000010110001011101010001001000000000000 -000100000000000101000000001011001000001010000000000000 -000000000010000101100011100001000001000000100000000010 -000001000001000000100000000001101000000000110000000000 -010010100000000111000000011101100000000001000100000001 -000001000000000000000010101101000000000011001000000000 +100000000000000000000010100000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +111000000000000101000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +010000000000000101000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000001000000000010000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000001000000000010000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000101000000000010000000000000 +010000000000000000000000000000000000000000000100000000 +000000000000000000000000001001000000000010000000000000 .ramb_tile 3 3 -000001001001100111000000000011000001000001 -000010100000100000000000000011101101000000 -111000001010000101100111111000000000000000 -000001000000000000100111011001000000000000 -011000000000100000000111100101100000000000 -110000000000010000000100001011000000000000 -000010100000001000000000001000000000000000 -000001000000001001000000001111000000000000 -000000000000001000000010010011100000000000 -000000000000000111000111100111100000000000 -000000000000000000000111001000000000000000 -000000000000001001000011100001000000000000 -000000001110000011000000001111000000000000 -001000000000000001000000001001000000000000 -110010000000000000000000000000000000000000 -010001000000000000000010010001000000000000 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000001000000100000000000000000000000000000 +000000100001000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 4 3 -000010001100001111100110001001101101000100000001000000 -000001000000000011100000001111101100001100000000000000 -111000000000000001000010001001101111000110100000000000 -000000000000000000100110100101101011001111110000000000 -110000000000100001000111011011101010101100010001000000 -010000000001010000110111011101111000101100100000000000 -000000000000000111000010101101000000000000000000000001 -000000000000001011000110010001100000000001000000000101 -001000000000010001100000010011100000000000000000100000 -000010100000100000000011110101000000000001000000000000 -000010000000000011100111000000000000000000000100100000 -000000001110001111100100000001000000000010000000000000 -000000000000000011100000001000000000000000000101000000 -000000001100000000100000000101000000000010000000000000 -010010000000000000000011000000000000000000000100000000 -100001000000000000000000001001000000000010000000000100 +000000000000000011000111100001011001000010000000000000 +000000000000001001000100000101111010000000000000000000 +000000000000000111100111100000000000000000000000000000 +000000000000000000100100000000000000000000000000000000 +000000000000001111100111100111001011000100000000000000 +000000000000000001100100000011101100000000000000100000 +000000000000000111100000000000000000000000000000000000 +000000001100000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 +000000000000000000000011000001000001000001010000100010 +000000000000000111000100000001001101000010010000000000 +000000000000000000000000000001111110100000000000000000 +000000000000000000000011101101001100000000000000000010 +000000000000000000000000000000000000000000000000000000 +000000001110000111000000000000000000000000000000000000 .logic_tile 5 3 -000000000000000111100010000111111000110011000000000001 -000000000000001001100010011011111100000000000000000000 -111001000000110000000110011101101110000010000010000000 -000011100000110000000010001111101011000000000000000000 -010010100000001000000111101101100000000000000001000001 -110001000000001111000010000111100000000001000000000001 -000001001100110000000110100101111100110011000001000000 -000011000000110000000010010101111001000000000000000000 -001000000000000001000010001011001010000110100000000010 -000000000110001111100111111111011000001111110000000000 -000010101011000000000010011001011000000110100000000000 -000000000000100000000011111011111101001111110000000100 -000010000000000111000010000000000000000000000100000000 -000001000110000000100000001111000000000010000000000000 -010000000000000011100011110000000000000000000110000001 -100000000000010000000110000001000000000010000000000000 +000000000000000111100110010001100000000000001000000000 +000000000000000000100010000000100000000000000000001000 +111000000000000001100010100101100000000000001000000000 +000000000000000000000100000000001000000000000000000000 +110000000000000000000000000011101000000011110000000000 +110000000000000000000000000000100000111100000000000000 +000000000000001001100010101101100001000010000000000000 +000000000000000001000100001001001101000000000000000000 +000000000000000000000000001001100000000011000000000000 +000000000010000000000000001101000000000000000000000000 +000000000000000000000110001000000000000000000100000000 +000000000000000000000000000101000000000010000100000000 +000000000000000000000011000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000001000000000001000100000000 +000000000000000000000000001001100000000011000100000000 .logic_tile 6 3 -001000000001000011000110000101100000000001000000000000 -000000001110100000100011011111100000000000000000000100 -111000001000000000000110000101111000011100000000000100 -000000000001000001000011010001001011111100000000000001 -010000000000000111000010010101011001110011000000000000 -010000000000000011000110001101011111000000000000000000 -110001000000000001100110001011000000000000000000000000 -110010000000001011000011011111000000000011000000000000 -000000000000001011100111101101001101000010000000000000 -000001001100001111100110001001011011000000000000000010 -000000000000000000000000000011001011000100000000000000 -000010100010000000000010001001101011100000000000000000 -000000000100000000000111001011011000000110100000000010 -000000000000000000000100001011011111001111110000000000 -010001000000000000000111111000000000000000000100000000 -100010000000100000000111000101000000000010000000000000 +100001000000010001100111100000000000000000000000000000 +000000000000101101100011100000000000000000000000000000 +111000000000000001000000000011011101001100000100000000 +000000000000000000100000000111111000101101010000000000 +110000000000000000000000000111101001101101010100000000 +100000000000000000000000001011011010001100000010000000 +000000000000010001000000000000000000000000000000000000 +000000000000100000100000000000000000000000000000000000 +000000000000000101000010101000000000000010000100000000 +000000000000000000100011010011000000000000000000000000 +000000000000001000000110110001001111000011110100000000 +000000000000001011000010100011001101000011100000000000 +000000000000001101100000000000000000000000000101000000 +000000000000000101000000001001000000000010000000000000 +000000000000001101100000000111100000000000110100000000 +000000000000000101000000000001001101000001110000000010 .logic_tile 7 3 -000000000000001000000010100000000001011010010000000000 -000000000000000001000100000000001010100101100000000000 -111110000001011000000000001011100000000000000000000110 -000001000000001011000000001011000000000001000000000000 -010000000000000011100010000111000000000001000010000000 -110000000000000000100100000101100000000000000000000000 -001000000011001001100000000001100000000001000000000000 -000000000000000001000000001001000000000000000000000000 -000010100000000000000110111111011011000100100110000010 -000001001110000111000010101011001010000000000000100001 -000010100010000000000111000001000001000000110111000010 -000001000100001011000111010111001111000001110000000000 -000000000000000000010000011111000000000000100100000011 -000000000000000111000011111011001101000000000010000000 -010000000001000000000000001011000000000000100110000010 -100001000100100000000011011111001101000000000000000000 +000000000000001101100110010001000000000000000010000001 +000000000000000111000010000101001001000000110000100000 +000010000000001101100000001001000000000000000000000010 +000001000000000101000000000001100000000001000000000000 +000000000000001111100000010101100001000011010000000001 +000000000000000111100010000001001111000011110000000100 +000000000000001000000110100101000000000001000010000000 +000000000110000101000000001101000000000000000000000000 +000000000000000000000000000001000000001111000000000001 +000000000100000000000000000000100000110000110000000001 +000010100000000000000010101001011011011100000000000001 +000001000000000000000100001011011010111000000000000000 +000000000000000011000000000001000000000001000000000000 +000000000000000000100000001001000000000000000000000000 +000000000000000000000010100001101111011100100000000010 +000000001010000000000100000101001101111100000000000000 .logic_tile 8 3 -000011100000000001000011000001100000000000001000000000 -000001000010001101100000000000000000000000000000001000 -000000000000000101100010110000000000000000001000000000 -000000000000000000000010000000001100000000000000000000 -000000000000000011100000000000001001001100111000000000 -000000000000000101100000000000001110110011000000000000 -000000001100001000000010100000001000001100110000000000 -000000000000100001000000000000001101110011000000000000 -000000000000001111000111100101000000000010000000000000 -000000000000001001000100000001000000000000000000000000 -000000000000000000000000000001100001000000000010000000 -000000000000000000000000001001101010000000010000000100 -000010100000001011100000000111011000001100000000000000 -000000000010001001000000000101011110000100000000000000 -000000000000000011100000000011011011010000000000100000 -000000000010000000000000000001011010000000000001000000 +000000000000000000000110100001000000000000001000000000 +000000000000000001000000000000100000000000000000001000 +000000000000001000000000010001100001000000001000000000 +000000000000000011000010100000101010000000000000000000 +000000000000000000000000000011101001111100001000000001 +000000000000000000000000000000101000111100000000000000 +000000000100001000000000010001001001111100001000000000 +000000000000000011000010100000001010111100000000000000 +000000000000000000000000000000001000111100000000000001 +000000000000000000000000000000000000111100000000000000 +000000000000001000000010001000000000000010000000000001 +000000000000001011000100001101000000000000000000000000 +000000001110000000000000001000000000000010000000000000 +000000000000000000000000000011000000000000000000000000 +000000000000000000000000000000000000000010000000000000 +000000000000000000000000001001000000000000000000000000 .logic_tile 9 3 -000000000100000101000011001101000000000000110001000001 -000000000000000000100010101011101111000000100000000100 -111100000000000000000110110011000000000000000011100000 -000000000000000000000111110001100000000001000000000000 -000000000000000000000000000111100000000001000000000000 -000000000010001101000010101001100000000000000000000100 -000000000000001111100000000011000000000001000001000100 -000000001110000111000000000001100000000000000000000000 -000000000000000000000111010101011000000011110010000100 -000000000000000000000110000000010000111100000000000000 -001000000000000111000000011000000000000010000001000000 -000000000000000000100011000011000000000000000000000000 -000000000000000000000111000000001000000011110001000000 -000000001000000000000100000000010000111100000000000000 -010000000000000000000000011001111010010100000100000010 -100000000110000000000011000101101101100100000100000000 +000000000000000000000011000001100000000000001000000000 +000000000000000000000000000000100000000000000000001000 +000000000000000001000110110000000000000000001000000000 +000000000000010000000010100000001101000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000111000000000000001001000000000000000000 +000000000000000001100000000000000001000000001000000000 +000000000000000000000000000000001100000000000000000000 +110000000000000000000111000000001000111100000010000000 +110000000000000000000000000000000000111100000000000000 +000000000000000111100000000011100000000011000010000000 +000000000000000000000011011001101010000010000000000000 +000000000000000000000000001001100000000000000001000100 +000000000000000000000000000101000000000001000000000000 +000000000000000000000000000000000000000010000011000000 +000000000000000000000000000101000000000000000000000100 .ramb_tile 10 3 000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001110000000000000000000000000000000 -000000000001010000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000010100000000000000000000000000000000000 -000000100000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 +000001000000000000000000000000000000000000 .logic_tile 11 3 -000000000000001111100111110101000001000000100000000000 -000000000000001111100110001001001100000000000000100000 -000000000000000000000111100101000001000011010000000000 -000000000000000011000011001111001101000011110001100100 -000000000000000011100000000001101100000011110000100000 -000000000000000011000011010000110000111100000000000000 -000000000000100001100000000111100001000000010000000100 -000000000000000000000010101111101011000000000000000000 -000000000000000111000110100011100000000000000000100000 -000000000000000111100000000011001000000000010001000000 -000000000000001011100110110011111110111000000000000000 -000000000000000101000011000001101011111100000000000000 -000000000000000000000000001001000001000000110000000000 -000000000000000000000011100001101010000000010000000010 -000000000000000111110110100001100000000001000000000000 -000000000000000000000000000011100000000000000001000000 +000010100000000000000110000111000000000000000000000000 +000001000000000000000000001111000000000011000000000001 +000000000000000101000000010000000000000000000000000000 +000000000000001101000011010000000000000000000000000000 +000000000000000000000010100011000000001111000010000000 +000000000000000011000000000000100000110000110000000000 +000000000000001001100111011111111001000010000000000000 +000000000000000001100111000101011011000000000000000000 +000000000000000000000110100001100000000011000000000000 +000000000000000000000000001101000000000000000000000000 +000000000000000000000000000101100000001111000000000000 +000000000011010000000000000000100000110000110000100000 +000000000000001000000110101001001011001100000000000000 +000000000000000101000000000001101010001000000001100000 +000000000000000001100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 12 3 -000000000000000111000000000011000000000000001000000000 -000000000000000000000011100000000000000000000000001000 -111000001100000000000000000011000000000000001000000000 -000000000000000000000000000000101000000000000000000000 -010000000000000011000010110101101000111100001001000000 -110000000000000000000111010000101001111100000000000000 -000000000000000000000110000101001000111100001000000000 -000000000000000000000011100000001000111100000000000000 -000010100000000101000000000000001000111100000000100000 -000001000000010000100010110000000000111100000000000000 -000100000000000000000000000000000000000000000000000000 -000000001000000000000000000000000000000000000000000000 -000000000000000000000000001101000000000011000000000000 -000000000000000000000000001101100000000000000000000000 -010000000000000000000000000000000000000000000100000010 -100000000000000000000000001101000000000010001101000000 +100000000000001000000000000000000000000010000100000000 +000000000000000101000000000001000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010010100000000000000111010000000000000000000000000000 +110001001110000000000010100000000000000000000000000000 +000000000000000011000000000000000000000000000100000000 +000000000000000000000000001101000000000010001000000000 +000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 .io_tile 13 3 000010000000000000 000110110000000000 @@ -908,7 +908,7 @@ 000000000000000000 000000000000000000 000000000000000000 -000100000000000000 +000100000000011000 000000000000000000 000000000000000000 000100000000000000 @@ -936,164 +936,164 @@ 000000000000000000 000000000000000000 .logic_tile 1 4 -000001000000000000000000000000000000000000000000000000 -000010100000100000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +010000000000000000000011100000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000001010000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -.logic_tile 2 4 -010100000000000111000010101011000000000000000000000001 -000100000000001111100100000001100000000001000001000000 -111000000000000000000000000101000001000010100001000000 -000000000000001001000011000111001100000001100000000000 -110000000000001111100000001000000000000000000100000011 -111000000100010001100000001001000000000010000000000000 +001000000000001011000000000000000000000000000000000000 000000000000000000000000000000000000000000000100000000 -000000000000000000000000000001000000000010000001000000 -000000000000100000000111101000000000000000000100000011 -000010000000000000000000001011000000000010000000000000 -000010100000000001000000000000000000000000000110100000 -000001000000000000100010010101000000000010000000000000 -000001001100001000000010000000000000000000000100000000 -001000000000001101000000000001000000000010000010000000 -010000000000000000010000000000000000000000000100000000 -101000000000000000000000001001000000000010000011000000 +000000000000000000000000000111000000000010000000000100 +.logic_tile 2 4 +010000000000000001000000010000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +000000000000000001100111111011000000000000010001000100 +000000000000000001000011000001101000000000000000000000 +000000000000001000000000011101001011100000000000000010 +000000000000001111000011000101011001000000000000000000 +010000000000000001100111000000000000000000000000000000 +011000000000000000000100000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 +001000000000000000000011110000000000000000000000000000 +000000000000000000000000001101100000000000000000000000 +000000000000000000000000001001100000000001000000000000 +000000000000000000000000001011000000000000000000100100 +001000000000000000000000000001001001000000010010000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .ramt_tile 3 4 -000001000000000000000111100011100000000000 -000010011100000111000100001111101000000001 -111000001011010111100000001000000000000000 -000000010000100000100000000001000000000000 -000000100000000000000000001011100000010000 -000001000110100000000000000111000000000000 -000000000000000001000000000000000000000000 -000000001100000001100000001011000000000000 -000001001010001111100010001101100000000000 -000010000000000111000100001011000000000001 -000000000000000101100110110000000000000000 -000000000000000000000010110011000000000000 -000000000000000000000011100111100000000000 -000000000001010000000000000011100000010000 -010001000000000111000000010000000000000000 -110000000000000000000010101001000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000 +000000001000000000000000000000000000000000 +000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000001010000010000000000000000000000 +000000000000100000000000000000000000000000 .logic_tile 4 4 -011001000000100011100110001101000001000000100000000100 -000000100001001001000010010111001000000000110000000001 -111000000000001111000111111101101110001001000000000000 -010000000010001111100111000011111011001010000000000000 -010001001110000101000010000111011001110011000000000000 -011000000000000011100111101011111001000000000000000010 -000000101000000111000011000011100001000000010000000000 -000001000010000000000011000011001101000000110000000000 -001000000110010111100011100011011110000110100000000010 -000000000000100000000011100001111010001111110000000000 -001000000000000001100110001111000000000001000100000000 -000000000010000111000000000001000000000011001000000100 -000000000000100001100010011101100000000010010101000010 -001000000001000000000011100101101011000010101000000000 -011000000000000111100010010111011110111101110100000000 -000000000000010000000011100001111001111100111000000000 +000000000000001000000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000000000000000011100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000011000000000000000000000000 +001000000000000000000000000001000000000001000000000010 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 5 4 -010001000000000111000011010011111111100000000001000000 -000000100000000000000010000101011010000000000000000001 -111000000000000011000111101111011000110011000000000000 -000000000000001111000010111001111011000000000000000000 -010000000110100001100011011111011010000010000000000000 -111000000000000000000111111111111100000000000000000000 -000000000000000011100110001111001111000010000000000000 -000000000110001111010010110101011101000000000000000000 -000001000001011011000110000011000000000001000000000001 -000010100000111111100000001001100000000000000000000000 -000000000110000001100011100001101100110011000000000000 -000000001000000001000110000001001110000000000000000000 -000001001100000011000111111011011101110011000000000000 -001000000000001111100011100011011101000000000000000000 -010010100000000011000111111101111110001100000100000000 -000001000000000000000110000001101001101101011000000001 +010000000000000111000000000000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +111000000000100111100110001001100000000001000001000001 +000000000000000000100010010001100000000000000000000001 +110000000000000111000010110101100000000001000000000000 +010000000000000000000010001101100000000011000000000000 +000000000000000001100000010101100000000000000000000000 +001000000000010101000010001101000000000001000000000000 +000000000000000000000000011001000000000011000000000010 +000000000000000000000011001111001011000011110000000000 +000000000000000000000110101001001011000100100000000000 +000000000000000000000000000101101101000000000000000000 +000000000010000000000000000001100000001111000000000000 +001000000000000000000000000000100000110000110000000000 +010000000000000000000110100000000000000000000100000000 +000000000000000000000000001101000000000010000100000000 .logic_tile 6 4 -000000000001001111000010001111001010000110100000000000 -000000000000100001000010010111101010001111110000000000 -111001000010000111100111011101011101000110100000000010 -000010101000000000100110000001101101001111110000000000 -110000001000000111000011101101101100000010000000000000 -011000000000000001000100001111101111000000000000000010 -000010100110000011100111111001101110110011000000000000 -000000000000000000100110001001111101000000000000000000 -000010100000000111000011110111100000000000100000000010 -000001000000000000100111101001001000000000110000000000 -000000000000000000000110100011111010000110100000000000 -000000000000001101000100001001001000001111110000000000 -000111000000000000000111101000000000000000000100000100 -001111100000000000000010011101000000000010000000000000 -010000000000010101000110001000000000000000000100000000 -101000000000001101100011001111000000000010000010000000 +010000000000001101100110001011111101100000000000000000 +000000000000000001000000001001101010000000000000000000 +111000000000001001000110001111000000000000000000000100 +000000000000000001000110010001101011000000010000000000 +010000000000000001100110101001011001001001010000000100 +110000000000000000100011010001111101001101010000000000 +000000000000000001000000001111101101011100000000000000 +000000000000000101100010011011101010111000000000000000 +010001000000001111000000000001000000000000010000000000 +011000100010000011100000000101001000000000110000000000 +000010100000000001000110010000000000000000000000000000 +000001000010000000100010100000000000000000000000000000 +000000000010000101000011100101100000000000100000000000 +001000000000000000000000000101101110000000000000000100 +010000000000000000000111000000000000000000000100000001 +001000000000000000000000000011000000000010001100000000 .logic_tile 7 4 -000010101000000111100110100101101011000010000000000000 -000001000100001011100010111001011000000000000000000000 -111000001010001001100000011101011110110011000000000000 -000000000110001111000010001011111100000000000000000000 -110010100000000001100010010001101000100000000000000000 -011000001100000000000010000101011000000000000000000000 -000000000000000000000011101011011110000010000000000000 -000000000000000011000010010111011000000000000000000000 -000000000000100111100000010111111111110011000000100000 -000000000000011111100010101111011011000000000000000000 -000000000000000111000111101111011001110011000000000000 -000100000100000111000000000011011011000000000000000000 -000000000000001111100011001000000000000000000100000000 -001000000000000011000100000011000000000010000010000010 -010000100000001000000110000000000000000000000100000000 -101001000000001011000011110111000000000010000010000000 +010000000000001101000111110111000000000010000000000000 +000000000000000101100111000111100000000000000000000000 +000000100000000001100010100101111111000000000000000000 +000001000000000000000011000101001001100000000000000000 +000000000000001001100000011111101000101100000000000000 +000000000000000001000010001111011001001100000000000000 +000000000000100001100010101101101011110100010000000000 +000000001000001101000011001101101010111000010000000000 +000000000000001000000011101001101100000000000000000000 +000000000000000001000000001001011100100000000000000010 +000000000000001011100000001111100001000010000000000000 +000000000000000011000010001111101100000000000000000000 +000000000000000011000000010101000001000000010000000010 +001000000000000000100011000001101100000000000000000000 +000000000000001011100111010101111101010100000000000000 +001000000110001001100011000001011011011000000000000000 .logic_tile 8 4 -000000000000000111000000001101100000000000000000000010 -000000000000000000000011001011001100000000010000000000 -111100001110001001000000001101000000000001000001000000 -000001001110100001000010010111100000000000000000000000 -010010100000001000000011011101000001000000100000000000 -010000000000000001000010100111001001000000000010100000 -000000000000001101100000000101100000000001000000000001 -000000001000010001100000001011000000000000000000000000 -110000000000001000000010001001100000000000000000000000 -110000000000001111000110111111000000000001000000000000 -000000000000000000000000000001100000000000000000000000 -000000000000000000000010001101001101000000010000000000 -000000000000000000000000000111000001000000000000000000 -001000000000000001000000001011001000000000010000100000 -000100001100001001100111000000000000000000000100000010 -001100001110000101000000000001000000000010000000000010 +000000000000000000000010100000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +000010101010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000010001001100000011110000000101 +000000000000000000000010000000100000111100000000000000 +000000000000000000000000010101101000000011110010000000 +000000000000000001000011100000110000111100000000000000 +000000000000100000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000010000000000000 +001000000000000000000000001011000000000000000000000010 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 9 4 -000000000000001001100010000001000000000001000010000001 -000000000000000111000010100011100000000000000000000010 -111000000000001111100000000101100000000001000000000000 -000000000000000111000000000111100000000000000000000010 -001000000000001001000000011001011010011000000000000001 -001000000000000111000011100011001001001000000000000000 -000000000000001111100010101011011111100000000010000000 -000000000000001111010111000101101100000000000000000100 -000000000000000000000000011111011010000000000000000000 -000000000000001111000010110111101110100000000000000100 -000000000000001111000000000111101011101101010100000001 -000000000000001011100000000101001000001100000000000000 -000000000000000111000000010111101011101101010101000000 -001000000000001111100010110001011010001100000000000000 -000000000000001011100000001001011001001100000100000000 -000000001100001101000000001101001000101101010010000000 +100010100000000000000000000101001010000111110100000010 +000000000000000000000000001101101010000011110000000000 +111010000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000001000101000011000000000000000000000000000000 +010000000000001111100010110000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000001100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 .ramt_tile 10 4 +000010100000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000001000000000000000000000000000000 000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000001100000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -1106,52 +1106,52 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 4 -000000000000000101000011101001011100001000000000000000 -000000000000000011000000000001111010001100000001000000 -111000000000000001000000000001000000000001000000000000 -000010000000001001110000000001000000000000000001000010 -010000000000000111100110001011000000000001000000000000 -110000000000000011100000001101000000000000000001000010 -000010100000100011100111001011100000000001000000000000 -000000000000000000100000001111000000000000000000000000 -000000000000010001000000001001100000000001000000100000 -000000000000100000000000000111000000000000000000000000 -000100000000001000000010010011100000000000000000100100 -000000000000000001000011100101101000000000110000000100 -000000000000000000000000001011100000000000000000000000 -001000000000000000000000000101100000000001000001100000 -000000000000000001100000011000000000000000000101000010 -001000000000000001000011110111000000000010000000000000 +110000000000000000000010100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000001001000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 +000000000000000000000000001001000000000010000110000000 +000000000000000000000011100001101101000011000000000010 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +010000001110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 12 4 -000000000000100000000000001001100000000011000000000000 -000000000001010000000011110101100000000000000000000000 -111101000000000000000110000101100000001111000000000000 -000000000000000000000010100000000000110000110000000000 -010000000000001111000000001001101101111110000000000000 -011010000110000001000010110101101101111100000000000000 -000000000000000001100000000001101010000010000000000000 -000000000010000000010010101111101010000000000000000000 -000000000000000001100000001001111011111011110000000000 -000000000110000000000000000101111101111111110000100000 -000000000000000000000000010000000000000000000100000000 -000000000000000000000010001111000000000010000100000000 -000010100001010000000000011001101011111110000100000000 -001001000000100000000010000101111101111100000100000000 -010000000000000000000000000000000000000000000100000000 -100000000000000000000000000101000000000010000100000000 +000000000000000000000000000101000000000000001000000000 +000000000000000000000000000000000000000000000000001000 +000000000000000000000110000000000000000000001000000000 +000000000000000000000000000000001110000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000010111000000000000001010000000000000000000 +000000000000101001100000010000000001000000001000000000 +000000000001010011000011010000001001000000000000000000 +000000000000000000000000000000001000111100000000100000 +000000000000000000000000000000000000111100000000000000 +000000000000000000000000001000000000000010000000000000 +000000000000000000000000000101000000000000000000000000 +000000000100001000000000000000000000000000000000000000 +001000000000001001000000000000000000000000000000000000 +000000000000000000000000001000000000000010000000000000 +000000000000000000000000001101000000000000000000000000 .io_tile 13 4 000000000000000010 -000111110000000000 -000000111000000000 -000000000000000001 -000000000000000001 -000000000011000000 +000100000000000000 +000010000000000000 +000010110000000001 +000000111010000001 +000000000001000000 001100000000000000 000000000000000000 000000000000000000 000100000000000000 000000000000000010 -000000000001000000 +000000000011000000 000000000000000000 000000000000000001 000000000000000001 @@ -1174,209 +1174,209 @@ 000000000000000000 000000000000000000 .logic_tile 1 5 -000000000000000000000000010101100000000000001000000000 -000000000000000000000010000000100000000000000000001000 -000000000000000101000000000001100000000000001000000000 -000000000000001101100000000000001110000000000000000000 -000100000000000000000000000001101001111100001000000000 -001100000000000000000000000000001100111100000000000000 -000000000000000101000010100101101000000011110000000000 -000000000000000000100110110000100000111100000000000001 +110000000000000000000000000000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +010000000000000000000000001000000000000000000101000000 +010000000000000000000000001111000000000010000010000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -001000000000000001000000000000000000000000000000000000 -000000000000000000000000000101101000000011110000000000 -000000000000000000000000000000010000111100000000000000 .logic_tile 2 5 -000000000000000000000000001001100001000010000001000000 -000000000000000000000010000111101000000011000000000000 -111000000000001011100011101011011011010111110001000000 -000000000000001111100000001101001000011011110000000000 -110001000000000011100000000101001110110011000001000000 -011010100000000000100011011001101010000000000000000000 -000000000000000011000000001101000000000000000000100001 -000000000000000000100010110011000000000001000000000000 -000000000000000011100011110011000000001111000010100000 -000000000000000001100011100000000000110000110000000000 -001000000000000001000010000000000000000000000100100000 -000000000000000000000100001011000000000010000000000000 +000000000000001111000011101101000000000010000000000000 +000000000000001101100100000011100000000000000000000000 +000010100000000111100000001001000000000000010000000000 +000001000000001111000000000001001000000000000000000100 +000000000000001111000000000000000000000000000000000000 +000000000000000001100011100000000000000000000000000000 +000100000000000111100000000001000000000000010000000100 +000100001100001111000000001001001000000000000000000000 000000000000000000000000000000000000000000000000000000 -001000000000000001000000000000000000000000000000000000 -010000000000000001100000001000000000000000000110000000 -100000000100000000000000001011000000000010000001000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000001100000000000010000000000 +000000001110001001000000000101001000000000000000000100 +000000000000000000000000001101100000000000010000000000 +001000000000000000000000000101001010000000000010000000 +000100000000000000000000000001000000000000010000000000 +000000000000000000000000000101001000000000000000100000 .ramb_tile 3 5 -000000000000100000000000000000000000000000 -000000010000010000000000000000000000000000 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000 -000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000 -000000000000000000010000000000000000000000 -001000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 4 5 -000001000000001000000010101111101110000110100000000000 -001010100000000001000111110101011010001111110000000000 -111000000000000101000110100101100000000001000001000000 -000000000100000011100100001001100000000000000001000000 -010000000000000001100010100001100001000000100000000000 -011000000000000000000110000011001110000000110000000000 -000000000001000101000110111111101110000010000000000000 -000000000000000000100111010011111101000000000010000000 -000000000000000001000111000011001011100000000000000000 -001000000000001001100011100001011000000000000000000100 -000100000000001111100011101011001011010111110000000000 -000000001100001011100000001011111001011011110000000000 -000001000000000000000111101000000000000000000101000000 -001010000000000000010010010011000000000010000000000000 -010000001010000001100110001000000000000000000100000000 -100000000000001001000000001101000000000010000010000000 +000000000000000111100000000001000000000000001000000000 +000000000000000000100000000000000000000000000000001000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001110000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001100000000000000000000 +000100000000000000000000000000000001000000001000000000 +000000000000000000000000000000001100000000000000000000 +000000000000001000000000000000000001000000001000000000 +000000000000000101000000000000001111000000000000000000 +000000000000000101100000000000000001000000001000000000 +000000000000000001000000000000001110000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000001000000000000001100000000000000000000 +000000000000000000000110100000001000111100000000000000 +000000000000000101000000000000000000111100000000000100 .logic_tile 5 5 -000001000000000011100110011101101000010000000000000010 -000010000110000000100010101011011001110000000000000000 -111010000000001111100111001101101010000100000000000000 -000000000000001011000100000001011000001100000000000100 -110000000000100011100010110101100000000001000000000000 -011000000001000011100110000111100000000000000000000000 -000000000000011001100011011101101010000010000000000000 -000000000000000001000110100011001011000011000000000000 -000011100000001000000111011111001010010000000000000000 -000000000000000011000011101101101111001000000000000010 -000000000001000011100000000011011010010000000000000001 -000000000000100000000010010101011101001000000000000010 -000010000000000101000111011000000000000000000100000000 -001001000000000000100011000001000000000010000000000000 -010001000000000000000000001000000000000000000101000010 -100010100000000000000000001001000000000010000000000000 +000000000000000111000000010000000000000010000000000000 +000000000000000000000010001101000000000000000000000000 +000001000000001001010000001001100000000001000000000000 +000000000000000111100010000001000000000000000000000010 +000001000000000101000011100101000001000000000000000000 +000000000010001011000000000101001001000000010001000000 +000000000000000000000000000000000000000000000000000000 +000000001000000111000000000000000000000000000000000000 +000000000110000000000000000111100000000000010000000100 +000000000000000000000000000111001001000000000000000000 +110000000000000000000000000000000000000010000000000000 +110000000000000000000000000001000000000000000000000000 +000000000000000001100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001000000000001000000000000010000000000000 +001000000000000101000000000101000000000000000000000000 .logic_tile 6 5 -000010000000001111000111101101100000000001000000000000 -000001001010000001000111010001000000000000000000000000 -111010100000001111100011111101011001000100000000000010 -000001000000000101000010001011011000001100000000000000 -110010000000001111000000000001000001000000100000000000 -011001001110001011000000000111101010000000110010000000 -000010000000000101000111000111101101010000000000000000 -000000001100000001000011000001101100110000000000000001 -000000000000000011000111001011011001000110100000000100 -000000000000000011000011111101111101001111110000000000 -000000000001000001100111110111111101000110100000000000 -000000000000000001000011111001001011001111110000000000 -000000000000000011100000000101001100010000000000000000 -001000000000000101100000000001001001000000000000000000 -010010000000100111000110100000000000000000000100000000 -100001000111000000100000000111000000000010000001000000 +000000000000000001100111100001101000000100000001000000 +000000000000000101000110111111111010000000000000000000 +000000000000001001100111110000011000000011110000000000 +000000000000000111000110000000000000111100000000000000 +000000000000000001100110000111101110100001010000000000 +000000000000001001000010111101101000110011110000000010 +000001001010000001000111100111011010111000000000000000 +000000000000000000100100001011001100110000000000000000 +000001000000000001100010100001001001010000000000000000 +000000100000000000100011101111011011000000000010000000 +000000000000000000000000000011100000000010000000000000 +000010000000000000000010001101101100000011000000000000 +000000000000001101100011100111000000000000010000000000 +001000000000000101000010001001101101000000110000000000 +000000000000000000000000011001111010000100000000000010 +001000000000000000000010100001101010000000000000000000 .logic_tile 7 5 -000000000000100001100111011001101011000010000000000000 -000000001010010101000111010011111101000000000000000000 -111000000000000001000011101101011101110011000000000000 -000000001010000000100110010001011110000000000000000000 -110000000000000011100000001001011011100000000000000000 -011000000000000000100011110101011011000000000000000000 -000000000000010001100011010001011000011100000000100000 -000000000000100000000110000111011001111100000000000000 -000000000000001011100111110011000000000001000000000001 -000000000000000001100111110011100000000000000000100000 -000000100000001000000010011111111100110011000000000000 -000000000000001111000111111011101111000000000000000000 -000010100000000000000000010001101011110011000000000000 -001001000000000101000011011001111110000000000000000000 -010000000010000011100010100000000000000000000100000000 -100000000000000001000100000011000000000010000001000000 +010000000000000001100111101001000000000000000000000000 +001000000000000001000110011101100000000001000000000000 +000000000000000101000010100011000000000000100000000000 +000000000000000000100011001101101110000000000000000000 +000000000000000101000111100001100001000010100001000000 +001000000000000000000111100001001001000001100000000000 +000000000000000000000110000101001010000011100000000000 +000100000000001101000011000001101111000011110000100000 +000000000000001000000000000001111100101001010000000000 +000000000000000011000010111001111011011001010000000000 +000000000000000000000110001111000001000010100000100000 +000000000000000000000000000101101011000001100000000000 +000000000000001000000111000101001110000000000000100000 +001000000000000011000011000011111101100000000000000000 +000000000000000000000000000011000000000010000000000000 +000000000000000000000000001101101110000000000000000000 .logic_tile 8 5 -000000000000000001000111010001011101000000000000000000 -000000000000000001100110000111001100100000000000000000 -111000000000100011100111110001000000000010000000000100 -000000000000000001100111101011000000000000000000000000 -001010000000001000000000000011000000000000000000000000 -001000000110000001000000000011000000000001000000000000 -110000000000000001100010001011000000000001000010000100 -110000000000010000000000001011100000000000000000000001 -000000000000001001100010111001100001000011000000000000 -000000000000001111000111101101101001000010000010000100 -000000000011011111100111100101111111111100000001000000 -000000001110100001100100001111111001011100000000000010 -000000000000000011010000010001101001011100000000000110 -001000000000000000100011101001011000001100000000100000 -000000000000000000000110000101100000000001000110000010 -001000001110000000000000000101000000000011000000100000 +010000000000000000000110000001100001000001010000000001 +001000000000010000000011111101101110000010010000000000 +111000000100001000000110000000000000000000000000000000 +000000000000000001010000000000000000000000000000000000 +010001000000000000000010001001000001000001010000000000 +011000000000000111000110010001101110000010010000000000 +000000000000000001000000000111000000000001100100000000 +000000000000000000010000000101001110000010101000000000 +000000000000000000000000010101000001000001100100000000 +000000000000000000000011111011101100000010101000000000 +000000000000000011000000000101100000000001100100000001 +000000000000000001000000001111001110000010101000000000 +000000000000000001000010000000000000000000000000000000 +001000000000000001100110000000000000000000000000000000 +010000000000000101100000001111000000000001100100000000 +100000000000000000000000000011101110000010101000000000 .logic_tile 9 5 -000000000000001011100011111011001011110011110001000000 -000000000000001111100111100001011011010011110000000000 -111000000000011001100111111011101010111100000000000000 -000000000000001011000111101011111000011100000000000000 -000000000000011011100110010001100000000010010010000000 -000010000000101011000011101001101010000001010000000000 -000000000000001111100111000011111000110011110000000000 -000000000000000001100011011111111001010011110000000000 -000000000000000111000000011001001011100000000000000000 -000000000000000001100011101001001010110000000000000000 -000000000000100000000010000101001101000110100000000000 -000000000000110000000111111001011011001110100000000000 -000001000000000001100000010101100000000011000100000000 -001000100000000000000010110001001110000011010011000001 -000000001100000000000000000101101101111111000100100000 -000000001010000000010000000101001000111110000001000000 +010000000000000111000000000000000000000010000011000000 +000000000000001011000010011001000000000000000000000000 +000000100100000000000110000001001011001010000000000000 +000001000110000000000011011101001001000110000000000000 +000000000000011111000000011001011000100100000000000000 +000000000000000001100010101001011010101000000000000000 +000000000000001000000000000000000000000000000000000000 +000001000000001111000000000000000000000000000000000000 +000100000000010000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001001000000000000000000100000 +000010000000000000000000000101100000000011000000000000 +000010100000000000000000000000000000000000000000000000 +001001000000000000000000000000000000000000000000000000 +000000000100000000000000000000000000001111000000000000 +000000000001000000000000000000001010110000110000000000 .ramb_tile 10 5 000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 +000010100000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000001110000000000000000000000000000 -000000000001100000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 5 -000010000000001001100011110101000001000000000001000000 -000001000000001111000011011011101100000000010000000000 -111000000100000000000010000001000001000000000000000000 -000000000000000011000110101111101101000000010001000000 -000000000001000011000110010001001110010111000000000000 -001000000000101001000011010001001100111111000000000010 -000001000000100101000110000001111001111100000000000000 -000000000010000000000000000101101001011100000000000000 -000010100000001111100000011111001101110000000000000001 -000001000000001011000010111101101111100000000001000000 -000000000000001111100110001101100000000000000000000000 -000010000000000111100000001111001001000000010000000010 -000000000000001111100000010001111100101000000000000011 -001000000000001101000010110111101010011000000000000000 -000000000100001000000111101101001011000011110110000000 -000000000000001111000010000111011100100011110000000000 -.logic_tile 12 5 -000000000001010000000000000001100000000000001000000000 -000000000000100000000000000000000000000000000000001000 -111000000000000101000000000101100001000000001000000000 -000000000000010000000000000000101100000000000000000000 -010000000000000011100111000101101000000011110000100000 -111000000000000000100100000000100000111100000000000000 -000000001110000000000010100000000000000000000000000000 -000000000000000000000010100000000000000000000000000000 -000000000001000000000000000000000000000000000100100000 -000000000000100000000000000101000000000010000001000000 -000000000000010111000000000000000000000000000000000000 -001000000010000000000000000000000000000000000000000000 +110000000000000111000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +111000000000001000000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000001 +000000000000000000000000000001000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +011000000000000000000000000000000000000000000000000000 +.logic_tile 12 5 +010000000000000011100110000001100000000000001000000000 +000000000000000111100000000000100000000000000000001000 +111000000000000111100011000000000000000000001000000000 +000000000000000001000000000000001000000000000000000000 +000000000000010000000000000000001001001100110000000000 +000000000000100000000000000000001010110011000001000000 +010000000000001101000000000001100001001100110000000000 +000000000000000001000000000000001010110011000000000000 +000000000000000000000000000001000000000000000100000010 +000000000000000000000000000001100000000001000000000000 +000000000001000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000001000000000000000000001000000000000000000100000100 +000000000000000000000000000001000000000010000001000000 .io_tile 13 5 000000000000000000 000000000000000000 @@ -1384,13 +1384,13 @@ 000000000000000000 000000000000000000 000000000000000000 -000100000000000000 -000000000000001000 +000100000000001000 +000000000000000000 000000000000000000 000100000000000000 000000000000000000 000000000000000000 -000000000000100000 +000000000000000000 000000000000000000 000000000000000000 000000000000000000 @@ -1407,226 +1407,226 @@ 000100000000000000 000000000000000000 000000000000000000 -000000000000010000 +000000000000000000 000000000000000000 000000000000000000 000000000000000000 .logic_tile 1 6 -000001000000000000000010101101100000000000000000000010 -000000000000000000000010000001101101000000110000000000 -111000000000001000000010100000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 -010000000000000000000011101001100000000010000000000000 -110000000000000000000000000101101001000000000000000000 -000000000000000111000000010000000000000000000000000000 -000000000000000000100010000000000000000000000000000000 -000000000000000000000011011101100001000000110100000000 -000000000000000000000010000001101101000000000000000000 -000000000000000000000000001111000000000011000100000000 -000000100000000000000011011001100000000010000000000000 -000000000000000000000011001011000000000011000110000000 -000000000000000000010000000101100000000010000000000000 -010000000000000000000000000111000000000011000100000000 -100000000000000000000000001001100000000010000000000000 +100001000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000000001000000000000000000101000000 +010000000000000000000000000011000000000010000010000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010010000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000011000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 2 6 -000001000000001111000010100011000000000000001000000000 -000000100000000011100010000000000000000000000000001000 -111000000000000011100000000000000001000000001000000000 -000000000000001001100000000000001111000000000000000000 -110000000000000000000000000000001001001100110000000000 -010000000000000000000000000000001010110011000000000100 -000000000000000101100000001001001101011010010001000001 -000000000000000101100000000101001000000000000010000000 -000000000000000111000000001001000001000001010000000010 -000000000000001111100011111111001000000010010000000000 -000000100001010000000000001000000000000000000100100000 -000000001110100000000000000111000000000010000000000000 -000000000000000000000000000000000000000000000100000000 -000000001000000000000000001001000000000010000010000000 -010100000000000000000011100000000000000000000110000000 -100100000000000001000011101001000000000010000000100000 +000000000100000000000111111000000000000010000010000000 +000000000000001001000110000111000000000000000000000000 +000000000000000111100000000111000000000000010000000000 +000000000000001001000000001011001111000000000000000100 +000000000000000000000000010001100000000010000010000000 +000000000000000000000010100011001101000000000000000000 +000000000000000000000000000001000000000000000000000000 +000000000000000000000000000011000000000001000000000000 +000000000000001111000110011000000000000010000000100000 +000000001110000011100010010001000000000000000000000001 +000000100000001000000000000001000000000000000000100000 +000001000000000011000000001101101001000000010000000000 +000000000000000111000000001000000000000010000000000000 +000000000000000000000011100011000000000000000010000000 +000100000000000011000011000111100000000000010000000010 +000000000000000000100100001011101111000000000000000000 .ramt_tile 3 6 000000000000000000000000000000000000000000 -000010100001010000000000000000000000000000 -000000000000000000010000000000000000000000 000000000000000000000000000000000000000000 -000000001111010000000000000000000000000000 -000000000000100000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001110100000000000000000000000000000 -000000000001010000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000001000001000000000000000000000000000000 -000010100000100000000000000000000000000000 -000000000000000000010000000000000000000000 -000000000001010000000000000000000000000000 +000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 4 6 -000001000000001111100110000001000000000000000001000000 -000000100000000011100011010011100000000001000000100000 -111000000000000111100011000111001101000100000001000000 -000010100101011111000100000111101010100000000001000000 -110000000001000001100000001111011010110011000000000000 -010000000000100000000000000011111000000000000000000000 -000000001000000000000000001001100000000000110000000010 -000000001010001111000011010011001110000000000000000000 -000000000000000000000000000001100000001111000000000000 -000000000000001111000011100000000000110000110001000000 -000000100000001001000110000011011001000100100000000001 -000001001010000011000000000111001100000000000000000011 -000000000001010000000010010001111100000110100000000000 -000000000000000111000011011001111010001111110000000000 -011000100001000000000000000000000000000000000100000000 -100000000000000000000000000001000000000010000010100000 +000000000000001000000000000101100000000000001000000000 +000000001100001001000000000000000000000000000000001000 +000000001000000001100000000101000000000000001000000000 +000000000000000000100000000000001110000000000000000000 +000000000000000111000000000000000001000000001000000000 +000000000000000000000000000000001100000000000000000000 +000000000000000000000110000000000001000000001000000000 +000000000000001011000100000000001000000000000000000000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001011000000000000000000 +000000000000001000000000000000000001000000001000000000 +000000000000000111000000000000001110000000000000000000 +000000000000001000000000000000000000000000001000000000 +000000000000001111000000000000001000000000000000000000 +000000000000001000000000000000001000111100000000000000 +000000000000000101000000000000000000111100000000000100 .logic_tile 5 6 -001000000000001101000110101001101100110011000000000000 -000000100010000001110010001111011110000000000000000000 -111100000000001011100111000011111101010100110000000010 -000000000000000001000010101001101111011100110000000000 -010000000000111101100111111001001010011111110001000000 -110000000001010101000110100001011000111111110000000000 -000010000000000011100110001101011010000110100000000000 -000001000000000000000010001011001010001111110000000000 -000101000000001101100010000011011001110011000000000000 -000100001110000111100011110011011110000000000000000000 -000000000000000111000110011001011010000010000000000000 -000000100000000001100110001001111111000000000000000001 -000000000000100111100000011011011010101001010000000000 -000000000000000000000011101001111101100101010000000000 -010000000000000011100010011000000000000000000100000000 -100000001010000000100111010011000000000010000001000000 +000000000000001111100111111001011001000000000000000010 +000000001100000001100111110001001110110000000000000000 +000000000000000111000111000101000000000000000000000000 +000000000000001011100100001011101111000000010000000000 +000000000000010001100010011001000000000000000000000000 +000000001101110000000110000101101010000000010000000000 +000000000000000011100000011001001111000010000000000000 +000000000000001011100011000101101011000000000000000001 +000011100000000111000111110000000000000010000000000000 +000001100000000000100011100111000000000000000000000000 +000001000000001000000000001111001100000000000000000000 +000000100100000101000000001001111001100000000000000001 +001000000000000111000111111011000000000000000000000001 +000000000000000000000011100011000000000001000000000010 +000000000000010101000000000001000000000001000000000000 +000100000000100000100000001101000000000000000000000100 .logic_tile 6 6 -000000000000001000000111101011100000000001000000000000 -000000000000001111000111100001100000000000000000000000 -111000000110000011100110001001111011000110100000000000 -000000000000000011100011001001111010001111110001000000 -010010100000001111000111000101001001110000000000000000 -010000001110001111000010010011111100110001010010000000 -000000000000000011100010001101001110000110100000000100 -000000001100000011100011011101101010001111110000000000 -000011100000001011100000011111011000010111110000000000 -000011100000000001000011101111101010011011110000000000 -000000000000001011100111101001001101010000000000000000 -000001000000000001000111001111011110110000000000000000 -000000000000010111100000011011101001110001010000000000 -000000001100100000000011111011011010110000000000100000 -010000000001001000000111100000000000000000000100000100 -100000000000001101000110010011000000000010000000000000 +100000000000001000000010100001000000000000110100100000 +000000001100001001000010100001101100000000100011000000 +111000000000001000000010100000000000000000000000000000 +000000000000000011000010100000000000000000000000000000 +110000000000000000000111001000000000000010000100000000 +100000000000000000000110101101000000000000000000000010 +000000000000000111100010011001101010111100010110100000 +000000000000000000100010101011011110111100000001000000 +000001000000001000000010001001111010110011110100000000 +000010101110001101000010001011111001010010100000000000 +000000000000000000000011111111011010101101010100000000 +000000000000000000000011001001101011001100000000000000 +000001000000000000000011101001001100110011110100000000 +000000000000000001000100001001111101010011110000000000 +000000100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 .logic_tile 7 6 -000000000000000111000111110001100000000000000000100001 -000000001110000111000111001001100000000001000001000000 -111000000000010001100111000111100000000010000000000100 -000000000000001001000100000001101010000000000000000000 -110000000001010001100000001001100000000000000000000100 -010000000000001001000010011011001010000000010010000010 -000000000000001000000011100101100001000000100000000000 -000000000000010001000000001101101010000000000000000010 -000000000010000000000000001011100000000000010000000000 -000000000010000000000010010011001000000000000000000000 -000000000000001111100000010101111001000010000000000000 -000000001110001011000011111101101110000000000000000000 -000000000000000000000000001000000000000000000100000000 -000000000000000000000000000111000000000010000001100000 -010000000000001011000010000000000000000000000100000101 -100000000110001011000000001001000000000010000000000000 +000000000000000011100011100001100000000001000000000000 +000100000000001111000111100101000000000000000001000010 +111010000100101101100011111011111110010000000000100000 +000000000001111111000010101001111011000000000000000000 +010000000000001011100011010111111011010000000000000000 +110000000110001111010110000011011011000000000000000000 +000000100000001111000010000001100000000001000000100000 +000000000010001111000011100001100000000000000000000000 +000000000000001011100000001101000001000001100100000000 +000000000000001101000011100101001000000010101000000000 +000000000001000000000000000011000001000001100100000000 +000000000000000000000011110011101010000010101000000000 +000000000000000000000000000001000001000001100100000000 +000010000000000000000000001001101000000010101000000010 +010000001000001000000011001001000001000001100100000000 +100000000000000011000100000111001010000010101000100000 .logic_tile 8 6 -000000000000000000000011010001000001000000000000100000 -000000000000000000000111111101101010000000010000000000 -111000000000001000000111010000000000011010010000000110 -000000000000000111000010000000001010100101100001000000 -110000000000001111100110111011000000000001000000000010 -110000000000001011100010100001000000000000000000100000 -000000000100001001100000001001100001000011010000000000 -000000000000000111000000001001101010000011000001100000 -000010100000100001000000001101100000000000000000000010 -000001000000010000100000001001000000000001000000000000 -000000100000000000000000000001000000000000000000000000 -000011000000000000000000001101100000000001000000000010 -000001000000000000000011000011000001000000000000000000 -000000000000000000000000000101001110000000010000000000 -010000000001000111110000001000000000000000000101000000 -100000000000110000000011111111000000000010000000000000 +000000000000000111100011110000000000000000000000000000 +000000000000000001000011100000000000000000000000000000 +111000000110001001100000001111101011111001000000000000 +000000000010000001000010001101111010111010000000000000 +010000001011010001100110000001100000000001010000000000 +000000000000100000000010010101001101000010010000100000 +000001001110001111100000010101101101101100010000000000 +000000000000000011000011101101001010101100100000000000 +000000000000001000000000011111111100101100010000000000 +000000000000000011000010110011111001101100100000000000 +110001000100000000000111001001011001111001000000000000 +000010100000001001000011110111001010111010000000000000 +000000001110000000000000001001001001110000000000100100 +000000000000000101000000001011011001111000000000000000 +010010000000000000000010000001100000000010000100000000 +000001001010000001000110010111100000000000000000000100 .logic_tile 9 6 -000000000000000111000000000111000000001111000011000100 -000000000000001011000000000000100000110000110000100000 -111000000000000000000000000001000000000001000000000000 -000000000000000000000000000001000000000000000011000000 -011101000000000001100111111101000000000001000001000000 -110010000000000000000111100111100000000000000000000001 -000000100000000000000010001000000000000010000000000000 -000001000010001001000000000101000000000000000000000000 -000000000000000000000000000000000000000010000000000000 -000000000000010000000000000101000000000000000000000000 -000010100000000000000000001000000000000010000000000000 -000001000000000000000000001111000000000000000000000000 -000000000000000000000000011000000000000000000100000010 -000000000000000000000011011101000000000010000000000001 -000010100000000101000000000000000000000000000000000000 -000001000000000000100010110000000000000000000000000000 +000000000001010111000010000011000000000000001000000000 +000000000100100001000000000000000000000000000000001000 +000000000000000000000000000000000000000000001000000000 +000000000110000000000010010000001111000000000000000000 +000000000101010000000000000000001000001100111000000000 +000000000000100000000000000000001000110011000000000000 +000000000000001001100110100000001000001100111000000000 +000000000000001111000000000000001000110011000000000000 +000000000000000111100111100000001001001100111000000000 +000000000110000000000100000000001011110011000000000000 +000000000000000000000000000000001000001100111000000000 +000000000000000000000000000000001001110011000000000000 +000000000000000000000000010000001001001100110000000000 +000000000000000000000011100000001001110011000000000000 +000000000001000000000000001101100000000000100000000000 +000000000000000000000000000101001101000000000000000000 .ramt_tile 10 6 -000000000100000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000 +000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000001010000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000001010000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 6 -000000000000000001100110010101100000000000100000000000 -000000000000001011000011001001001100000000000000000010 -111000001110000000000000000101100000000001000000000000 -000000000000000000000010101011000000000000000001000000 -000000000000000001100110000000000000000010000000000001 -000000000000001011000000000101000000000000000000000000 -000000000001000001100110000101100000000001000000000000 -000000000000100011000010101011100000000000000000000010 -000000000000001000000111000000000000000010000010000000 -000010100000000111000011111101000000000000000000000000 -000000000000000101100000010011111000101000110100100000 -000000000000000000000010100101111000111100110000000101 -000000000000000000000000000011111010101000110100100000 -000000000000000000000000000001011101111100110000100100 -000000000000000000000110100111111000101000110100100000 -000000000000000000000000000101111001111100110000100001 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000001000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 12 6 -000000000000000011100111100011000000000000001000000000 -000000000000010000100100000000000000000000000000001000 -000000100000000000000111000000000001000000001000000000 -000000000110000000000000000000001101000000000000000000 -000000000000010101100000000000001001001100111000000000 -000000000000100000000000000000001110110011000000000000 -000000000000000000000000000000001000001100111000000100 -000000000000000000000000000000001000110011000000000000 -000000000000000111000000000000001001001100111000000000 -000000000000000000100000000000001100110011000000000000 -000000000000000000010111000000001000001100111000000000 -000010000000101101000000000000001001110011000000000000 -000010000001010000000000000000001000001100111000000000 -000001000000100000000000000000001010110011000000000000 -000000000000000011100000000000001001001100110000000000 -000100000000000000000000000000001001110011000000000000 +100000000000001000000000000000000000000000000000000000 +000000000000001101000000000000000000000000000000000000 +111000000000000000000000001000000000000000000100000000 +000000000000000000000000000011000000000010000000000100 +110100000001000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000000000000000 +000000000000000111100011000000000000000000000100000000 +000000000000000000100100000001000000000010000000000100 +000000000000001011000000000000000000000000000100000000 +000000000000001011100011001011000000000010000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000001011000000000010000000000000 +110001100000000000000000000000000000000000000100000000 +010010100000000000000000000011000000000010000000100000 .io_tile 13 6 000000000000000010 000100000000000000 -000010000000000000 -000000110000000001 -000000000010000001 -000000000011000000 -001101011000000000 -000000001000000000 +000000000000000000 +000000000000000001 +000000011010000001 +000000001001000000 +001110000000000000 +000010010000000000 000000000000000000 000100000000000000 -000000000000000010 +000000000010000010 000000000011000000 000000000000000000 000000000000000001 @@ -1650,219 +1650,219 @@ 000000000000000000 000000000000000000 .logic_tile 1 7 -000000000000000001000000000000000000000000000000000000 -000000000000000000100011100000000000000000000000000000 -111000000000001001000000000000000000000000000000000000 -000000000000000011100000000000000000000000000000000000 -010000000000100000000011101001011100011001010100000001 -110000001010000000000111001011001001011010100000000010 +100000000000000000000000001000000000000000000100000001 +000000000000000000000000000001000000000010000011000000 +111000000000000000000000000000000000000000000000000000 +000000000000001101000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010000000000000000000001101000001000001010110000000 -000000000000000000010000000001101110000010010000100000 -000000000000000011100000001111000001000001010110000000 -000000000000000000100011010001101100000010010000100000 -000000000000000000000011000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000011100000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 .logic_tile 2 7 -000010000000001000010000000011000000000000001000000000 -000000000000001111000011000000100000000000000000001000 -111000100000010000000000000000000001000000001000000000 -000001000000100000000000000000001110000000000000000000 -010001000000000000000000000000000000000000001000000000 -010000100000000000000000000000001100000000000000000000 -000000000001010000000000000000000001000000001000000000 -000000000000100000000000000000001100000000000000000000 -000000000000001011100010000000001000111100000000000000 -000000000000001011110100000000000000111100000000000100 -000010100000001001000000000000000000000000000000000000 -000001000110001011100000000000000000000000000000000010 -000000000001010000000000000000000000000000000100000001 -000000000000000000000000000001000000000010000000000001 -110100000000000000000000000000000000000000000100100000 -010100000000001011000000000011000000000010000000000000 +100000000000000000000000001000000000000000000110000001 +000000000000000000000000000011000000000010000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +010000000010000000000000000000000000000000000000000000 +000100000000010000000000000000000000000000000000000000 +000000000100100000000000000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000010110000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001101000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .ramb_tile 3 7 000000000000000000000000000000000000000000 -000000000000000000000000000000000000100000 -000000000000000000000000000000000000001000 +000000010000000000000000000000000000000000 000000000000000000000000000000000000000000 -010000001110000000000111000000000000000001 -110000000000000000000100000000000000000000 -000000000000000000000000000000000000001000 000000000000000000000000000000000000000000 -000010100001110000000000000000000000010000 -000101000001110000000000000000000000000000 +000000001010000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000100000000000000000000000000000000 +000000000001000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000000010000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000100000 -000000000000000000010000000000000000000001 -000000000000000000010000000000000000000000 -000100000000000000000000000000000000000000 -000100000000000000000000000000000000001000 .logic_tile 4 7 -000000000000001111100010000111011011100000000000000000 -000000000000010011000011001111111011000000000000000001 -111000000000000001100111001011100000000010100001000000 -000000000000000111000100001001101011000010010000000000 -010000000010000111100010011101000000000010000000000000 -000000000000000101100111111011001011000011000000000000 -000000000000010011100011000111000000000000110001000001 -000000000000100111000110101011001000000000010001000000 -000000000000001001000010000111111011000110100000000000 -000000000000000011110000000101101010001111110000000010 -000000000000000000000000001001100000000010100000000000 -000000000000000000000011101111001011000010010000000000 -000000000000001001000110110001101110000100000100000000 -000000000000000011000110001101001000001100000000000100 -110000000000000000000000010001001100000100000100000000 -000100000000000000000011111001101100001100000000000100 +000000000000000111100110000011100000000000001000000000 +000000000000000000100100000000000000000000000000001000 +000000000000000101000111010000000000000000001000000000 +000000001010000000100111000000001010000000000000000000 +000000000000001000000010110101100000000000001000000000 +000000000000000111000111110000001011000000000000000000 +000000000000001001100000000000000001000000001000000000 +000000000000000011000000000000001000000000000000000000 +000000000000001000000000000000001000111100000000100000 +000000000000001111000000000000000000111100000000000000 +000000000000000101000000000011000000000010000000000000 +000000000000000000000000000001001011000000000000000001 +000100000000000000000000001001000001000000100000000000 +000000000000000000000000000101101001000000110000100010 +000000000000000000000000001101100000000000000000000000 +000000000000000000000000001001001001000000010000000000 .logic_tile 5 7 -000000000110000000000010001111000000000001000000000000 -000000000000000001000000001001100000000000000010100000 -111000000100010101000111000111111100110011000000000000 -000100000000001001100010010111111001000000000000000000 -110000000010000001000000011111111001000010000000000000 -110000000110001001100011011111111101000000000000000000 -000000000001000001000010101011111000010000000000000000 -000001000000100000100111110001001011110000000000100000 -000000000100001000000111001011100001000010100000000010 -000000001110000101000111101101101110000010010000000000 -000010000100000000000011111111101101000110100000000000 -000000000110001111000011100001001111001111110000000100 -000000000111011000000011110011000000000001100100000000 -000000000000100111000110000101101000000010101000000000 -010000001110000101100010101011000000000001100100000000 -110000000001010000000110111101101010000010101000000000 +000010100001000001100010001011111011000011110000000000 +000000000000000011000010001111111101000011100000000000 +000000000000001101100110100101111100011100000000000000 +000001000000000001000010010011001011111100000000000000 +000000000000000000000010000001101001111110110000000000 +000000000000001011000110010011111000111100110000100000 +000000100000001101100000000001011101111100000000000000 +000001001100100001000010110011101000011100000000000001 +000000000000001001000011000001000001000000010000000000 +000000000000000001100000000111001001000000110000000000 +000000000000000001100010011011100000000000000000000000 +000000000000001001000110001111101101000000010000000000 +000000000000000011100111000101011110010000000000000000 +000010000000010000000000001111001000000000000000000000 +000000000000000000000011001101000001000010000000000000 +000000000010000001000011010101101100000000000000000000 .logic_tile 6 7 -000000000000000111100111110011000000000001000000100000 -000000000000000000100010001001100000000000000000000000 -111000000000111001100110011111011010000100000000100000 -000001001000010001000010001111001000001100000000000000 -110000000000000111100000000101100000000000000000000000 -110000000010000000100000000000000000111111110000000000 -000000000000001101000111101101101011010100000000100000 -000000000000000111000111011111101000100100000000000000 -000000000000001000000010000011100001000000000000000000 -000000000000001011000000000011001110000000010000000000 -000010000000000111000010000001000000000000100000000000 -000000000000000000100100001001101101000001000001000100 -000000000000000111100111101011100001000001100100000000 -000000000000000000100000001001101000000010100000000000 -010000000000000111100010011111100001000001100100000000 -100000000001010000100110001001101011000010100010000000 +000000000000001001100000011011100000000000000000000000 +000000000000001111000011111111100000000001000011000100 +000010000001000101100110011111101101101100000000000000 +000001001110000000100011111001101101101100100000000000 +000000000000001000000110000101101010010000000000000000 +000000000000001111000010010001011111000000000000000000 +000000000000000001100000001001100000000000000000000000 +000000000001001011000000000001100000000001000000000000 +000000100000001001000000000101011010011100000000000000 +000001000000000101000000001111101000111100000000000010 +000010000001010101000010001011001001001100000000000000 +000000000000100000100000000111011100000100000000000000 +000000000000000000000110101001001100000011010000000000 +000000000000000000000000000101001011000011000000000100 +000000000000001000000010100111000000000000000000000000 +000000000000010101000100000101100000000001000000000000 .logic_tile 7 7 -000000000000001001000000001011101010101011110000000000 -000000000000000011000011010111111000100111110000000000 -111000000000000000000010011111001001110110000000000000 -000000000000000000000111010101011011110101000000000000 -000010100000001001100110011011100000000000000000000000 -000000000100000001000010001101000000000001000000000000 -000001100001000001000011110101111101101011110000000000 -000000000110001001100111000001001011100111110000000000 -000000000000011111100000010011101010110000000000000000 -000000000000101101100010110101001011100000000010000000 -000000000000000111010111100001111011011100100000100000 -000100000000000001100000000011111011011100010000000000 -000000000000000011100000000111111110010000000000000100 -000000000000000000100000001011011100000000000000000000 -010000000000011101100000011000000000000000000100000100 -100000000000001101100010111001000000000010000000000000 +000000000000001001100010000001000000000001010010000001 +000000000000000001000000000111001101000010010000000000 +111010100000000001000110000000000000011010010000000000 +000000000000000000000110110000001100100101100000000000 +011000000000000000000000001001101001000010000001000001 +000000000000000000000000001001111011000000000000000001 +000000000000000000000010100111100000000001000000000000 +000000000000000000000100001011100000000000000000000000 +110000000000010111000110110011100001000000000000000000 +000000001100100000000010101111101100000000010000000000 +000000000000001101100110101001000000000010000000000000 +000000000000000101000000000011000000000000000000000100 +000000000000010111000110100001100000000010000110100100 +000010000000100000000000001111000000000000000000000000 +010000000000000000000110100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 8 7 -000000000000100101000011101001000000000010000000000000 -000000000001010000100111110101000000000000000010000010 -111000000000000011000111110101111001100000000000000000 -000000000000000000000111101001111010000000000000000000 -010000000000000001100010010101000000000001000000000000 -010000000000000000010010101101100000000000000000000000 -000000000001111101000010011011000001000011010010100000 -000000000000100111100010000111101000000011000000100000 -000000000000000000000111011101000000000001000000000000 -000000000000000111000111111011100000000000000000100000 -000010000000001000000000001101001100100000000000000000 -000101000000001111000010011101001101000000000000000000 -000100000000001000000000001001100000000001000001000100 -000000000000001011000000000011100000000000000000000000 -000011100000100000000000000000000000000000000100000010 -000001000000000001000000000111000000000010000000000000 +000000000001000000000010011011101101111100100000000000 +000000000000100001000010001001001011111100110000000000 +000000000000011000000111011011100001000011000000000000 +000000000000000011000110000111001101000010000000000000 +000000000000001000000110011011100001000000100000000000 +000000000110000001000110011001001101000000110000000000 +000000000000000000000110011101000000000010100000000000 +000000000000000000000110101101101111000001100000000000 +000010100000001001100110101101000000000010100000000000 +000000000000000101000000000001101001000001100001000000 +000000000000001101100010110001000001000010010010100000 +000000000000001011000110101001101001000011000001000000 +000000000000000111100000010111111000100000000000100000 +000000000000000000100010000001111110000000000000000000 +000000000000000000000000000011000000000000010000000000 +000000000000000111000010111011001010000000000000000000 .logic_tile 9 7 -000010000000000111000010100001000000000000001000000000 -000001000000000000000000000000100000000000000000001000 -000010100001010111100000000000000000000000001000000000 -000001001111010101100000000000001000000000000000000000 -000000000010000000000000000000000001000000001000000000 -000000000000001011000000000000001010000000000000000000 -001000000000100101000000000000000000000000001000000000 -000000000000000000000000000000001010000000000000000000 -000000100000000000010000000000000001000000001000000000 -000000001000000000000000000000001000000000000000000000 -000000000000000000000000000000000001000000001000000000 -000000100010000000000000000000001000000000000000000000 -000000000000000000000011100000000000000000001000000000 -000000000000000000000000000000001111000000000000000000 -000000000000000000000000000000001000111100000000000000 -000010000000000000000000000000000000111100000000000010 +000000000001010001100010011001101011111100100000000000 +000000000000001111000011111101011100111100110000000000 +111000000000001001100000000001100001000000100000000000 +000000000000001101000010100011101100000000000000000000 +010000000000100000000000010011100001000011000000000000 +010000001100000000000010000001001111000010000000000000 +000000000000000001100011011101111000111100100000000000 +000000000000000101000110101101001000111100110000000000 +000000000000000111000000000101100001000000100000000000 +000000000000000000100000000001001110000000000000000000 +000000000000001001000110011111000000000000010000000000 +000000000000000001100110001011001111000000000000000000 +000000100000000001000000010011100000000000000000000000 +000001000000000000000011000001000000000001000000100100 +010000000000000001000110010011100001000011000100000010 +000000000000000000100110111001101111000010100000000010 .ramb_tile 10 7 +000000000000000000000000000000000000001000 000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000010000000000000000000000000000000000000 -000001000000000000000000000000000000000000 +000000000000000000000000000000000000000010 +000000000000000000000000000000000000000000 +110000000000000000000000000000000000000100 +110000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000010000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000000000010000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000010000000000000000000000000000 +000000000000000000000011100000000000000000 +000000000000000000000000000000000000000010 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 .logic_tile 11 7 -000000000000000000000000000001100001000000001000000000 -000000000000000000000000000000001101000000000000000000 -111000000100001001000010010000001000001100111000000000 -000000001110000001000111010000001101110011000000000000 -110000000000000000000000000000001000001100111000000000 -110000000000000000000000000000001101110011000000000100 -000001000000000000000000000000001001001100110000000000 -000000000010000000000000000000001101110011000000000000 -110000000000010000000110111011100000000001000000000000 -000000000000100000000011011001000000000000000000000010 -000000100100000101100110111011011100100000000000100101 -000000000000000000000010101011111101000000000000000100 -000000000000000000000011001000000000000010000000100000 -000000000000000000000000000001000000000000000000000000 -000000000110000000000000001000000000000000000110000000 -000000000000000000000000001001000000000010000001000000 +000000000000000011100000001111100000000011000001000000 +000010001100001001000000000101001100000010000000000000 +111000001110000001100010000000000000000000000000000000 +000000000000001001000010000000000000000000000000000000 +010000000000000000000010000000000000000000000000000000 +110000000001010000000110010000000000000000000000000000 +000000000000000101000000001111011000011100000000000000 +000000000000000000100000000001011000111000000000000010 +000000000000000000000000000000000000000000000000000000 +000000000000001001000000000000000000000000000000000000 +010000000000000000000000000001000001000000110000000000 +100000000000000000000000001101001001000000000000000000 +110000000000000001000000001000000000000000000100000000 +110000000000000000100000000001000000000010000000000000 +010000000000000000000000000000000000000000000000000000 +000100000000001001000000000000000000000000000000000000 .logic_tile 12 7 -000010000000000011100010100001100001001100110000000000 -000001000000000111100100000000101101110011000000000000 -111000000000000011100010011001111011101000110110000110 -000000000000000101010011010011101010111100110000000000 -000010000000001101100110101101011000101000110100000010 -000000000000000001000010100111101000111100110001100000 -000000000000000011100000000011001010011100000100000000 -000010000000000011000000001011101100111100000000000000 -000000000000001111100111010001000001000001100110000100 -000000000000001011100111101111101010000010100000000000 -000000000000100011100000011001111010101000110100100100 -000000000000000000100010000011001000111100110001000000 -110000000000000111100010110111001010011100000100000000 -000000000000000000100111100011101110111100000000000000 -000000000000000011100000000011001010011100000100000000 -000000000000000000100000001011111100111100000000000000 +000000000000001001100000010001100001000000001000000000 +000000000000001111000010000000001100000000000000000000 +111000000000000001000110010000001001001100111000000000 +000000000000000000000010000000001011110011000000000000 +000000000000001000000110000000001001001100111000000000 +000000000000001111000000000000001011110011000000000000 +000000000000000001100110010000001001001100110000000000 +000000000000000000000010000000001100110011000000000000 +010000000000000000000000001001111111100000000000000000 +000000000000000000000000000101101001000000000000000000 +000000000100001001100000000101000000000000000100000000 +000000000000001101000000000101100000000001000000000000 +000000000000000000000000000001000000000000000100000000 +000000000000000000000000000001000000000001000000000000 +000000000000001000000000000001000000000000000100000000 +000000000000001101000000000101100000000001000000000000 .io_tile 13 7 -000000000000000010 -000100000000000000 +000010000000000010 +000111110000000000 000000000000000000 000000000000000001 -000000000000100010 +000000000000000010 000000000000010000 001000000000000000 +000000000001100000 000000000000000000 -000000110000000000 000100000000000000 010000000000000000 000000000000000000 @@ -1872,7 +1872,7 @@ 000000000000000000 .io_tile 0 8 000000000000000000 -000000000000000000 +000000000001000000 000000000000000000 000000000000000000 000000000000001100 @@ -1888,233 +1888,233 @@ 000000000000000000 000000000000000000 .logic_tile 1 8 -000000000000000000000000011000000000000000000100000000 -000000000000000000000011101101000000000010000001000010 -111000000000000101000000000000000000000000000000000000 -000000000000000000100010110000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +110000000000000000000011000000000000000000000000000000 +110000000000000000000100000000000000000000000000000000 +000000000001010000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000011 +000000000000000000000000001101000000000010001100000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 8 +100000000000000000000000001000000000000000000101100000 +000000000000000000000000000001000000000010000001100000 +111000000000001000000000000000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 010000000000000000000000000000000000000000000000000000 -000000000001010000000010100000000000000000000000000000 -000000000000100000000100000000000000000000000000000000 -000000000000000000000000001000000000000000000110000000 -000000000000000000000000001001000000000010000000100000 -000000000000001000000000000000000000000000000000000000 -000000000000001101000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -110000000000000000000000001000000000000000000100000010 -010000000000000000000000000001000000000010000000000000 -.logic_tile 2 8 -000000000000001000000000010000000001001100110000000000 -000010000000000001000011100000001001110011000000000000 -111000000000001000000000010001101110101001010100000000 -000000000000001011000011010111001111100101010000000010 -010000000000000000000000000000000000000000000100000000 -010000000100000000000000000011000000000010000000000000 -000000000000000000000000010000000000000000000100000000 -000000000000000000000011011011000000000010000000000000 -000000000000000111000010010111100001000011000100000000 -000000000000000111100111011011101101000010010000000000 -000000000000000011000000010000000000000000000100000000 -000000000000000000110011000011000000000010000000000000 -000000000000001111000000010111111101001100110100000000 -000000000000001011000011011011101101101100100000000000 -010000000000000000000000001001001110101001010100000000 -100000000000000000000011101101001111100101010000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000001110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .ramt_tile 3 8 -000010000000000011000111001000000000000000 -000001010000000000100000001101000000000000 -111000001000000000000000010000000000000000 -000000010000001001000010101101000000000000 -000000000000000000000110111000000000000000 -000000000000010000000010100101000000000000 -000000000000001101100110100000000000000000 -000000000000000101000000000001000000000000 -000000000000010000000000000000000000000000 -000000000000100000000000001101000000000000 -000000100000000000000000001000000000000000 -000000000000000000000000000101000000000000 -000000000000000000000000001000000000000000 -000000000000000000000000001001000000000000 -010000000000000000000000000000000000000000 -010000000000000000000000001001000000000000 -.logic_tile 4 8 -000000000001001011100111000011000000000000000001000000 -000000000000001111000011110001100000000011000000000000 -111000000110001000000000000001100000000000000000000000 -000000100000001011000000000111001001000000010000000000 -010001000000000000000111001111000000000000010000000000 -010000100000000000000011000001001011000000000000000000 -000000000001001000000000001011000000000000000000000000 -000000000000001011000000000101001001000000010000000000 -000000000000000000000011101001000000000000100000000000 -000000000000000111000000000001101100000000000000000000 -000000000000010000000000010000000000000000000110000000 -000000000000100000000011000001000000000010000000100000 -000000000000000000000000001000000000000000000100000001 -000000000000000111000000001011000000000010000000000000 -010000000000000000000000000000000000000000000100000010 -100000000000000000000000001101000000000010000000000000 -.logic_tile 5 8 -000000000000000000000110111101000000000001010000100000 -000000000000001001010010101101101011000010010000000000 -111010000000001001100110011001011000010111110000000000 -000000000000000101100010000001011100100111110000100000 -110000000000000101100011000101000001000001100110000010 -110010000000000111000011111011001000000010100000000000 -000000000000000111000010100101100000000001100100000100 -000000000000000000000000001011001001000010100000000000 -000100000000000101000110011101000000000001100100000000 -000100000000000000100010000011001010000010100000000100 -000000000000001001110000001101100001000001100100000000 -000000000110000001000000000011001111000010100000000000 -000000000000000000000111011101000000000001100100000000 -000000000000000000000010101001001001000010100000000000 -010000000100000000000000011101100000000001100100000001 -100000000001010000000010101001001111000010100000000000 -.logic_tile 6 8 -000000100000011000000111110111100001000010100000000000 -000000000000100011000111011011001000000001100001000000 -111000000000010011000011100011100001000010100000000000 -000000000000000011000000000101001101000001100000000010 -010000000000000000000000000001100000000000100000000000 -010000000000001001000010010111101111000000000000000000 -000000000001000000000011100111000000000000000001100100 -000000000000000011000000001101000000000001000000000000 -000000000000000000000011110001000001000001010010000000 -000000000000000000000110100001101000000010010000000000 -000000000000001000000010011011100001000001010001000000 -000000000000001011000010101111101010000010010000000000 -000000000000000001000011101011000000000000100000000000 -000000000010000000100100001101101110000000000000000000 -010000000100001000000000011000000000000000000100100000 -100000000000000101000010010001000000000010000000000000 -.logic_tile 7 8 -000000000000000111000111100001100000000011000010000000 -000000000000000111100110111111001000000011010000000000 -111000101110000111100000001001100001000001100110000000 -000001001010001001110010011011001011000010101000000000 -110000000000000101100011101111000001000001100100000000 -010000000000001101000000001101101001000010101000000000 -000000000000000011100110000000000000000000000000000000 -000000000000000000100110010000000000000000000000000000 -000000000000001000000000000101000001000001100100000000 -000000000000001111000011100011001001000010101000000000 -000000000000100000000110100101000001000001100110000000 -000000000001110000000000001001001011000010101000000000 -000000000000000000000111010111100001000001100100000000 -000000000000000000000110111101001001000010101000000000 -010010000000000000000000000001000001000001100110000000 -110000000000001101000000000001101011000010101000000000 -.logic_tile 8 8 -000000000000000111100011100111100001000000100000000000 -000000000000000000100010100101001000000000000000000100 -111000000001001111000011101111101101010000000000000000 -000000000000110001000000001111101011000000000000000000 -010000000000001011000000000000000000000000000000000000 -010010100000000001100010010000000000000000000000000000 -000010000000110000000111110011100000000000010001000000 -000001000000100000000010001011101100000000000000000000 -000000000000000001000011101001011001000010000000000000 -000000000000000111100000000011011011000000000000000100 -000000001010000011000000010111100000000011010000000010 -000000000000001001000011101001001010000011110000000000 -000000000000100011000111100101011100010000000000000000 -000000000001010000100000001111101110000000000000000000 -000100001010000011100110011000000000000000000100000000 -000000000000000111000010110001000000000010000010000000 -.logic_tile 9 8 -000000000000000001100000001101100001000010000010000000 -000000000000000000000000001111101110000000000000100000 -000000001110011001100111110001100001000000000000000000 -000000000000000101000010001101001001000000010000000001 -001000000000001111000000000011100000000011000001000000 -000000001000000001000011010101000000000000000000000000 -000000000000000111000000010011011001010000000000000000 -000000000000000111000011111011111000000000000000000000 -000000000000101000000011100101100000000001000010000001 -000000000001000111000111100011000000000000000000000000 -000000100000000001000000001111000000000000100000000000 -000000000000000000100000000101101000000000000000000000 -000010100000000000000010001101000000000000000000000000 -000001000000000000000100000101000000000001000000000000 -000000000000000001000110000000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -.ramt_tile 10 8 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000 -000010000000000000000000000000000000000000 -000001001110000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000110000000000000000000000000000000 -000001000000100000000000000000000000000000 -000010000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000 -000001000001010000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000 +.logic_tile 4 8 +000001000001010111000011010011001111001111110001000000 +000000000000100000000010001101001111000110100000000000 +000100000000000001000110011001100000000001000000000000 +000100000000000011100011001001000000000000000000000010 +001000000100000011100011010001000000000010000000000000 +000000000000000001000010011111101100000010010000000000 +000000000001001011100010110001001011001101010001000011 +000000000000100001100011001101111000000101010000000000 +000000000000001111100000011111101000110000000000000000 +000000000000001111100011101101111111111000000000000000 +000000000000000000000000001011101101001111110010000000 +000000000000000000000010100101111001000110100010000001 +000000000000000000000010000001011011000000000000000001 +000000000000011001000000000101011010100000000000000000 +000100000000000000000110010111011000000000000000000000 +000000000000000000000010100101111001100000000000000000 +.logic_tile 5 8 +000000000000001011100111010001100000000000000000000000 +000000000000001111100010000001000000000001000000000000 +000000000000011101000010110101100001000000000000000000 +000000000000100111110110000011001001000000010000000010 +000000000000000000000000011101100000000000000000000000 +000000000000000011000010001011000000000001000000000000 +000000001100100101000010111001111010110000000000000000 +000000000001010011100011001011101011010000000000000000 +000000000000001011100110001011011001010000000000000011 +000000000000000001000000000011011010000000000000000000 +000000000001011101100110000101101100000010000000000000 +000000000000101011100110101011101001000011000000000000 +000000000000000111000000000101011101010000000000000000 +000000000000000000000000000111001000000000000000000000 +000000000000000000000000000011101001110000000000000000 +000000000000000000000000000001011011111000000000000000 +.logic_tile 6 8 +000000000000000001100000000001100000000000000000000000 +000000000000000101000011011001001101000000010000000000 +000000000000001101100110101011100000000000000000000000 +000000000000100001000010101101100000000001000000000100 +000000000000000000000110001101000000000010000000000000 +000000000000000101000010101011000000000000000000000000 +000000100000000000000010101101100001000000000000000000 +000000000000000011000010101001101010000000010000000000 +000000000000000111100000011011000000000001000000000000 +000000000000000000000011100111000000000000000000000000 +000000000000000000000000010001000000000010000000000000 +000000000000000000000010000001000000000000000000000000 +000010100000001000000000001101001110010111110000000000 +000001000001010001000000000001101011100111110000000000 +000010000000010111000000001001100000000000000000000000 +000001000000100000000000000001100000000001000000000100 +.logic_tile 7 8 +000000000001000000000111100001100000000000001000000000 +000000001110000000000100000000100000000000000000001000 +111010000000001001000110100101100000000000001000000000 +000000000000001001000010000000001111000000000000000000 +010000001110001000000000000011101000000011110000000000 +000000000000001001000000000000100000111100000000000000 +000000000000000101100110000000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +111000000000001111000000000011000000000000000000100000 +000000000000001011100000000011000000000001000001000000 +110000000000000000000010111101111100000100000010000000 +000000001110000000000110001101111001000000000000000000 +000000000000000000000000001001100000000010000101000000 +000000000000000000000010010001000000000000000000000001 +010000000000000000000000000001100000000010000101000000 +000000000000000000000000000101100000000000000010000000 +.logic_tile 8 8 +000001000001000000000110000001100000000000001000000000 +000010100000000101000100000000000000000000000000001000 +111000000011010101000000000000000001000000001000000000 +000001000000100001000000000000001010000000000000000000 +010000000000001000000010100000000000000000001000000000 +000000000000001001000000000000001011000000000000000000 +110000000000000000000000000000000000000000001000000000 +000000000000000101000000000000001010000000000000000000 +001000000000000000000000000000000000000000001000000000 +000000000001010000000000000000001000000000000000000000 +000000000000000000000000000000000000000000001000000000 +000000000000000000000000000000001001000000000000000000 +000000000000000000000000000000001000111100000000000000 +000000000000000000000000000000000000111100000000000000 +010000100000000000000000000001100000000010000110000000 +000010000000100000000000001001000000000000000000100010 +.logic_tile 9 8 +000000000000000000000000001001100001000010100000000000 +000000000000000000000011100011101011000001100000100000 +111000000100001001100110001101000001000010100000100000 +000000000000000001000100001111101011000001100000000001 +010000000000000000000110000101100001000010100000100000 +010000000000000000000100001011001000000001100000000000 +000000000000001001100110011101100001000010100000100000 +000000000000001001100010000111001000000001100000100000 +000000000000000000000000011000000000000000000100000000 +000000000000000000000011010111000000000010000000000000 +000000000000000101000000001000000000000000000100000000 +000000000000001001100000000011000000000010000000000000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000000000111000000000010000000000000 +010000000000000101000000001000000000000000000100000000 +000000000000001001100010100011000000000010000000000000 +.ramt_tile 10 8 +000000100000000000000011100000000000000000 +000000010000000000000010001001000000000000 +101010000000000011000000011000000000000000 +101000010000000000000011001111000000000000 +000010000000000000000011000000000000000000 +000001000110000000000000001101000000000000 +000000000000000011100000001000000000000000 +000000000000000000100010011101000000000000 +000010000000000000000000000000000000000000 +000001000000001111000000000011000000000000 +000000000001000000000000001000000000000000 +000000000000000000000000000001000000000000 +000000000000000011100000001000000000000000 +000000000000000000000000001001000000000000 +010000000000000000000000010000000000000000 +110000000000000000000010101001000000000000 .logic_tile 11 8 -000000000000000001000111000000000000000000000000000000 -000000000000000000000011010000000000000000000000000000 -111000001100101000000000000000000000000000000000000000 -000000000000001001000000000000000000000000000000000000 -010000000000000000000111010101000000000001000000000000 -010000000000000000000010011101100000000000000000000010 -000000000101000000000000000001100000000001000010000000 -000001000000000001000000001001000000000000000000000010 -000000000000000000000000011001000001000000100000000010 -000000000000000000000010000111001100000000000000000000 -000000000000001000000000000111100001000000000000100000 -000000000010011111000000000001001010000000010000000000 -000000000000000000000000000000000000000010000010000000 -000000000000000000000010001011000000000000000000000000 -001000000000000011100000000000000000000000000100000000 -000000000000000000000000001111000000000010000010000000 -.logic_tile 12 8 -000100000000010000000000000000000000000000000000000000 -000100000000000000000000000000000000000000000000000000 -111000100000000000000000000000000000000000000000000000 -000000000000010111000000000000000000000000000000000000 -110000000000000000000011000000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -000000000000000000000000000101000000000000000000000000 -000000000000000000000000000111100000000001000000000000 -000000000000010000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000111100000001000000000000000000100000000 -000100000000000000100000000011000000000010000000100000 -000000000001001000000000000000000000000000000000000000 -000000000000100011000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000001100000001000000000000000000000000000000000000 +000000000000000000000010100000000000000000000000000000 +011000001000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +110000000000000001000000000101100001000010100000000000 +000000000000000000100010111011101001000001100000000100 +000000000000000000000000000000000000000000000100000101 +000000000000000000000011010011000000000010000000000000 +000000000000000000000000001001100000000010000100100000 +000000000000000000000000001011000000000000000001000100 010000000000000000000000000000000000000000000000000000 -010010000110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 8 +000000000000000101000000000001100000000000001000000000 +000000000000000000100000000000000000000000000000001000 +111000000000000101000000000000000000000000001000000000 +000000000000000000100000000000001000000000000000000000 +000000000000000000000000000000001001001100111000000000 +000000000000001101000000000000001011110011000000100100 +000000000000000000000010100000001000001100110000000000 +000000000000000000000100000000001010110011000000000100 +000000000000001000000000000000000000000000000100100000 +000000000000000101000000000011000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .io_tile 13 8 -000000000000000010 -000000000000000001 +000001110000000010 +000000000000000000 000000000000000000 000000000000000001 -000000000010001101 -000000000011001100 -001101010000000000 -000000001000000000 +000000000000000101 +000000000001001100 +001100000000000000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 000000000000000000 +000001010000000000 +000000000000000000 000000000000000000 -000010110000000000 .io_tile 0 9 -000001110000000000 000000000000000000 000000000000000000 000000000000000000 -000000000000000100 000000000000000000 +000000000000001100 +000000000000001100 000100000000000000 000000000000000000 000000000000000000 @@ -2124,223 +2124,223 @@ 000000000000000000 000000000000000000 000000000000000000 -000000000000000000 +000000110000000000 .logic_tile 1 9 -000000000000000000000110000101100000000000001000000000 -000000000000000000000011110000100000000000000000001000 -111000000000001001100110010000000000000000001000000000 -000000000000000001000010000000001111000000000000000000 -010000000000000000000000000000001000001100111100000000 -010000000000000000000000000000001101110011000000000010 -000000000000000000000000000000001000001100111100000000 -000000000000000000000010110000001001110011000010000000 -001000000000000000000000010000001001001100111100000000 -000000000000000000000010000000001000110011000000000010 -000000000000000000000000000000001001001100111100000000 -000000000000000000000000000000001000110011000000000000 -000000000000000000000000000000001001001100111100000000 -000000000000000000000011010000001001110011000000000000 -010000000000000000000000000000001001001100111100000000 -100000000000000000000000000000001001110011000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +111000000000000001100011110000000000000000000000000000 +000000000000001101000010000000000000000000000000000000 +010000000000000000000110001111000001000011000000000000 +010000000000000000000010110011001011000011110000000000 +000000000000000001100011100111100000001111000000000000 +000000000000000101000000000000100000110000110000000000 +000000000000000000000000001101000001000000100000000010 +000000000000000111000000000101101001000000000000000000 +000000000000000000000000001101000000000001000000000000 +000000000000000001000000001001100000000011000000000000 +000000000000000000000000001101100000000000100000000000 +000000000000000111000000000001001100000001000000000000 +010000000000000000000110001000000000000000000100000000 +000000000000000000000000000001000000000010000100000001 .logic_tile 2 9 -000000000000000000000000000001100000000000001000000000 -000000000000001011000000000000000000000000000000001000 -111000000000000001100111000000000000000000001000000000 -000000001010001101000100000000001110000000000000000000 -110000000000001000000010100000001001001100110001000000 -110001000000000011000100000000001100110011000000000000 -000000000000001000000000001011100001000010100001000000 -000000000000000111000000001111101001000001100000000000 -000000000000000001000000001000000000000010000000000000 -000000000000000000100011100001000000000000000000100000 -000000000000001000000000000000000000000010000000100000 -000000000000000001010010000011000000000000000000000010 -000000000000000000000111000000000000000010000000000000 -000000000000001011000000001101000000000000000000000010 -000000000000000000000000010001001101000111110100000000 -000000000000000000000010100101011010000011110000100000 +100000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111010000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000100000000011100000000000000000000000000000 +110000000001000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001000000000001000000000000000000111000011 +000000000000001001000000000111000000000010000000000000 .ramb_tile 3 9 -000000000000010000000010010101100000010000 -000000000000000001000011001001000000000000 -111010100000000000000011101000000000000000 -000001000000000111000000001001000000000000 -110000000000000000000000001001000000010000 -110000000000001011000010010001100000000000 -000000001100000000000000001000000000000000 -000000000000000001000011011011000000000000 -000000000000000000000111110011000000000010 -000000000000000000000111110001100000000000 -000010100000000000000010000000000000000000 -000001000000000001010100001101000000000000 -000000001100000000000011100101000000000000 -000000000000000000000000001011000000000000 -110000000000000000000000001000000000000000 -010000000000000000010000001101000000000000 +000000000000000000000000000000000000000001 +000000000000000000000011010000000000000000 +000000000000000000000000000000000000000001 +000000000000000000000000000000000000000000 +010000000000000000000000000000000000000101 +010000000000000000000000000000000000000000 +001010000000000000000000000000000000010010 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000001 +000000000000000000000000000000000000100000 +000000000000000000000000000000000000001001 +000000100000000000000000000000000000000000 +000001000000000000000000000000000000001000 +000010000000000000000000000000000000000100 +000000000000000000000000000000000000001001 +000000000000000000000000000000000000000000 .logic_tile 4 9 -000000000000000111000110000101100000000010000001100000 -000000000000001011100011100011000000000000000000000000 -111000000001010111110010000111111001000100000000000001 -000000000000100111100110011101101000000000000000000011 -010000000000000001000010000011101010001001000000000000 -110000000000001011000110010101001111001010000001000000 -000000000000001011100000000000000001001111000000000000 -000000000000000001100011010000001011110000110000000000 -000000000000001011100111101001100001000000100000000000 -000000000000001011000100001111001001000000000000000000 -000101001110000001000000000101100000000010100000000000 -000000000000000000100000000011001000000001100010000010 -000000000000000000000110001111001000100100000000100000 -000000000000000111000000000111011000101000000000000000 -000000000000000111000111000001000001000001010100000000 -000001000000000000000000001011101001000010010000100000 +000010100000000111000111101011111000011100000000000000 +000001000000000001000100001001101011111100000000000010 +000000000000000001100010010000000000000000000000000000 +000000000000000001000010000000000000000000000000000000 +000000000000000000000110000000000000000000000000000000 +000000001000000000000011000000000000000000000000000000 +010100000000000001000000001011000000000011000000000000 +010100000000000000100000000011000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 +000000000000000101100011101011100000000000000000000000 +000000000000000000000110101111101001000000010010000000 +110000000000000000000000000101000001000000100000000000 +110000000000000000010000000001101010000000000000000000 +000000000000000000000000010001100001000011100000100000 +000000000000000000000010100001001001000011000000000000 .logic_tile 5 9 -001000000000001101100000000111000000000000100000000000 -000000000000000101000010000101101000000000110000000000 -111100000000111001000000000111011110010111110000000000 -000100000001010101100000001101101000100111110000000000 -010000000000001101100011001011100000000010100000000000 -000000000000000101000010000101101101000010010000000000 -000000000000000011100111001101101100101100000100000001 -000000000000001001100010011111001110001100000000000000 -000000000000001001100000011011100000000000100101000000 -000000000000000001000011111101001000000000110000000000 -001000000000001011000010000011101011000100000100100000 -000000001110001101000100000001011000001100000000000000 -000000000000000001010010011101111010111110000100100000 -000000000000000011000010000001101001111100000000000000 -110000000000000101100000000111000000000000100100000000 -000000000000000000100010000011001010000000110000000010 +000000000000000001000000010111111101010000000000000000 +000000000000001001000010001111101011000000000000000000 +111000000000001011000110000001001100111100000000000000 +000000000000000111000110110111101011011100000000000010 +010000001110000001100010001111011000010000000000000000 +110000000000000000100110001011101101000001000000000000 +000100000000000101000111100001001111000100000000000000 +000100000000000000000111101111001111000000000000000000 +000000100000001111100110011001001101100001000000000000 +000000000000000001100111011111001001100010000000000000 +000000000000000111000110101101111001011010100100000000 +000000000000000101000010011001001011010110100000000010 +000000000000001111100111010111100001000010000100000001 +000000000000001111010010110101001110000011000000100000 +010000000000000001100111000011100001000010110110000100 +100000000000000101100110011011001101000000110000000000 .logic_tile 6 9 -000000000000001011100000000001011101110000000000000010 -000000001000001111100000000001011001010100000000000001 -111000000000000001000110010000000000000000000000000000 -000000000000001111100010110000000000000000000000000000 -010000000001000000000010100000000000000000000000000000 -110000000000100000000100000000000000000000000000000000 -000000000000000000000011000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000001001100001000010100000000000 -000000000000000000000000001101001000000001100000000010 -000000000011010000000010001111100000000000000000100100 -000000000000000000000100001001100000000001000000000000 -000000000000000000000000001001100000000011000000000010 -000000000000010000000000001111100000000010000011000000 -000000000000000000000011100000000000000000000101000000 -000000000000000000000100000001000000000010000000000000 +000000000000001101000000000011100001000011010000000000 +000000000000001011000000000001001000000011000000000000 +000000000000001000000000000101000001000000100000000000 +000000000000000011010000000111101010000000000000000010 +000000000000001111100110001011100000000001000000000000 +000000000000001011100000001111100000000000000000000000 +000000000010001000000010100101100000001111000000000000 +000010000110000001000000000000100000110000110000000000 +000000000000000011100000000011100000000001000000000000 +000000000000000000000000000101100000000000000000000010 +000100000010000001100111000101100001000000000000000000 +000001000000000000100110000101101010000000010000000000 +000110100000001001000000000111101101000100000010000000 +000101000100000001000000000111001101000000000010000000 +000000000000000000000110000101000000000010000000000000 +000000000010000001000000000001000000000000000000000000 .logic_tile 7 9 -000000100110000111000000001001000001000010100000000000 -000001000000100001100000000001001011000001100000000010 -111001000000000000000000001101000000000000000000000000 -000000100110001011000000001011100000000001000000000010 -110000000000000111000010000011000001000010100000000000 -000000000000000000000100000101101100000001100000000010 -000010100000010000000110100000000000000000000000000000 -000001000000000000000100000000000000000000000000000000 -000000000000000000000111000000000000000000000000000000 -000100000000000000000000000000000000000000000000000000 -000011000000000000000111001000000000000000000100000000 -000010000000011111000100001111000000000010000000100000 -000000000000000000000000000000000000000000000100000010 -000010000000000000000000000001000000000010000000000000 -110100000001010111100000000000000000000000000000000000 -110101000000000000000010010000000000000000000000000000 +000000000000001011100111010101111001000001000000000000 +000000000000000101100010001001111010000011000000000000 +111000000000001111100111010111111000110011110000000000 +000000000010000101100110001111101010010010100000000000 +010000000000001001100111100111100000000010000000000000 +000000000000000101000110101011100000000000000000000000 +000000000000001101100011011111111011000011000000000000 +000000100000000001000010000111011010000001000000000000 +000000000000000000000000010001011001111100100000000000 +000000000010000000000010010101101100111100000000000000 +000000100000000000000000000101011000001111110000000000 +000000000000001101000000001111111011001110100000000000 +000000000000000000010000010001000000000000000000000000 +000010000000000000000010010001000000000001000000000000 +010000000000000001100110011000000000000000000101000000 +000000000000001101000010100101000000000010000000000000 .logic_tile 8 9 -000000000000100111100010000001111100000001000000000000 -000000000000000000000011100101101110010001000000000000 -111000000110001101100011001001100000000000000010000000 -000000000000001111100011011111000000000001000000000001 -010000000000000001100110010001000001000000000000000000 -010000001110000101100010001111101011000000010000000101 -000000000000001000000110000101001101110000000000000000 -000000000000001011000011011101001000111001010000000000 -000010100000001101100010100101011010011111110000000000 -000010000000010001000111010101001011001111110000000000 -000000000100001101100000000011111000001100000101000001 -000000000000000101100010111111111100001101010000000100 -000000000001010111000010000011011011001100000100000101 -000000000000100000100111011011111100001101010000000010 -000000000000000000010010110111111000001100000100000000 -000000000010000000000110111111111000001101010011000000 +000000000000000001000000011101001111000000000001000000 +000000000000000000000010001001101100100000000000100000 +111000000000001101100110000000000000000000000000000000 +000000000000001111000010100000000000000000000000000000 +010000000000001000000000011001100001000000100000000000 +000000000000000101000010001101101101000000000000000000 +000100000000000101100110101001011101100000000000000100 +000100000000000001000000000111101010000000000000000000 +000000000000001000000000000001100000000000000000100001 +000000000000000101000000000011100000000001000000000000 +000000100000000000000000010101100000000001000001000000 +000000000000000001000010000101000000000000000010000000 +000000000000001000000000001101000000000001100100000000 +000000000000000101000000001011001100000010101000000000 +010000000000001000000000001001100000000000110110000000 +000000000000000111000000000001001000000001110000000000 .logic_tile 9 9 -000000000000000111000010100001100000000000000010000000 -000000000000000000000000001111100000000001000000000000 -000000000001011111010111100001100001000010000000000000 -000000000000101011000000001101001100000011000000000000 -000000000000001111000111111101111000001100000010000000 -000000001000001011000111000101001100001101010000000000 -000000000111111001100000011101011111000010000001000100 -000000000111110001000010100111111101000000000000000100 -000000000000000000000000001001001011001111110000000000 -000000000000000001000011101011101000000110100000000000 -000000000001000001100110001101000000000000000000000000 -000000000000100000000110010111101001000000110000000000 -000000100000000000000111000001000000000000000001000001 -000010000001000000000011101011000000000001000000000000 -000010000000000000000111001111001010001100000010000000 -000100000110001001000000001101101111000100000000000000 +000000000000000000000000001111000000000000000001000001 +000000000000000111000010001001100000000001000000000000 +000000100000000000000000010000000000000000000000000000 +000000000000000000000010110000000000000000000000000000 +000000000000101000010000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 +000000000000001000000000010000000000000000000000000000 +000000001110001111000010110000000000000000000000000000 +000000000000000000000000010000000000000010000001000000 +000000001010000001000010110001000000000000000000100010 +000000001010000000000000000011000000000010100010000000 +000000000000000000000000000111001010000001100000100000 +000000000000001000000000010101100000000000000001000000 +000000000000000101010010111011100000000001000000000000 +000000000000000000010000001001000001000010100000000000 +000000000000000101000000000111101010000001100000000100 .ramb_tile 10 9 -000000000000100000000000000000000000000000 -000000010000010000000000000000000000000000 -000000000010000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000010101000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000010100000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000000001000010001001000000000000 +000000000010000111000011101001000000000000 +001010000000011001000111000000000000000000 +001000000100100011100110011001000000000000 +010101000000000000000000000001100000000000 +010111000000000000000010000011000000000000 +000000000000000000000000000000000000000000 +000000001110000000000000001101000000000000 +000000000000000001000000001011000000000001 +000001000000001001100000000011000000000000 +000000000000100001000111001000000000000000 +000000000000010111100110111011000000000000 +000000000000000000000000001011100000000000 +000000000000000000000000001011000000000000 +010000000000000000000000001000000000000000 +010000000000000000000000001001000000000000 .logic_tile 11 9 -000000000000000111100011111001001000000011000000000000 -000000000000000011000111000101011001101011000001000001 -111000000000001000000000000111100000000000100001000000 -000000000000001011000000000011001011000000000000000000 -000000001100000000000111101000000000000010000010000010 -000010100000000000000111011011000000000000000000000000 -000000000000001001000000001000000000000010000001000100 -000000000000000001000000001011000000000000000001000000 -000000000000100111100000000000000000011010010000000000 -000000000001010111000000000000001100100101100000000000 -000000000000000000000010010001011000011100000100000000 -000000000000000000000011010111001001111100000000100000 -000001000000001000000000000101111110101000110110100000 -000010000000001111000000001101001100111100110000000000 -000000000000001111100000000000000000000000000000000000 -000000000000001101100000000000000000000000000000000000 +000000000001010011000110101101100000000000000010000000 +000000000000000000000100000001100000000001000000000000 +111000000000000001000010101001100001000011000000000000 +000000000110000011000100001001001000000011010001000010 +010000000001010011000010000001000001000010100000000000 +110000000000000000000000000111101010000001100000100000 +001001000000010001100000000000000000000000000000000000 +000000100000100000000011000000000000000000000000000000 +110000000000000000000000000000000000000000000100000000 +110000000000000000000000000101000000000010000001000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000001000000000010000000000000 +000000000000000111000000000000000000000000000100000000 +000000000000000000000000000101000000000010000001000000 +010010100000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 .logic_tile 12 9 -000000000000000000000011101000000000000010000000000000 -000000000000000000000000000101000000000000000000000000 -111000000000000000000000000000000000000000000100000000 -000000000010000000000000001001000000000010000010000000 -110000000000010000000011100000000000000000000100000000 -010000000000100000000000001101000000000010000001000000 -000010000001010111100000000000000000000000000101000000 -000000000000000000100000001001000000000010000000000000 -000000000000000000000000000000000000000000000100100000 -000000000000000000010011111101000000000010000000000000 -000010000000000000000000000000000000000000000000000000 +100000000000010011100010100011011001001010000111000000 +000000001100100000100111101101101000000110000001000000 +111000000000010001000000001011001011101101110100000000 +000000000000001101000010001101001100010110100001000000 +010000000000000001100010100000000000000000000000000000 +010000001110001101000010110000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000010100001010000000000000000000000000000000110000000 -000001000000100000000000001101000000000010000000000000 -010000001010000000000000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 +000000000000000000000010110000000000000000000000000000 +010000000000001000000011100000000000000000000000000000 +010000000000001111000000000000000000000000000000000000 +010000000000000001100000000111011000001010000110000000 +010000000000000000000000001101111001000110001000000000 +000000000000010000000011100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000011000000000001000100000000 +000000000000000000000000000001001010000011001011000000 .io_tile 13 9 -000001110000000000 +000000000000000000 000100000000000000 +000000110000000000 000000000000000000 +000000110000001100 000000000000000000 -000000000000000100 -000000000000001000 000100000000000000 000000000000000000 -000010000000000000 -000110010000000000 -000000000000000010 +000000000000000000 +000100000000000000 +000000000000010010 000000000000010000 000000000000000000 000000000000000001 @@ -2353,7 +2353,7 @@ 000000000000000000 000000000000000000 000000000000000000 -000100000000000000 +000100000000100000 000000000000000000 000000000000000000 000100000000000000 @@ -2364,213 +2364,213 @@ 000000000000000000 000000000000000000 .logic_tile 1 10 -000000000001001000000000010000001000001100110101100100 -000000000000000001000011000000001000110011000000110010 -111000000000000000000000010000000000000000000000000000 +000000000000000001000000000001000000000000001000000000 +000000000000000000100010100000000000000000000000001000 +111000000000001001100110010001100000000000001000000000 +000010100000000001000010000000101111000000000000000000 +010001000000000000000000000001101000000011110000000000 +010000000000000000000000000000100000111100000000000000 +000000000000000000000010110000000000000000000000000000 000000000000000000000010000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000000011101100000000011000000000000 +000000000000000000000010001101100000000000000000000000 +000000000000000000000000000001100001000010000000000000 +000000000000000000000000000001101001000000000000100000 +000000000000000000000000001000000000000000000100000000 +000000000000000000000010000001000000000010000100000000 +010000000000000000000000001011000000000001000100000000 +000000000000000000000000000001100000000011000100000000 +.logic_tile 2 10 000000000000000000000000000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 +111000000000000001000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +010001000100000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000010000000000000000000000000000000000 +000000000000000000000000001001000000000000000100100000 +000000000000000000000000000001000000000001000000000010 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000001011010010100000000 -000000000000000000000000000000001001100101100000100000 +000000000000010000000000000000000000000000000000000000 010000000000000000000000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 -.logic_tile 2 10 -000000000101000000000110000001100000000000001000000000 -000000000000000000000011100000000000000000000000001000 -111000000001001001100000000101100000000000001000000000 -000000000000100001000000000000101100000000000000000000 -010000000000000000000000010101101000111100001000000000 -010000000000000000000010000000101110111100000000000000 -000000000000000001000010110111101000000011110000000000 -000000000000001101000110000000100000111100000000000000 -000000000000000111100000000101101010000011110000000000 -000000000000000000000000000000110000111100000000000000 -000000000000000000000000001000000000000000000100000000 -000000000000000000000000000101000000000010001000000000 -000000000000000111100000001000000000000000000100000000 -000000000010000000000000000001000000000010000000000001 -010000000001010000000110000000000000000000000100000000 -110000001010000000000000001001000000000010001000000001 +000000000000000000000000000000000000000000000000000000 .ramt_tile 3 10 -000000000000001001000011100011100000000000 -000000010000000111000010011101100000100000 -111000000000000111000111100000000000000000 -000000010110000000100100000111000000000000 -000000000001000011000011110001100000000000 -000000000000100000100111110101100000100000 +000000000000001111100000000000000000000000 +000000010000001011100000000001000000000000 +111010100000000000000000010000000000000000 +000000010000000000000011110111000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000011000000000000 000000000000000000000000001000000000000000 -000000000000000000000000000001000000000000 -000000000000000000000000000101000000000000 -000000000000000000000000000001100000000000 -000000000000001000000010000000000000000000 -000000000000001111000100000001000000000000 -000000100000000000000000000111100000000000 -000001001010001001000000001001000000000000 -110000000000000000000000000000000000000000 -010000000000001001000000001001000000000000 +000000000000000000000000000011000000000000 +000000001110000000000000010000000000000000 +000000000000001111000011001011000000000000 +000000000000000111000000000000000000000000 +000000000000000001000000000001000000000000 +000000000000000000000000001000000000000000 +000000000000000011000000001001000000000000 +110000000000000000010000000000000000000000 +010000000000000000000000000000000000000000 .logic_tile 4 10 -000000000000001001000111110000000000000000000000000000 -000000000000001011100111110000000000000000000000000000 -111000000000001000000010010000000000000000000000000000 -000000000000000001000110100000000000000000000000000000 -010000000000000011100000001111000000000010100000000000 -000000000000000000100011101001101111000001100010100000 -000000000000001111000011001001000001000010100000000000 -000000000000000101000000000101001100000001100000100010 -000000000000000000000000000011101000000001110000000000 -000000000000000000000000000101111110000000110000000000 -000000000000000101100010000000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -000000000000000001000110101001000000000011000000000000 -000000000000000000000011011101001001000011010001000001 -110010000000000000000000000001111011111111000100000000 -000010001010000000000000001101001001111110000000100000 +000000000000000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000111100000000000000000000000000000000000 +000000001100000000000000000000000000000000000000000000 +000000000000000000000010011001011000010000000000000000 +000000001000000000000111011111101111000000000000000100 +000000000000000000000000001011100000000000010000000000 +000000000000000000000000000011101111000000000000000000 +000000000000000000000110000011100000000010000000000010 +000000000000000000000000001111101011000000000000000000 +000000000000001001100110110000000000000000000000000000 +000000000000001001100110010000000000000000000000000000 +000000001100000000000010010000000000000000000000000000 +000000000000000000000111010000000000000000000000000000 +000000000000000000000110100011100000000000100001000000 +000000000000000000000100001101001101000000000010000000 .logic_tile 5 10 -000000000000001001100111011001011101101100000000000000 -000000000000000001000110000001111100001100000000000000 -111000000000001000000010101101011100000110100000000000 -000000000000001011000110111001101101100101010000000000 -110000000010001000000111010001011011010000000010000000 -110000000000000001000110000001011101001000000000000000 -000000000000000000000000000000000000000000000100000000 -000000001110000000000010111101000000000010000000000000 -000000000000000000010111101101100000000010000100000100 -000000000000000000000000001011100000000011001001000000 -000000000000001000000110010000000000000000000100000000 -000000000000000101000010101101000000000010000000000000 -000000000001000000000010000000000000000000000100000000 -000000000000000000000100001001000000000010000001000000 -010000000000010000000000000001100000000001000100000010 -100000000000100000000000000101100000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010010000000000000000000000000000 +111000000000000001100000001011000001000010000000000000 +010010000000000000000000001011101100000011000000000000 +110000000000000001100010100001100000000001000000000000 +110000000000000000000000001101000000000000000000000000 +000000000010000000000000010000000000000000000000000000 +000000000000000101000010000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000100000000000000000010000000000000000000000000000000 +000000000000001001000011001111001001110000000000000000 +000000000000001111000100001101011010000000000000000000 +000000000000000111100010001101100000000001110100000000 +000000000001010000010000000011101010000000110000100100 +010000000000000000000000000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 .logic_tile 6 10 -000000000000001001000010001111011000000100000000000000 -000000000000001111000110000011101110001100000000000010 -111000000000001101000000000001100000000011000000000000 -000000000000000101100011101001100000000010000001000110 -010000100000100111100111011011100001000010000000000000 -110000000000000000110010000101101000000011000000000000 -000000000000001011000000001001000000000000110000000000 -000000000000000001100010101101101000000001110000000100 -000000000000000001000010000011011100001000000000000000 -000000000000000000000110110001111010001100000000000000 -000000100010001000000010010011000001000000110000000000 -000000000000001111000010100011101000000000100010000000 -000000000000000011100010101101011011100101010000000000 -000000000000000000100010111011101111101001010000000000 -110000000100000000000110101111000000000000000100000001 -000000000000000000000011001111100000000001001000000000 +000000000000000111100000000111001100100000000000000001 +000000000000000000000010101001101110000000000001000000 +000000000000000011000110011011111001000011110000000010 +010000000000100001000011000001001001100011110000000000 +000000000000000011100000001101100000000001000000000000 +000000000000000000100010101001000000000000000000000000 +110010000000001000000000010000000000000000000000000010 +110000000000000001000011000000000000000000000010000000 +000000000000000101000000000001000000000000000000000000 +000000000000000000100000000101000000000001000000000001 +000000000001001000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000001010000111000000010000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +000001000000000000000000001111000000000000000000000000 +000000000000000000000000001101001011000000110000000000 .logic_tile 7 10 -000010000000001000000111001011001001010000000000000000 -000001000000001111000010011011011100110000000000000000 -000000000000001001100000011011000000000000100000000000 -000000000100001011000011111101001010000000110000000000 -000000000000001000000010010011000000000000110000000000 -000000001100000001000110001101101111000000010000000000 -000001000000101001000110001001000000000001000000100000 -000000100001001011000110000101100000000000000000000001 -000000000000000111000011100101011001010000000000000000 -000000000000001011000000000001101001000000000000000001 -000111100100101000000010011101111111010000000000000000 -000001100001001011000011111101011110000000000000000000 -000100000000000000000010101011100000000001000000000000 -000100000000000000000000000001100000000000000000000000 -000001000000001000000010001101100000000001000010000000 -000000000000011011000100000101100000000000000000000000 +000001000000001001100010111101001010011000000000000000 +000010000000000001000011111001011111010100000000000000 +111001000000000000000000010101100000000000000000000000 +000000000000000001000010011011100000000001000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000101000010000000000000000000000000000000 +110000000000000000000110000000000000000000000000000000 +110001000000000111000000000000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000110000000000010001101101110110011000000000000 +000000000000000001000100001111001001000000000000000010 +000001000000000000000111100001011000111000000101000000 +000000000000000000000100001001001000110000000000000000 .logic_tile 8 10 -000001000000000000000111001111111101110011110000000000 -000010001100001001000011001011001010111011110000000000 -111000001011010001100011100101001101100000000001000000 -000000000000000101000010111101001010000000000010000000 -010010000000001101100110110001101001010011110000000000 -010001001100101011000110000111111000110011110000000000 -000001000000001001100011100001001100010000000000000000 -000010101110001011000100000011011110000000000000000000 -000001001110001011000010001001100000000000000000100100 -000010100010000001100110001111000000000001000000000000 -000100000000000001000011110101100000000001000000000000 -000000000000000000100010000101100000000000000000000000 -000000001000000111010110001011100001000010000000000000 -000000000000000101000000001001101011000000000000000000 -000000000000010000000011111101001110111100010100000001 -000000000000011111000011011111111101111100000001000000 +000000000101001001000110001101100000000000000000000000 +000000000000100001000010001101001101000000010000000000 +000000000000001001100111100011111011100000000000000000 +000000000000001011000111001101101000000000000000000100 +000000000000000111100000011101101110110011000000000000 +000000000000000000100010000001001010000000000000000000 +000000000000010011100111001101100000000000010000000000 +000000001000000111100111000101101110000000000000000000 +000000000000001011100111010111111010101000000000000000 +000000000001001011100111001001011000100100000000000000 +000000000000001000000000010011011100110011000000000000 +000000000000000011000010000011001000000000000000000000 +000000000000001001000000000011011001110011000000000000 +000000000000010011000000001001111110000000000000000000 +000000000000000011100000000111011000101000000000000000 +000000000000000001000000000001011001100100000000000000 .logic_tile 9 10 -000000000000001000000111100111100000000001000000000000 -000000000010001111000100000011100000000000000000000000 -111000100000000011100111001000000000000010000000000010 -000000000000001001100100000001000000000000000001000000 -010000000000001000000110011101101000000000000000000000 -110000000000000001000010000011011000100000000000000000 -000000000000001000000000000111100000000001000000000000 -000000000000010001000000001101000000000000000000000000 -000000000000001111000000000101011001001000010000000000 -000000000000100011000010111011011000000100010000000000 -000010100010000000000000001000000000000000000100000010 -000001000000000111000000000111000000000010000000000000 +000000000000000000000000000000000000000010000001000000 +000000000000000000000011001001000000000000000000100000 +111000000000000000000000000000000000000000000000000000 +010000000000000001000000000000000000000000000000000000 +010000000001010000000000001000000000000010000000000000 +000000000000100000000000000001000000000000000011000010 +110000000000000000000000000000000000000000000000000000 +010000001000000000000000000000000000000000000000000000 +000101000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001011000000000000000000000000000000000000 000000000000000000000000001000000000000000000100000000 -000000001110000000000000001111000000000010000010000000 -000000001010001011100011110000000000000000000100000000 -000000000000001101100010010011000000000010000000000010 +000000000010000000000000000011000000000010000000000010 +010000100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .ramt_tile 10 10 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000010000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000010000000100000010000000000000000000000 -000001000000000000000000000000000000000000 +000001000000010001000111100001000000000000 +000000011100001111000100001011000000010000 +001000000000000001010000001000000000000000 +101000010000000000100000000101000000000000 +000000000000000111100000000001100000000000 +000000000000000000100000000011000000000100 +000000000000000000000000001000000000000000 +000000000000000001000000000011000000000000 +000010001100001001000111110011000000000000 +000001000100000011100011111111000000000000 +000000001110000111100000000000000000000000 +000000000000000000000000001001000000000000 +000001000000000000000011000111000000000000 +000000000000001011000000000001000000000100 +110000000000000011100000000000000000000000 +110010001000000000100000001011000000000000 .logic_tile 11 10 -000000000000000000000000010001100001000000000001000000 -000000000000000001000011111001101110000000010001000000 -111000000000001000000011000001101110000011110100000000 -000000000000001011000100000000010000111100000000100000 -110000000000000111100011100101111110000011110110000000 -010000000000000000000000000000000000111100000000000000 -000000000000001000000111100111001010000011110100000001 -000000000000000111000010100000000000111100000000000000 -000000000000000000000000000101100000001111000110000000 -000000000000000000000010010000100000110000110000000000 -000000000000000000000000010111111100000011110100000001 -000000000000000000000010100000110000111100000000000000 -000000000000000001000110100000000000000000000100000000 -000000000000000000100000000001000000000010000000000010 -010000000000001011000111000101011010000011110100000000 -100000001000000101100000000000110000111100000000000001 +000000000000000000000000000000000000000000000000000000 +000000001010001101000000000000000000000000000000000000 +111000000000000001100110000000000000000000000000000000 +000000000000000000100010110000000000000000000000000000 +000000000000000001100000010000000001011010010000000000 +000000000000000000100011110000001001100101100000000001 +000000001100000000010000001101000000000000110000000000 +000000000000000000000000000001001100000000000000000100 +000010100100000101100000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000001000001000000100100100000 +000000000000000000000000001101001001000000111000000000 .logic_tile 12 10 -000000001111011000000000000111100000000000001000000000 -000000000000001011000000000000100000000000000000001000 -111000000000000000000111000101100000000000001000000000 -000000001000000000000000000000001110000000000000000000 -110000000000000000000000000011101000111100001010000000 -010000000000000000000000000000101100111100000000000000 -000000000000100011100000000111101000111100001000000000 -000000000000000000000000000000001110111100000000000000 -000010100000001000000011110001101000111100001000000000 -000001000000001011000011000000101100111100000000000000 -000000000000000000000011000001001000111100001010000000 -000000000000000000000000000000001110111100000000000000 -000000000000001011000000000011001000111100001000000000 -000000000000001011100000000000101100111100000000000000 -010000000000000011000000010111001000000011110100000000 -100000000000000000000010010000000000111100000000000100 +000000000001010000000000010111111000000010110000000000 +000000001010100000000011110101001001000000110000000000 +111000000000001001000000000001111001000100000000000000 +000000000000001011000010001101011011000000000000000000 +010000000000000011100000010000000001011010010000000000 +000000000000000111000010000000001010100101100000000000 +000000000000000101000000010011101100110011110000000000 +000000000000000000000011011111111100010010100000000000 +010000000110000111100000010101011010110011110000000000 +010000001110000000000010000101011110010010100000000000 +010000000000000101000111000000000000000000000000000000 +010000000000000000100110110000000000000000000000000000 +000000000000000111100000000011111000000000110000000000 +000000000000000000000011100101001001000001110000000000 +010000000000000000000000001000000000000000000101000100 +000000000000001101000000001011000000000010000000000000 .io_tile 13 10 000000000000000000 -000100000001100000 -000000000000000000 +000100000000000000 +000000000001100000 000000000000000000 000000000000000000 000000000000000000 @@ -2585,10 +2585,10 @@ 000000000000000000 000000000000000000 .io_tile 0 11 +000000000001100000 +000000000001000000 000000000000000000 000000000000000000 -000000000000010000 -000000000000000000 000000000000000000 000000000000000000 001100000000000000 @@ -2602,233 +2602,233 @@ 000000000000000000 000000000000000000 .logic_tile 1 11 -000000000000000011100000011011100000000000000000000100 -000000000000000111000010111111000000000001000000000000 -111000000000000011100110000011011100000100000000000000 -000000000000000000000000000101111011000000000000000010 -010000000000001111000000000000000000000000000000000000 -010000000000000001000010110000000000000000000000000000 -000000000000000000000000001101000000000010000000100000 -000000000000000000000010001101000000000011000000000000 -000000000000000000000000010000000000000000000000000000 -000000000000000000000010100000000000000000000000000000 -000000000000000011000000011001011100000011110001000000 -000000000000000000000011110001011000100011110000000000 +000000000000000000000010000011000000000000001000000000 +000010000000000000000000000000100000000000000000001000 +000000000000000011100000000000000001000000001000000000 +000000000000000000100000000000001000000000000000000000 +000000000000000001000000000000001000001100111000000001 +000000000000000000100000000000001010110011000000000100 +000000000000000000000000000000001000001100110001000000 +000000000000000000000000000000001001110011000000000100 +000000000100000000000010000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -010000000000000000000110101000000000000010000100000010 -100000000000000000000100001001000000000000000000000000 .logic_tile 2 11 -000000000000000001100010001000000000000010000001000000 -000000000000000000000100001001000000000000000000000000 -111000000000010101000110011101111110000100000000000000 -000000000000000000000011110001101001000000000000000000 -010000000000000000000111000001000000000000000000000000 -110000000010001001000000001011000000000001000000000000 -000000100000000101000110011000000000000010000000000000 -000001000000000000000010000011000000000000000000000001 -000000000010000000000000000001100000001111000010000000 -000000000000000000000010010000000000110000110000000010 -000010100011010101000000000000000000000010000000100000 -000001000000101001100000000101000000000000000000000000 -000000000000000000000000001001100000000000000000000010 -000000000000100000000000000101100000000011000000000010 -110000000000000101000000001000000000000010000100000000 -010000000000010000100000001101000000000000000000000000 +100000000000000011000010000000000000000000000000000000 +000000000000001011000011010000000000000000000000000000 +111000000000000001010000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +010000000000000000000011101001001000111110000101000000 +110000000000000000000000000001011000111100000000000000 +010000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +010000001110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001010000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 +000000000000000000000000001001000000000000110101000000 +000000000000000000000000000001101001000001110000000000 .ramb_tile 3 11 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 +000001001110000000000000000000000000000000 +000010110000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000100001100000000000000000000000000000000 +000100000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000 +000000000001010000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000100000000000000000000000000000000000 -000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000 -000000000000010000000000000000000000000000 -000000000000100000000000000000000000000000 .logic_tile 4 11 -000010100001000000000000000101100000000000001000000000 -000001000000100000000000000000100000000000000000001000 -111000000000000001100000000000000001000000001000000000 -000000000000000000000011010000001010000000000000000000 -010000000000000000000011100011000001000000001000000000 -110000000000000000000011100000101001000000000000000000 -000000000000000000000000010000000001000000001000000000 -000000000000000011000010000000001000000000000000000000 -000010100001000000000110100000001000111100000001000000 -000001001100000000000100000000000000111100000000000000 -000001000000001000000000001000000000000010000000000000 -000000100000000111000000001111000000000000000000000000 -000001000000000000000000001000000000000010000000000000 -000000000000000000000000000011000000000000000000000000 -010010100000000000000000000000000000000000000100000000 -100001000000000000000010011101000000000010000000000010 +000000001100001011100011101001011000000000110000000000 +000000000000001111100100000101011011001000110000000000 +000000000101010011100010100000000000000000000000000000 +000000000100000000100010010000000000000000000000000000 +000001000000001000000111000000000000000000000000000000 +000010100000001101000100000000000000000000000000000000 +000000000000000011100010100000000000000000000000000000 +000000001010000000100000000000000000000000000000000000 +000010100000001111100000010111001101001000010000000000 +000000000000000001000011110001101001000100010000000000 +000000000000000111100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000100000000000000001101000010000000000100000 +000000000001000000000011011011011011000000000000000000 +000000000000000000000000000101101101110001010000100000 +000000000000000000000000000101101100110000000000000000 .logic_tile 5 11 -000000000000000101000010011111000000000000000000000001 -000000001100000000000111011101000000000001000000000000 -111000000110001000000110011111000000000000000000000001 -000000000000001111000010001101100000000001000000000010 -010000000000001001100000001101000000000000000001000001 -010000001110000111000000000011001010000000010000000000 -000000000000000011100110110111000000000010000010000000 -000000000000000000000011100101100000000011000000000110 -000000000000000111000111111011001100000010000010000000 -000000000000000000000011110101101101000000000000000000 -000000000000001011000000001111100001000000000010100010 -000000000000000001000000000011001001000000010000000000 -000100000000000000000111001001000000000010000000000000 -000000000010000001000100000001100000000000000000000000 -010000000010000011100000000001100000000010000100000000 -100000000001010000000000000001100000000011001001000000 +001000000000001001000011101011000000000001010000000000 +000000000000000001100110101011001001000010010000000000 +111000000000000011100000001001100000000000000001000000 +010000000000000001010010011011000000000001000000000011 +010000000000000000000010011001100000000001010000000000 +110010100000000000000010001101001001000010010000000000 +110000000000001001100110000001111110010010100001000000 +110000000000000001000000001001111110110011110000000000 +000000000000000111000000001001000000000001010000000000 +000000000000000000100000000101001001000010010000000000 +000000000000000000000010011000000000000000000100000000 +000000000000000000000110000101000000000010000000000000 +000000000000001000000000000000000000000000000100000000 +000000000000000101000000000001000000000010000000000000 +001000000000000000000110000000000000000000000100000000 +000000000000000000000100001101000000000010000000000000 .logic_tile 6 11 -000000000000001011000111010101100001000000100010000010 -000000000000000011100010111011001111000000110000000000 -000000000001110111000011110111011010000000000000000100 -000010000000110000000010001111101001100000000000000000 -000000000000100101100010111101000000000001000000000000 -000000000011011001000010110111100000000000000000100000 -000100000000001111000111010101100001000011000000000000 -000100001110001111100010000001101000000001000000000000 -000001000000000000000000000111000001000010000001000000 -000010100000000000000010010101001001000011000010000000 -000000000000000011000000000101100001000011000000000000 -000000000000000000000000000001101001000001000000000000 -000000000111001000000110010011100000000000110000000000 -000000000000000101000010001001001000000000010000000000 -000000000000000011000110000101001111000100000000000000 -000000000000000000000000000101111011000000000000000000 +000000000000001001100110011011001100000011110000000000 +000000000000000101000011001101101111000011100000000000 +111000000001000001000000001101011111000010000000000000 +000000000000000001000000001111001100000000000000000000 +110000000000000001000000010001000000000000000001000000 +010000000000000000100010101001100000000001000000000000 +110000000000111000000110101111111001001011110000000000 +110000000000100101000100000001101101001111110000000000 +010000000000000001000111100001000001000000000000000100 +010000000000000000000011100111001100000000010000000000 +000000000000001111100111000000000000000000000101000000 +000000000000000101100100001101000000000010000000000000 +000000000001010111100011100000000000000000000100000000 +000000000000100000100100000001000000000010000010000000 +010000000000000111100111011000000000000000000101000000 +000100000000000000000011000101000000000010000000000000 .logic_tile 7 11 -000010000001111000000111101001000000000000000000000000 -000001000011110011000111111001100000000001000001100100 -111000100000000001100110011011100001000011000000000000 -000001000000101101000011010001101011000001000000000010 -010000000000000000000111101001100000000000110000000010 -010000000000001011000100000101101000000000000000000000 -000000000000000011100110011101100000000000000010000100 -000000000000000111100010101001000000000001000001000000 -000000000000000001100000000101111011000011100000000000 -000000001010000000000000001101011011000001110000100000 -000000000000000000000000011101100000000000000000100000 -000000000001000000010011110101100000000001000000000000 -000000000000000000000000001101001101000100000000000000 -000000000000000000000000000001001111000000000000000000 -010000000000100000000011001000000000000000000100000000 -100000000000000000000000000101000000000010000000000000 +000000000000000000000110100011000000000000100000000000 +000000000000000011000100001101101000000000000000000000 +000000000000000000000111000111011100101000000000000000 +000000000000000001000100001101111010100100000000000000 +000001001010001000000010000011011110000000110000000000 +000010000000000001000011101101111000001000110000000000 +010000000000001000000000011001100000000010000010000000 +010000000000010101000010000101001110000000000000000001 +000000000110000000000010000011000000000010000000000000 +000000000000000000000000001101101000000000000000000000 +000000000000000000000000011111011101101000000000000000 +000000000000000000000011011001111011100100000000000000 +000000000000001000000011100011000000000000000000000000 +000001000000001111000100001101101000000000010000000000 +000000000000001000000000010111011101101000000000000000 +000000000000000001000011011001111110100100000000000000 .logic_tile 8 11 -000010000000000001000010010001000001000000100000000000 -000000000110000111000010000111101010000000110000000000 -000000001011001001000000000111011101000100000000000000 -000000000000101011100000000111111100000000000000000000 -000000000110000001100010011101011001000100000000000000 -000000000000000011000111001101101010000000000000000000 -000010100000001001000010111001100000000000000000000010 -000000000010001011000010001011000000000001000000000000 -000000000000000000000110100001011010011100000000000000 -000000000100000000000000001101011000111100000000000000 -000000000000000001000111101101000001000011000000000000 -000000000000000000100110000101001110000001000000100000 -000000000000011101100111001101011001010000000000000000 -000000000000100001100000001101101010000000000000000000 -000000100000001000000000000001000000000000100000000000 -000101000000000001000000001011101010000000000000000000 +100000001010001111100000010111111000111100000100000000 +000000000010001111100011110111101001111100010001000000 +111000000000000101100110101011111011111100000100000000 +000000000000000101000011110111111011111100010000100000 +010010000111010101100011110111101011110001010101000000 +110101000000100000000010100001011101110000000000000000 +000000100000000000000010111011111000111100000100000001 +000000001010000111000011100111101000111100010000000000 +000001000000000000000000001101100000000001110110000000 +000010001110000000000000001011001010000000110000000000 +000010100000000000000000010000000000000000000000000000 +000001000000000000000011100000000000000000000000000000 +000010100000010001000000000111111001111100000110000000 +000001001110100000100000000111111001111100010000000000 +110000000000000000000000010000000000000000000000000000 +000000000000001001000011100000000000000000000000000000 .logic_tile 9 11 -000000000000000001100000011001011111000100000000000000 -000000000000000101000010100101011100000000000001000000 -111000000000001111100110101111001000111100000000000000 -000000000000001101000011000001111010011100000000000000 -110000000000001111100110110001111010101100000000000000 -010000000000001111100011111111011010111100000001000000 -000001000000001001100111111001100000000000000000000000 -000000000000000001000110101011001011000000010000000000 -000000000000000011000011111001111100010000000000000000 -000000000000000000000011001101011111000000000000000000 -000000000000001000000011100101001011111100000000000000 -000000000000000111010100001011011000011100000000000000 -000000000000000000000011101101111000000011010000000000 -000000000000000000000000001001011000000011000000000000 -010001000010000000000000010000000000000000000101000000 -100010100000000000000010000011000000000010001000000000 +100000001000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000011000000000000000000000000000000 +110000000110000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010100011011101111100110100100000 +000000000000000000000100000111111101111100100001000000 +000000000001000000000111010000000000000000000000000000 +000000000000000000000111110000000000000000000000000000 +000110100000000111000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .ramb_tile 10 11 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000 -000000000001010000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000000000000000000001100000110000 +000000000000000000000010011011100000000001 +111000000000000001000011101000000000000000 +000001000010000000100100000111000000000000 +010000000000000000000011000101100000011010 +110000000000001011000100001001100000000001 +001000100000001000000000001000000000000000 +000001000000001101000011010001000000000000 +000000000110000000000000010101000000100001 +000000000000000000000011000000000000010001 +000000000000000000000000000000000000000000 +000000000000001111000000000000000000000000 +000000000000000000000000000101100000100000 +001000000000000000000000000000000000000001 +110000000000001000000000000000000000000000 +010000000000000011000000000000000000000000 .logic_tile 11 11 -000000000000000101000010100101100001000000100001000000 -000000000000000000010000001101001001000000000000000000 -000000000000000101000000000000000000000010000000000000 -000000000000000000000010100001000000000000000000000000 -000000000000000001000010100000000000000010000000000000 -000000000000000000100010101011000000000000000000000000 -000000000000000001100111000000000000000010000000000000 -000000000000000101000010101001000000000000000000000000 -000000000000000000000000001001000001000000000000000000 -000000000000000000000010011101101010000000010001000000 -000000000100000000000000000011001111000010000000100000 -000000001010000000000000001101111000000000000001000000 -000000000000000000000010000000000000000010000000000000 -000000000000000000000000000101000000000000000000000100 -000000000000000000000000001000000000000010000000000000 -000000000000000000000000001101000000000000000000000000 +000000000000000000000110001011100000000000110000000001 +000000000000000001000011001001001101000000000000000000 +111000000000000101100010110011111111001111110001000000 +000000000000000000100010000101011011101111110000000000 +010000100000000000000110000111000000000010000000000000 +010000000000000001000000000001101111000000000000000000 +000000000000001101100010101111111101000000000000000001 +000000000000000001100011010101011111100000000000000000 +000000000000000000000000011001001111001100000100000000 +000000000000000000000010001101111010000100000000100000 +000000000000000001100000011001100001000000100100000000 +000000000000000001000011110001101100000001000010000000 +000000000000000000000110111001011000111100110100000010 +000000000000000000000010101101101000111100100000000000 +010000000000000001100110111101001111001100000100000000 +000000000000000000000010001001111000000100000001000100 .logic_tile 12 11 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000000011000000000010001000000000 -111001000000000000000000000000000000000000000000000000 +000010100000000000000000000101100000000000001000000000 +000000000000000000000000000000100000000000000000001000 +000000000000001101100110100000000001000000001000000000 +000000000000000101000000000000001000000000000000000000 +000000000001010000000000000000001001001100111000000000 +000000000000100000000000000000001000110011000000000000 +000000000000001101100110110000001001001100110000000000 +000000000000000101000010100000001001110011000000000000 000000000000000000000000000000000000000000000000000000 -010011000000000000000011100000000000000000000000000000 -110010100000000000000100000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000001000000000000000000000000000000000000000 -000000000000000111000000000000000000000000000000000000 -000000000000000000000000001000000000000000000100000000 -000000000000000000000000000111000000000010000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -010000000100001000000000000000000000000000000000000000 -100000000000001011000000000000000000000000000000000000 +000000000000000000000000001101111011000010000000000000 +000000000000000000000000001101011010000000000000000000 .io_tile 13 11 000000000000000010 000100000000000000 000000000000000000 000000000000000001 -000000011000110010 -000000001000010000 +000010000000010010 +000001110000010000 001100000000000000 000000000000000000 000000000000000000 000100000000000000 -000000000000010010 -000000000000010000 -000000110000000000 +000000000000110010 +000000000000110000 +000000000000100000 000000000000000001 000000000000000010 -000000000000000000 +000000110000000000 .io_tile 0 12 000000000000000010 -000100000000000000 -000000000000010000 -000000000000000001 -000010000000010010 -000010010000010000 +000100000000011000 +000010000000000000 +000010110000000001 +000000000000000010 +000000000000110000 000100000000000000 000000000000000000 000000000000000000 @@ -2840,216 +2840,216 @@ 000000000000000000 000000000000000000 .logic_tile 1 12 +110000100000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000011100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +010000000000000000000011100000000000000000000000000000 +110000000000000000000100000000000000000000000000000000 +000000000000000101100000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001101100000000000000100000100 +000000000000000000000000000001000000000001000010000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000001001000010000000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -010000000000000000000000011101100001000011000000100000 -011000000000000000000010011101101010000010000000000000 -001100000000000001100110000000000000000000000000000000 -000000000000000000000011000000000000000000000000000000 -000000000000000000000000000000000000000010000000000000 -000000000000000000000000000001000000000000000000000000 -000000000000001000000000000011100000000010000100000010 -000000000000001101000000001101001111000011000001000000 -000000000000000000000000010000000000000000000000000000 -001000000000000000000010000000000000000000000000000000 -000000000000000000000000001000000000000000000100000000 -000000000000000000000000001101000000000010000000000000 .logic_tile 2 12 -000000000000000111000111110001100000000001000000000001 -001000000000000000100010001001100000000000000000000000 -111000000000001000000011100011000000000000000000000000 -000000000000000001000100000000100000111111110000000000 -010000000000000001100110001001011010000011010000000000 -000010101000001111000000000001011111000011000000000000 -000000000000000001100000000101000000000000000000000000 -000000000000000000000011010011000000000001000000000000 -000000000000000011000000000111100000000010000000000000 -000000001100000000000011010111100000000000000000000000 -000000000000000000000000010011100000001100110000000000 -000000001100000000000011010000100000110011000000000000 -000000000000000011100010000000000000000000000000000000 +010000000000100001000011001101000000000010100000000010 +001000000001000001000000000001001000000001100000000000 +000000000000000111100000000000000000000010000000000000 +000000000000001001100000001001000000000000000001000000 +000000000000000000000000000000000000000000000000000000 +001000000000000001000000000000000000000000000000000000 +000000000000000000000000001001111111101100010000000001 +000000000000000000010000000001001101101100100000000000 +000000000000100000000000000000000000000000000000000000 +001000000001000000000000000000000000000000000000000000 +000010100000000011000011000111100001000000000000000000 +000001001100000000000000000001101100000000010000000000 +000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 -000000000000000000000000000101101010101101010100000000 -000000000000000000000011111001011010001100000000000010 +000000000000000011000011000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .ramt_tile 3 12 +000010100001000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000 -000100000000000000000000000000000000000000 +000000100000000000000000000000000000000000 +000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 4 12 -000100000000010101000000000011100001000000001000000000 -001100000010000001100010110000001011000000000000000000 -111000000000000001000000000001001000001100111000000000 -000000000000000000100000000000001101110011000000000000 -010000000000001001100000010101001000001100111000000000 -001010100000001111000010000000000000110011000000000000 -000100000000001001100010000000001000001100110000000000 -000000000000000001000100000000000000110011000000000100 -000000100000000000000010100101011111101011110000000000 -001000001100001111000000001001111100100111110000000000 -000000000000000001000000000101011101101011110000000000 -000000000000000111000010000001011110100111110000000000 -000001100000000000000000000001111011110000000100000000 -001010000000001111010000000011001101111000000000100000 -000000000000000011000000000111111011110000000100000100 -000000000000000111100010000111001111111000000000000000 +000000000000001001010000000001000001000000100000000000 +000000000000001111000000001111001010000000000000100000 +000000000110000101000000000001101011000100000001000010 +000000000000000101100000001011001010001100000000000000 +000000001110001101000000000000000000000000000000000000 +000000000000000001100000000000000000000000000000000000 +000000000000001011100000011011000000000000000000000000 +000000000000000001100010001101000000000001000001000000 +000000000000000000000110100101000000000001000010000000 +001000000000000011000100000001000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000001100010000001100000000011000000000000 +000000000000000000000000000111000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 .logic_tile 5 12 -000000000000000111000110001101000000000011000000000000 -001000000000010101000011101011100000000000000000000010 -111000000000100001100011101111101111010000000000000000 -000000000000000000000110010101001101110000000000000010 -010000000000100000000010011001001110000011110001000000 -111000000000011111000011001101101100100011110000000000 -000010000000000001100110001001100000000000000000000000 -000001000000000001000000001001101100000000010000000000 -000000000000001011000000001001000000000010000010000000 -001000000000000001000000000101000000000000000000000000 -000000000000000101100111110011101000110011110000000000 -000000000000000000000111101101111010110111110000000000 -000000000000000101100000010011101111001100000000000000 -001000001000000001000011110001011111000100000010000000 -010000000000000101100011101000000000000000000100100000 -100000000000000000000100000001000000000010000000000000 +000000000110000101000011000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 +000000000000000001000010100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +010010000000000000000011100000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000001001011101000000000010000000 +001000000000000000000000000001011001100000000010000000 +000000001000100000000111100000000001011010010001000000 +000000000001010000000000000000001001100101100001000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000101100000000000000001000000 +001000000000000000000000001001100000000011000001000000 .logic_tile 6 12 -000000001110000101000110001101101011000100000000000000 -000000000000000000000010010101111101000000000000000001 -111001000100001111110111001011100001000010000000000000 -000000100000000101000000001111101010000000000000000000 -010000000000001001100000011011001011000100000000000000 -011000000000001111000011011101101111000000000000000000 -000000000000000001110111011111000000000000000010000001 -000000000000001011000011011001000000000001000001000000 -000000000000000000000011111001000000000000000000000000 -001000000000000000000110000101001010000000010000000001 -000010101100000000000000000001100000000001000000000100 -000000000000000000000010001001000000000000000000000000 -000100001110000101100000000111100000000000010000100000 -001100000000010000000000000111001101000000000000000000 -010000000100000000000110011000000000000000000100000000 -100000000000010101010010100001000000000010000000000000 +010000000000000000000010001101101010000100000000000000 +000000000000000111000010110001011110001100000000000000 +000000000000000111000010001101100000000000000000000000 +000000000010000001100010001101001011000000010001000000 +001000001010100000000110010011111111000110100000000000 +000000000000010000000010001001011111001111110000000000 +110000000000010001100110011011001101000010000000000000 +110000000000101101000010000001101001000000000000000001 +000000001010000001100000010000000000000000000000000000 +001000000000000111100011000000000000000000000000000000 +010000000000000011100000000101101010111111110000000000 +010000001000000000000000001001111111011111110000000000 +010001000000000101100000011011001110010010100000000000 +011000000000000101000010101001001100110011110000000000 +000000000000000000000110111001000000000000000000000000 +001000000000100000000010100011000000000001000000100000 .logic_tile 7 12 -000000000000000111100010110101100000000000010000000000 -000000000000001011000010001111001101000000000000000001 -111000000000001001100111110111001100000000000000100000 -000000000000000111000111000001111010100000000000100000 -010010000000000101000000001011111010010000000000000000 -011000000000000111100010101101011000000000000000000000 -000000000000101011100011101011101101010000000000000000 -000000000001010111000110101001001000000000000000000000 -000011100000001000000110000011000001000000000000000000 -000011000000000011000011110111101001000000010000000000 -000000101110001011100000001111000000000000000000000000 -001000000000001111100000000101100000000001000000000000 -000001000110000001000011001101001111100000000000000010 -001010100000000000100111110101111000000000000000000000 -000000000000000000000000000101000000000000110100100000 -000000000000000000000000001001001010000000100000000000 +010000000000001000000110000101100001000000100000000000 +001000000000100101000010001011001000000000000000000000 +000000000000000001000110111011000001000000010000000000 +000000000000000001000011001101001110000000000000000000 +000000000000000011100110101011011010111100100000000000 +000000000000001101100000000011001100111100110000000000 +110000000000000000000110100001001111010111000000000000 +110000000000011111000011010101111100111111000000000000 +110010100000001111000111001001000000000000000000000001 +111001000000000001100010001001101000000000010000000000 +000000000000001001100111001001101011100000000000000000 +000000000000000101000100001111011001000000000000000000 +000000100000000111000111000001100000000000000000000000 +001000000000000000100000000101001101000000010000000000 +000000000000001000000000010101101111000000000000100000 +001000100000000101000010101011101101100000000000000000 .logic_tile 8 12 -000000000000100011000111101111100001000011000000000000 -000000000001000111100110010101101001000011010000000001 -000000000001011101100110110111011011111100000011000000 -000000000000000001110010100001011111011100000000000000 -000000000000001000000000000011100000000010000000000000 -000000000000000001000000000001100000000000000000000000 -000000001110000001000111100011001001010000000000100000 -000000000000000000100100001001111000000000000000000000 -000000000000001011100111111011000000000000000000000000 -000000000000001001100010001011100000000001000010000000 -000010100000100000000010111001011000110000000000000000 -000000000001000000000111110111001010100000000000100000 -000000000001010101100000000011000000000000000000000001 -001100000000000000100000000111001100000000010000000010 -000000000000000000000000001000000000000010000000000010 -000000000000000111000011011001000000000000000000000100 +010000001110001000000000011101000001000010000000000000 +001000000000000111010010000111101101000011000000000000 +000000000000000000000110011001100000000000000000000000 +000000000000000001000011100011000000000001000000100000 +000000000001000000000000010101011011000010000000000000 +000000001100000011000011010001011011000000000000000000 +110000100000001111100111101011111110111111010000000000 +101000000000000001100010000101101011111011010000000000 +001000100000000111000011100001100000000001000000000000 +001001000000100000000000001001100000000000000000000000 +000000000000000111100000010000000000000000000000000000 +000000000000000000100010100000000000000000000000000000 +000000000000000000000011001101011110110000000000000000 +001000000000000000000100000111111111110001010000000000 +000000000000000001000000010101111000000010000000000000 +000000000000000000100010100011011101000000000000000000 .logic_tile 9 12 -000000000000000001000010000000000000000010000000000001 -000000000000000000010000001101000000000000000000000000 -111001000000000000000011100000000000000010000001000000 -000010100000000000000000000011000000000000000000000000 -110000000000000000000010000000000000000010000000000001 -001000000000000000000000000111000000000000000000000000 -000010000000000011000000001000000000000010000010000000 -000000000000000000100000001001000000000000000000000000 -000000000000001001000000010000000000000010000010000000 -000000000000001101100011000011000000000000000000000000 -000000000010000000000000000000000000000000000100000000 -001000000000000000000000001001000000000010000000000010 -000000000000000111000000000000000000000000000100000010 -001000000000000000100000001011000000000010000000000000 -010000000110000000000000000000000000000000000100100000 -110000000000000000000000000001000000000010000000000000 +010000000001001001000000001111111001000011000000000000 +001000001000000101100011000011111110000011010000100001 +111000000000001001000010011001100000000001000000000000 +010100000000001111000011100001001011000011000000000010 +010000000000001000000010011101001111001100000000000000 +110000000000001111000010001101001001000100000000000000 +001000000000001011100111000101100000000000100000000010 +000000000000001111100111000001101010000000000000000000 +010000100000000111100010001111111110000011000010000000 +101000000000000000100010010011101000000010000000000000 +000000000000000000000010001001000000000000010000100010 +000000000000000001000000001101101100000000000000000000 +010000001100000001000110001011100000000000100010000000 +011000000000000001000000000101101110000000000000000000 +010000000000001001000000010111001101110001010100000001 +000000000000000011000010001011111010100001010001000000 .ramt_tile 10 12 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000001001010010111000000001011100000100000 +000010010000100000000000001101000000000001 +111000100000100000000111100000000000000000 +000000010001010000000100000001000000000000 +000000000000000000000000001001100000010000 +000000000000000000000000000011100000010001 +000000000000000011100111000000000000000000 +000000001000000000100100000111000000000000 +000000000110000111000000000111100000000000 +000000000000000000100000000000000000010001 +000000000000000011100000000000000000000000 +000000000000000001100000000000000000000000 +000000100000010000000000000011000000000000 +000011000000100001000000000000000000100010 +010000000000001000000000000000000000000000 +110000000000001011000000000000000000000000 .logic_tile 11 12 -000000000000000000000010100001100000000000001000000000 -000000000000000000000010100000100000000000000000001000 -000000000100000000000010100000000000000000001000000000 -000001000000000000000000000000001001000000000000000000 -000000000000000101000010000000000000000000001000000000 -001000000000000000000100000000001010000000000000000000 -000000000000000000000000000000000001000000001000000000 -000000000000000000000011000000001011000000000000000000 -000000000000000000000110100000000000000000001000000000 -000000000000000000000000000000001001000000000000000000 -000000000000000000000000000000000000000000001000000000 -000100000000000000000000000000001101000000000000000000 -000000000000000000000000000000000000000000001000000000 -001000000000000000000000000000001011000000000000000000 -000000000000000000000000000000001000111100000000100000 -000000000000000000000000000000000000111100000000000000 +110000000000000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000001000000010000000011010000000000000000000000000000 +110000000000000000000000001000000000000000000100000001 +000000000000000000000000001001000000000010000000000000 +000001100000000111100000000000000000000000000100000010 +000001100000000000000000000001000000000010000000000000 +000000000000000101100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +001000001110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000100000000 +111000000000000000000000000011000000000010000000000100 .logic_tile 12 12 -000000000001010000000111010000000000000000000000000000 -000000000000100000000010000000000000000000000000000000 -111000000000000000000000010000000000000000000000000000 -000000000000100000000011010000000000000000000000000000 -010000000000000000010010101101100001000011000000000000 -011000000000000000000110110101001001000011010000000000 -000000000000000001100000000000000000000010000000000000 -000000000110001101000000001001000000000000000000000000 -000000000000000000000000000000000000000010000000100000 -000000000000000000000000000000000000000000000000100000 -000000000000000000000000011011101010100000000000000000 -000000000000000000000010000101111011000000000001000000 -000000000000000000000000001000000000000010000100000000 -001000000000000000000000001011000000000000000000000000 -010000100000000000000000000000000000000000000000000000 -100001000000100000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +001000000110000000000000000000000000000000000000000000 +000100000000000011000000001101000000000000100000000100 +000000001110000000100000000001001100000000000000000000 +000000000000000011000000000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 +000000000000100011000000000000000000000000000000000000 +000010001001000000100000000000000000000000000000000000 +000000100000000111100000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001011000000000000100000000010 +001000000000000000000000000101001010000000000010000000 +000000000001000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 .io_tile 13 12 -000000110000000010 -000001010000000000 +000001011000010010 000000000000000000 -000000000000000001 -000000000000000010 000000000000010000 +000000000000000001 +000000000000110010 +000000000000110000 000100000000000000 000000000000000000 000000000000000000 @@ -3058,17 +3058,17 @@ 000000000000010000 000000000000000000 000000000000000001 -000000000000000010 +000001011000000010 000000000000000000 .io_tile 0 13 -000010000000000010 -000101110000000000 -000000000000000000 -000000000000000001 000000000000000010 -000000000000010000 000100000000000000 000000000000000000 +000000000000000001 +000000000000010010 +000000000000110000 +000100000000000000 +000011010000000000 000000000000000000 000100000000000000 000000000000000000 @@ -3078,169 +3078,169 @@ 000000000000000000 000000000000000000 .logic_tile 1 13 +100000100000000000000000001000000000000000000100000001 +000000000000000000000000000001000000000010000000000010 +111000000000001000000000001000000000000000000100000001 +000000000000001011000000001101000000000010000000000010 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000010000000000000000000000000000 -000000000000000000000011100000000000000000000000000000 -111000000000000000000000000000000000000000000000000000 +000000000000000000000011010000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -010000000000000000000111100000000000000000000000000000 -111000000000000000000100000000000000000000000000000000 -000000000000000000000000001000000000000010000000000000 -001000001110000000000011001101000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000110000000 +001000000000000000000000001111000000000010000010000010 +000000000000000000000000000000000000000000000000000000 +001000000000000000000010010000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000010000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000100000000000000000000000111100000000010000100000000 -001100000000000000000000001001100000000000000000000100 -010000000000000000000000010000000000000000000000000000 -100000000000000000000010000000000000000000000000000000 .logic_tile 2 13 -000000000000000111100110000001000000000000000000000000 -000000000000000000100010101101100000000001000001000000 -000000000000001001100011101101111001101000100001000000 -000000000100001111000100000101001100110100100000000000 -000000000000000001100111100001111101010010000000000001 -001010000000001011000000000011001000001000010000000000 -000000000000001001100000000011000000000000010001000000 -001000000000000001000000000101101100000000000000000000 -000000000000001111000010010011001101000000000000000000 -000000000000001011000111001011011101110000000000000000 -000000000000000001000000000011000001000010000000000000 -000000000001000000000010000011101010000011000000000000 -000000000000000000000000000001100000000011000000000000 -001000000000000000000011100101100000000000000010000000 -000100000000000000000000000001000000000000000010000000 -000100000000000000000000000001101111000000010000000000 +110000001110101000000000001011100000000010010100000001 +001000000001010011000011100001101001000010101000000000 +111000000000001101000111111001000001000010010100000100 +000000000000001101000011111001001000000001011000000001 +010000000000001000000011100000000000000000000000000000 +110000000000001111000100000000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000000111000000000000000000000000000000000000 +000000000000000000000000000101100000000001000100100000 +001000000000000000000000001111000000000011001000100000 +000000000000000000000011000001100000000001000110100000 +000000000000000000000000000101100000000011001000000000 +000000000000000000000011100000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .ramb_tile 3 13 -000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 -000000000000100000000000000000000000000000 -000000000000010000000000000000000000000000 -000000000000100000000000000000000000000000 -000000000000010000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000000001000010010001000001000000 +000000000000000000110111101001101101000000 +111010000000000101100000011000000000000000 +000001000000001001000011111111000000000000 +110000001110000000000010000001100000000000 +110000000000001111000111111011000000010000 +000000000000001000000000000000000000000000 +000000001110000101000000000101000000000000 +000000000001010000000111001101100000000000 +000000000000100000000111101001000000100000 +000000000000000000000000000000000000000000 +000000000000000000000010010001000000000000 +000000000000000000000011100011000000000000 +001000000000000000000000001101100000000000 +010000000000000000000000000000000000000000 +010000000000000000000010011101000000000000 .logic_tile 4 13 -000000000000001111100111110011100001000000001000000000 -001000000000001111100010110000001001000000000000000000 -111000000000000000000000000101001000001100111000000000 -000000000000001101000011000000001001110011000000000000 -010000000000000111100010010011001001001100111000000000 -001000000000100000000010110000101010110011000000000000 -000000000000001001100111110000001000001100110000000000 -000000000000000001000011110000000000110011000000000000 -000000000000000011100111101111001100100101010000000000 -000000000000000000100010010011001101100110100000000000 -000000000000001101100000001101101000101000000000000000 -000000000000000111000000001101111101110000000000000000 -000000000000101000000000000101000000000000000000100000 -001000000000010101000000000001100000000011000001000000 -000000000000000000000000000001101011001100000100000000 -000000000000000000000000000001011010001101010000000100 +010000000000001011100110000111001100010011010000000000 +001000000000000001000011011101101100100011010000000000 +000000000001010111100010111101111000000100000000000000 +000000000100101011100110000111111000000000000000000000 +000100000000000111100010101001101101001001000000000000 +000100000000000011100111000001011010001010000001000000 +000100000000000011100110100011000000000000100000000000 +000000000000001101000111011101001100000000000000000000 +000000000000001111000110100001000000000001000000000000 +001000000000001101100100001001100000000000000001000000 +000000000000000000000000001001001011000110100000000000 +000000000010000000000000001001001010001111110001000000 +000000000000000111100110101101001110000110100000000000 +001000000000000011000111111111001111001111110000000000 +000000000000001000000111001111001110001001000000000000 +000000000000000001000100001101011001001010000001000000 .logic_tile 5 13 -000000001110000001000000000011000000000000000000100000 -001000000000001001000010011111000000000011000000000000 -111000000001000011000010001111100000000001000000000000 -000000000000100000100100000011100000000000000000000000 -110010000000000001100000001111011101010100010010000000 -010000001110000000000000000111111100001100010000000000 -000000001010000000000000000111000000000001000000000000 -000000000000000000000000001011000000000000000000000000 -000000000000000101000010111001100000000000000000000000 -000000000000000000000011101011100000000001000010000000 -000000000000000111000110111101011100101100100000000000 -000000000000000101100011100001001111110101000001000000 -000001000001010101000010100001000000000010000000000010 -001010100000000000000011110001001001000000000000000001 -000000001000000111000110111000000000000000000100100000 -000000000000000000100011011111000000000010000000000000 +010000000000000001100110101001000000000001000001100000 +001000000000000000010100001011000000000000000000000000 +000010100000100000000000000101100000000000000000000000 +000001000001000000000000001001001011000000010000100000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010010000000000000000000000000000 +000000100000000011000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +000000000000000000000010000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +001000001100000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 6 13 -000000000000000000000000000001100000000000001000000000 -000000000000000000000000000000000000000000000000001000 -111000000000000101000000000000000000000000001000000000 -010000000000000000100000000000001100000000000000000000 -110000000000000000000000000000001001001100111000000000 -011000000000100000010010110000001011110011000000000000 -000000000000000000000110010000001001001100111000000000 -000000000000001101000010010000001010110011000000000000 -000000000000000111000011110000001000001100110000000000 -000000000000000011000011000000000000110011000000000000 -000000000000100000000000001011000000000000100000000100 -000000000000000000000000001101001101000000000000000000 +010000000000001000000111001001000000000000000000000000 +001000000000000001000111110101100000000001000011000000 +000000000000000001000111000001000001000000100010000000 +000000000000000001000100001101001000000000000000000000 +000001000000110000000000011011100000000000100000000000 +000000100001110000000010000001001100000000000000000000 +011001000000100001100000000000000000000000000000000000 +010010000000010000010000000000000000000000000000000000 +010000000000001000000000000011111001111100010000000000 +101000000010000101000000001001011010111100000000000000 +000000000000000011100000000001100000000010000000000000 +000000000000000000000000000111000000000000000000000000 000000000000000000000000000000000000000000000000000000 -001000000000000000000000000000000000000000000000000000 -010000000000100000000000000000000000000000000101000000 -100000000001010000000000001101000000000010000000000010 +001000000000000111000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +001000000001010000000000000000000000000000000000000000 .logic_tile 7 13 -000000000000001001100010000001100001000000000010100000 -000000000000001011000010001001001000000000010000000000 -111000000000101101000111000111100000000000000001000000 -000000000001010001000000001001100000000001000001000000 -010000000000000000000110000001100001000000010000100000 -110000000000000000000000000001001110000000000000000100 -000000001100000001000010001011000001000000010001000000 -000000000000000000000110101101101101000000000000000000 -000000000000001111100111111011000000000000110000000000 -000000000000000001000011001101101110000000000000000000 -000000000000000000000010000111000000000000010000000000 -000000000000000000000000000001001100000000000000000000 -000000001110000111000000000001100000000011000000000001 -001000000000000000100000000111101100000010000000000000 -010000001000100001100110100000000001011010010110000010 -110000000000000000000011110000001111100101100000100000 +000000000000000000000000000101000001000000000000000000 +000000000000000000000011101001001001000000010000000000 +000001000001110000000000010000000000000000000000000000 +000000100001010000000010110000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +001000000000000000000010110000000000000000000000000000 +000010000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000000000000000000000000000111000000000000000000000000 +000000000000000000000010111011100000000001000000000000 +000000001100000000010000000000000000000000000000000000 +001000000000000000000000000000000000000000000000000000 .logic_tile 8 13 -000000000000000000000000011000000000000010000000000000 -000000000100000000000010001111000000000000000010000000 -111000000001010111000110000000000000000000000000000000 -000000000000100000010011100000000000000000000000000000 -010000000000000111000000001101100000000000000000000000 -011000000000000000000000001011101010000000010000000000 -000000000000100000000000011001101111010000000000000000 -000000000001010000000010100011101000001000000000000100 -000000000000000000000000001001101111110000000000000000 -000000000000000000000000001001011110000000000000000100 -000000000001000101100000011111000000000000000000000011 -001000000000100000100010011001100000000001000000000011 -000000000000000001000111000000000000000000000000000000 -001000000000000000000010000000000000000000000000000000 -010000000000000101100110101000000000000000000100000000 -100000000000000000100111001111000000000010000001000000 +010010100000001011100000010011000000000011000000000000 +001000000000000001000010000101000000000000000000000000 +000000000000001101000000011011000000000000000001000000 +000000000000000001100010100001000000000011000000000000 +000000000000001001000000000011111111110000000000000000 +000000000000000011100011000011101011000000000000000000 +000000000000000000010110010001011001111100000000000000 +000000000000001101000010001101011100011100000000100000 +000000001100001101000000000001000000000000000000000000 +001000000000000101000000001001000000000001000000000100 +000000000000001001100011001011100001000000110000000000 +000000000000000101000010100101101011000000000000000000 +000000000000000101000011101101000001000001100000000000 +001000000000000000000000000001001100000000110000000000 +000000000000001000000000001111001110110011000000000000 +000000000000000101000010101111101010000000000000000000 .logic_tile 9 13 -000000000000001000000010100001000000000000001000000000 -000000000000001011000010100000100000000000000000001000 -000000000000000000000000000000000001000000001000000000 -000000000000000000000000000000001010000000000000000000 -000000100000000000000010010000000001000000001000000000 -000000000000000101000011100000001100000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000001000000101000000000000001010000000000000000000 -000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001001000000000000000000 -001000000000000011000000000000000001000000001000000000 -001000000000001111000000000000001100000000000000000000 -000000001110000000000000000000000000000000001000000000 -001000000000000000000000000000001011000000000000000000 -000000000000000000000000011001001000011100000000000000 -000000000000000000000011011111001011111100000000000010 +010000000001000101000000001001100000000001010000000000 +001000000000000000100010111101101001000010010000000000 +111000000000000000010010000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +010000000000001000000110000000000000000000000000000000 +000000000000000001000010110000000000000000000000000000 +000000000001000001100110010101100001000001100000000000 +000000000000100000000010001101001011000000110000000000 +000000001000000000000111000011000000000011000000000000 +000000000000000000000100001101100000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000000001000000000010000000000101 +000000000000000000000000000000000000000000000100000000 +001000000000000000000000001101000000000010000000000101 +010000000000000000000000000000000000000000000100000000 +000000000000000000000000000101000000000010000000000110 .ramb_tile 10 13 000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000001100000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000 +000000000000100000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000100000000000000000000000000000 -000000000001000000000000000000000000000000 +000001000001010000000000000000000000000000 +000010000000100000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3248,39 +3248,39 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 13 -000000000000000111100000000111100000000000001000000000 -000000000000000000100000000000100000000000000000001000 -000000000000001000000011100111000001000000001000000000 -000000000000000011000000000000001100000000000000000000 -000000000000000000000000000000000001000000001000000000 -001000000000000000000000000000001000000000000000000000 -000000000100000111100000000000000000000000001000000000 -000000000000000000100000000000001000000000000000000000 -000000000000000000000000000000000001000000001000000000 -000000000000000000000000000000001010000000000000000000 -000000000000000011100000000000000001000000001000000000 -000000000000000011100000000000001001000000000000000000 -000000000000000001000000000000000001000000001000000000 -001000000000000000100000000000001100000000000000000000 -000000000000000000000000000000001000111100000000000010 -000000000000000000000010010000000000111100000000000000 -.logic_tile 12 13 -000000000000000000000110010101100000000000001000000000 -000000000000000000000010000000000000000000000000001000 -111000000000001000000000000000000001000000001000000000 -000000000110000001000000000000001011000000000000000000 -010001000000000000000011100000001000001100111100000000 -111010000000000000000100000000001001110011000000000000 -000000000000001000000000000000001000001100110100000000 -000000000000000001000010100000001001110011000000000000 -000000000000000000000000000000000001011010010100000000 -000000000000000000000000000000001000100101100000000000 +110000000000000000000111100000000000000000000000000000 +001000000000000000000111100000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000010010000000000000000000000000000 +110000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000001001101000111100100101000001 +000000000000000000000000000011111001111100000000000010 +000000000000000000000000010000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 +000000000000000011100000000000000000000000000000000000 +001100000000000000100000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 13 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +110000000000000000000110100000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000010000000000000000000000000000 +000000000000000000000011110000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 001000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +001000000000000000000000001101000000000010000001000100 010000000000000000000000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .io_tile 13 13 000000000000000000 000000000000000000 @@ -3300,181 +3300,182 @@ 000000000000000000 .io_tile 0 14 000000111000000010 -000100001000000000 +000100000000000000 000000000000000000 000000000000000001 -000000000000100010 -000000000000110000 +000000000000010010 +000011010000010000 001100000000000000 000000000000000000 000000000000000000 000100000000000000 -000001010000000010 +000000000000000010 000000000000010000 000000000000000000 000000000000000001 000000000000000010 000000000000000000 .logic_tile 1 14 -000000000000000001100110000001000000000000010000000000 -000000000000000000000010111101001101000000000000000010 -111000000000000101000010100011111101100000000000000000 -000000000000001101100110111001011100000000000000000000 -010000001110000000000010001101101101100000000000000000 -100000000000000000000100001111111011000000000000000000 -000000000000000000000110000001100000000000000100000000 -000000000000000000000010100011000000000001000000000000 -000000000000000111000010110001100000000000000100000000 -000000000000000000000010000111000000000001000000000100 -000000000000001001100000010001100000000000000100000000 -000000000000000001000010000011100000000001000000000000 -000000000000000111000000000001100000000000000100000000 -000000000000000000000000000111100000000001000000000000 -010000000000000000000010000001000000000000000100000000 -100000000000000000000100000011100000000001000000000000 -.logic_tile 2 14 -000000000000000111100000010001011001000100100000000000 -000000000000000000100011011001011100000000000010000000 -111000000000000001100110100000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -010000000000000000000011100000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 -000000000000000000000110000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000001000000110001101100000000000000110000000 -000000000000001011000000000001100000000011000010000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000001011010010100000010 -100000000000000000000000000000001101100101100010000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 14 +100000000000000000000000000000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000011000000000000000000000000000000 +010100000100000000000000000000000000000000000000000000 +010100000000000000000000000000000000000000000000000000 +000000000000000001100000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000010000000000000000000000000000000000000000000 +000000000000000000000000001101111000110000000100000001 +000000000000000000000000001111011110111001011000000000 +000100000000001000000000000000000000000000000000000000 +000100000000001101000000000000000000000000000000000000 +110000000000000000000000010000000000000000000000000000 +000000000000000000000010110000000000000000000000000000 .ramt_tile 3 14 -000000100000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000010000000000000000000000000000000000000 -000001000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000010100000000000000000000000000000000000 -000000000000100000000000000000000000000000 -000001000011000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000000000000000000011000111000000000000 +000000010000000000000100000111001011000000 +111000000000000111000000000000000000000000 +000000010000000000000000001011000000000000 +000000000000000001000010001011000000000000 +000000000000000000100100001111000000000000 +000000100000001000000000001000000000000000 +000001000000000011000000000011000000000000 +000000000000000101000010000001100000000000 +000000000000000000000100000101000000000000 +000000000000000011100010001000000000000000 +000000000000000001100010001011000000000000 +000000000000000111000000001101100000001000 +000000000000000101000000001011000000000000 +110000000000000000000111000000000000000000 +010000000000000000000000001001000000000000 .logic_tile 4 14 -000000000000000001000010100101111101101011110000000000 -000000000000000000100110111101111000100111110000000000 -111000000000000101000000001101011010000010110000000000 -000000000000100111100010110101001010000011010000000000 -010000001110000011000010100011111000000011110000000000 -000000000000000011000000000000000000111100000000000010 -000000000000000111100000010101000000000001000000000001 -000000000000000111100011010101000000000000000000000010 -000000000000001001100000000111001000000011110000000000 -000000000000000001000000000000010000111100000000000000 -000000000000000001000010000001011100001100000100000000 -000000000000000000100110010001111100001101010000000100 -000001000000001000000000000000000000000000000000000000 -000000100000001011000000000000000000000000000000000000 -000001000000000000000000000001101101110000000100000010 -000010000000000000000000000001101101111000000000000000 +000000000000000101000010000011100000000000000000000000 +000000000000000000100000000101101111000000010000000000 +000000000100000101100000001101011100000011100000000000 +000000000000000000100010011011001010000011000000000000 +000000000000000001000000010000000000000000000000000000 +000000000000001101000010100000000000000000000000000000 +000100000000000000010010001011100000000010100000000000 +000000000000000000000000000001101110000001100000000001 +000000000000001000000000000001011101111001000000000000 +000000000000001001000011101011001111111010000000000000 +000000000000001001000011011111100000000000010010100000 +000000000000001011100110010011101001000000000000000100 +000000000000001000000010001101001111001001000000000000 +000000000000001101000100001001101011001010000000000000 +000000000000000000000011000011001111001001110000000000 +000000000000000000000100000101011101000101110000000000 .logic_tile 5 14 -000000100000000011000011001001000000000000000000000000 -000000000000000001100111000001000000000011000000000000 -111000000000001011000000000001011101010111110000000000 -000000000000001111100000000111111000011011110000000000 -010000001000000001100110001001001000100101100000000000 -000000000000001001000000000001011110001100110000000000 -000010000000001011000000000111111101000001110000000000 -000000000000000001100000000101111100000011100000000000 -000000000000000000000110110011011111010100000000000000 -000000000000000000000010001011111010011000000000000000 -000000000001000011100111111001001011000111110110000000 -000000000000000000000111011011001111000011110000000000 -000000000000001001000000000000000000000000000000000000 -000000000000000001000000000000000000000000000000000000 -000000000000000000000111111101000000000010000110000000 -000000000000000000000111010101100000000000000000000000 +000000000000001000000000000101100000000000000000000000 +000000000000001111000000000001000000000001000000000000 +111000000000000001100000000101100000000000000000000000 +000000000000001101000010110101100000000001000000000000 +010000000000001000000000000001100000000000000000000000 +000000000000001111000010110001000000000001000000000100 +000010100000001001100110010011100000000000000000000000 +000001000000000001000010000101100000000001000000000000 +000000000000000000000000011101100000000001010100000000 +000000000000000000000010101001001101000010010000000000 +000000000000000000000000010101100000000001010100000000 +000000000000000000000010000111001111000010010000000000 +000000000000001000000000011101100000000001010100000000 +000000000000000011000010100001101101000010010000000000 +010000000000000000000000000101100000000001010100000000 +000000000000000000000000001101101111000010010000000000 .logic_tile 6 14 -000000000000000000000010100000000000000000000000000000 -000000000000000000000010100000000000000000000000000000 -111000000000001000000010100001100001000001010000000000 -000000000000000001000000001001001111000010010000000001 -111001000000000001100110000001100001000001010001000000 -110010001110000000000000001001101101000010010000000000 -000000000000000001100000011101100001000000110000000000 -000000000000000000000010001111001011000001100000000000 -000000000000000000000110100000000000000000000100100100 -000000000000000000000000000101000000000010000000000000 -000000000000000000000000010000000000000000000100100000 -000000001010000000000010101011000000000010000001000010 -000000000000000000000011000000000000000000000100100000 -000000000000000000000100001101000000000010000000000101 -010000000000000000000000010000000000000000000000000000 -100000001000000000000010100000000000000000000000000000 +000000000000001000000000010101100000000000000000000000 +000000000000001011000010001111100000000001000000000000 +111100000000000001000010010000000000011010010000000000 +000000000000000000000010000000001010100101100000000000 +010000000000001001100000010011100000000000000010000000 +000000000000000001000010001111000000000001000000000100 +000000000000000000000110011101100000000000000000000000 +000000001000000000000010001011100000000001000000000000 +110000000000000000000000000001111001001011110000000010 +110000000000000000000000000001101100001111110000000000 +000000000000000001100010000111100000000001000100000000 +000000000000001111000100001101000000000011000000000000 +010000000000000000000010000101100000000001010100000000 +010000000000000000000100000101101001000010010000000000 +010000000000000011100000001001111011001100000100000000 +000000000000000000100000000011111101000101000000000000 .logic_tile 7 14 -000000000000000000000111011101011001110000000000100000 -000000000000000000000110001011101000000000000000000010 -000000000000001001100000001001001101110011000000000000 -000000000000000001000000001011111111000000000000000000 -000000000001010001100011010000000001011010010000000000 -000000000000000000000111000000001010100101100000000000 -000000000000001101100110011101101000110100000001000000 -000000001010000001000010100101111001111100010000000000 -000000000001000000000110011101100000000011000000000000 -000000000000100000000110010011100000000000000000000000 -000000000000000101000010101101101011110011000000000000 -000100000000000101000010101011011100000000000000000000 -000000000000000000000000001001000001000000000000000000 -000000000000000000000000000001101011000000110000000000 -000000000000001101000000001111101010000100000000000000 -000000000000001001000010100111001101100000000000000000 -.logic_tile 8 14 -000000000000001000000110001001000000000001000000000000 -000010100000001111000000001001100000000000000000000000 -111010000000101001000010011111000000000001010000000000 -000001001010010001100110000001001010000010010000000000 -110000000000101011100110000000000000000000000000000000 -010000000001010101000000000000000000000000000000000000 -000000000000000111000011111101100000000001010000000000 -000000001000000000010010001001101010000010010000000000 -000000000001010000000011110011000000000001010000000000 -000000000000100000000011000001101010000010010000000000 -000001000000000111000110001101000000000000000000000000 -000000000000000000000000001101100000000011000000100000 -000001000000000011100000000011000001000000110000000000 -000010100000000000000000000101101010000001100000000000 -000000000000000000000000001001100001000010010100000100 -000000000000000000000000001011001100000001010000000000 -.logic_tile 9 14 -000000000000001000000000000101111001000000000000000000 -000000000000001111000000000001111000110000000000000010 -000000000000001000000011111001011001010000000000100000 -000000000000001111000111111001001011001000000000000000 -000000000000001000000000001101011011000100000000000100 -000000001100001111000000001101001001100000000000000001 -000000000000001000000011110000000000000000000000000000 -000000000000001111000111110000000000000000000000000000 -000000000110000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 +000000000000000000000000001101100000000010000000000000 +000000000000000000000000000001000000000011000000000100 +110000000000000000000000000000000000000000000000000000 +110000000000000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000001000000000000000000000000000000000000000000000 -000000000000000000000000001001011011000100000001000000 -000000000000000000000000000101011011100000000000000100 -001000000000000000000000001001111010010010000001000000 -000000000000000000000000000001111000000000000000000100 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 14 +000000000000000000000000000101100000000000001000000000 +000000000000000000000000000000100000000000000000001000 +111000000000000011100011100000000001000000001000000000 +000000000000000101100000000000001001000000000000000000 +011000000000000000000110010000001001001100111000000000 +000000000000000000000010000000001011110011000000000000 +000000000100101001100110000000001001001100110000000000 +000000000000000001000010110000001111110011000000000000 +000000000000000000000000001101000001000001010000000000 +000000000000000000000000001101101000000010010000000000 +000000000000000000000000001101000001000001010000000000 +000000000000000000000000001111101000000010010000000000 +000000000000000000000000001000000000000000000100000010 +000000000000000000000000000101000000000010001000000000 +010000000000000000000000011000000000000000000110000000 +000000000000000000000010000101000000000010001000000100 +.logic_tile 9 14 +000000000000000001000010000001000001000010000000000100 +000000000000000001000110110001001000000000000000000001 +000000000000000101000110001101100000000001010000000000 +000000000000001001000010010001001111000010010000000000 +000000000000000000000010100101101010000100000000000000 +000000000000001011000110111111001100001100000000000000 +000000000000001001100000011001100000000011000000000000 +000000000000000001000010100011101001000000110000000000 +000010000000000000000111111011011000000100000000000000 +000000000000000111000110000011111001100000000000000000 +000000000000000101000000000000000001011010010000000000 +000000000000001001100010000000001000100101100000000000 +000000000000000000000110011101000000000001010000000010 +000000000000000000000010001011101101000010010000000000 +000000000000000000000000001101101011110011000000000000 +000000000000000000000010111111011011000000000000000000 .ramt_tile 10 14 +000010100000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000 -000010000000000000000000000000000000000000 -000001000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3483,42 +3484,41 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000001010000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 14 -000000000000000000000000000000000001011010010000100000 -000000000000000000000011100000001000100101100000000000 -111000000000000000000010100000000000000010000000000000 -000100000000000111000100000011000000000000000000000010 -010000000000000000000000001000000000000000000100000000 -010000000000000000000000000101000000000010000000000000 -000000000000000101000000001000000000000000000100000000 -000000000000010000100010111101000000000010000000000000 -000000000000000001000000000000000000000000000000000000 +000000000000000001000000000101100000000000000000000100 +000000000000001001000000000001001010000000010000000010 +111000000000000000000000010000000000000000000000000000 +000000000000000000000010010000000000000000000000000000 +010000000000000001000000000000000000000000000000000000 000000000000000000100000000000000000000000000000000000 -000000000000000000000000001000000000000000000100000000 -000000000000000000000000001001000000000010000000000000 000000000000000000000000000000000000000000000000000000 +000000000000001001000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000000000000000 +000010000000000000000000001001000000000000000000000000 +000000000000000000000000000101001000000000010000100000 +000000000000000000000000001000000000000000000100100001 +000000000000000000000000001001000000000010000000000000 010000000000000000000000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 12 14 -000000000000000011000011000000000000000000000000000000 +100000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 111000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000000000000 +110000000000000000000000000000000000000000000100000010 +000000000000000000000000001111000000000010000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000100000100 -000000000000000000000000000001000000000010000000000000 -000010100000000000000000000000000000000000000000000000 -000001000000000000000000000000000000000000000000000000 -000000000000001000000000000000000000000000000000000000 -000000000000001011000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -110000000000000000000000000000000000000000000100000000 -010000000000000000000000001001000000000010000000100000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000111000000000000000000000000000000 +000000000000000000000100000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .io_tile 13 14 000000000000000000 000000000000000000 @@ -3554,49 +3554,49 @@ 000000000000000000 000000000000000000 .logic_tile 1 15 -000000000000000000000000000011000000000000001000000000 -000000000000000000000000000000000000000000000000001000 -000000000000000011100000000000000001000000001000000000 -000000000000000000100000000000001010000000000000000000 -000000000000000000000010100000001001001100111000000000 -000000000000000000000000000000001011110011000000000000 -000000000000001101000010100000001000001100111000000000 -000000000000000011000010100000001101110011000000000000 -000000000000000101100110100000001000001100111000000000 -000000000000000000000000000000001011110011000000000000 -000000000000000000000000000000001001001100111000000000 -000000000000000000000000000000001000110011000000000000 -000000000000000000000000000000001001001100111000000000 -000000000000000000000000000000001010110011000000000000 -000000000000000000000000000000001001001100111000000000 -000000000000000000000000000000001011110011000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 2 15 000000000000000000000000000000000000000000000000000000 +000000000000000000000011010000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000001001000000000000000000000000000000000000 +000000000000000000000000000101100001000001010000100000 +000000000000000000000000001001001101000010010000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000010010000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -111000000000000000000000001101100000000000000101000000 -000000000000000000010000000011000000000001000000000100 -010000000000000000000110100000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 -000000000000000011000000001101000000000000000100000000 -000000000000000000000000000011100000000001000000000000 -000100000000000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000000000000000 -000000000000000011000000000000000000000000000000000000 -000000000000000000100000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -010000000000000000000000000000000000000000000000000000 -100000000000000000000000000000000000000000000000000000 .ramb_tile 3 15 000000000000000000000000000000000000000000 000000010000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -001000100000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000000001000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3605,110 +3605,111 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 4 15 -000000000000000000000010100011100000000000001000000000 -000000000000000000000110110000100000000000000000001000 -000000000000001101000010100001000000000000001000000000 -000000000000000001100110110000101101000000000000000000 -000000000000000000000000000001001000001100111000000000 -000000000000000000000000000000100000110011000000000000 -000000000000000111000011100101101000111100001000000000 -000000000000000000000000000000001101111100000000000000 -000000000000000000000011000001101000111100001000000000 -000000000000000000000100000000001111111100000000000000 -000000000000000000000111000001101000111100001000000000 -000000000000000000000100000000101101111100000000000000 -000000000000000000000011000001101000000011110000000000 -000000000000000000000100000000100000111100000000000000 -000000000000000000000000000101111000000011110000000010 -000000000000000000000000000000100000111100000000000000 +100001000000000000000010001111000000000011010100000000 +000010100000000000000000001111001010000011001000000000 +111000000000001000000000000001100000000001000100000001 +000000000000000001000010101001100000000011001000000000 +110000000000000101000000000000000000000000000000000000 +010001000000000101000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001011000000000000000000000000000000000000 +000000000000000000000000011111100001000001010100000000 +000000000000000000000011000001001111000010011000100000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000001110001001000000000000000000000000000000000000 +110000000000001011000011001111100000000001100100100000 +000000000000001101000100000101001010000010101010000010 .logic_tile 5 15 -000000000000001011000000000111101101000100000000000000 -000000000000000001100000001011011101000000000000000000 -000000000000000000000000000000000000000010000000000000 -000000000000000000000000001111000000000000000000000000 -000000000000000000000000001111000000000000000000000000 -000000000000000000000000000111101000000000010000000000 -000000000000000000000000001000000000000010000000000000 -000000000000000000000000000011000000000000000000000000 -000000000000000000000010101000000000000010000000000000 -000000000000000000010110111011000000000000000000000000 -000000000000000111000000000000000000011010010000100000 -000000000000001101000010110000001000100101100000000000 -000000000000000000000000001000000000000010000000000000 -000000000000000000000010010011000000000000000000000000 -000000000000000111000000000000000000000010000010000000 -000000000000001101000000001011000000000000000000000000 -.logic_tile 6 15 -000000000000000101100110100001000000000000001000000000 -000000001110000001000000000000100000000000000000001000 -000000000000001000000010110111000000000000001000000000 -000000000000000101000110100000101000000000000000000000 +000000000000000111100110000101000000000000001000000000 +000000000000000101000100000000000000000000000000001000 000000000000000000000000000000000000000000001000000000 -000000000000000000000000000000001000000000000000000000 -000000000000000000000000000111000000000000001000000000 -000000000000001101000000000000101101000000000000000000 -000000000000000000000111100000000001000000001000000000 -000000000000000000000100000000001001000000000000000000 -000000000000000000000000000000000001000000001000000000 000000000000000000000000000000001001000000000000000000 -000000100001000000000010000000000001000000001000000000 -000000000000000000000000000000001000000000000000000000 -000000000000000000000000000000000001000000001000000000 -000000000000000000000000000000001010000000000000000000 +000000000000001101000010100000001000001100111000000000 +000000000000001001000000000000001010110011000000000000 +000000000000000000000000000000001000001100111000000000 +000000000000000000000000000000001111110011000000100000 +000000000000000101100000000000001000001100111000000000 +000000000000000000000000000000001011110011000000000000 +000000000000000000000000000000001000001100111000000000 +000000000000000000000000000000001000110011000000000000 +000000000000000000000000000000001000001100111000000000 +000000000000000000000010110000001000110011000000000000 +000000000000000000000000000000001000001100111000000000 +000000000000000000000000000000001100110011000000000000 +.logic_tile 6 15 +000000000000000000000000000011100000000000000000000001 +000000000000000000000010100011000000000001000000000000 +111000000000001101110110010001100000000000000000000000 +000000000000000001000010000111100000000001000000000000 +010000000000000000000000000001100000000000000010000000 +000000000000000000000010100011100000000001000000000000 +000000000000001001100110110001100000000000000000000000 +000000000000000001000010000111000000000001000000000000 +000000000000000101000000000101100000000001010100000000 +000000000000001111110010111011001001000010010000000000 +000000000000000001100000000101100000000001010100000000 +000000000000000000000000000011001011000010010000000000 +000000000000000000000000001101100000000001010100000000 +000000000000001111000000001101101001000010010000000000 +010000000000000000000110001111100000000001010100000000 +000000000000000000000000000001101011000010010000000000 .logic_tile 7 15 -000000000000000001100010001001000000000001000000000000 -000000000000000000100110000001000000000000000000000000 -111000000000001000000010111111000000000001010100000000 -010000000000001001000110011001101001000010010010000000 -010000000000001001100010101011000000000001010100000001 -010000000000000001100110111101001100000010010000000000 -001000000000001111000011101011000000000001010100000001 -000000000000001001000010111101101001000010010000000000 -000000000000000101100000011101001001011001010100000100 -000000000000001001000010100101011100011010100000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001001000000000000000000000000000000000000 -000000000000000000000110100101101000011001010100000100 -000000000000000000000000001101011010011010100000000000 -000000001010000000000000000001100000000001010100000010 -000000000000000000000000001101101001000010010000000000 +000000000000000000000000000011000000000000001000000000 +000000000000000000000000000000100000000000000000001000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001101000000000000000000 +000000000000000000000000000000001001001100111000000000 +000000000000000000000000000000001110110011000000000100 +000000000000000000000000000000001001001100111000000000 +000000000000000000000011010000001111110011000000000000 +000000000000000000000010100000001000001100111000000000 +000000000000001101000100000000001100110011000000000000 +000000000000000000000010100000001001001100111000000000 +000000000000000000000110100000001011110011000000000000 +000000000000000000000000000000001001001100111000000000 +000000000000000000000010110000001101110011000000000000 +000000000000000101000000000000001000001100111000000100 +000000000000000000100010110000001111110011000000000000 .logic_tile 8 15 -000000000000001000000010100001100000000000001000000000 -000000000000000101000000000000001000000000000000000000 -111000000000000101000000000101001000001100111000000000 -000000000000000000000010100000001010110011000000000000 -010000000000000101000011100001001000001100111000000000 -110000000000000101000000000000100000110011000000000000 -000000000000000000000000000000001000001100110000000000 -000000000000000101000000000000000000110011000000000000 -000001000000000000000000001000000000000000000100000000 -000010100000000000000000001001000000000010001000100000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000001001000000000010001000100000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000000101000000000010001000100000 -010000000000000000000000001000000000000000000100000000 -100000000000000000000000000101000000000010001010000100 -.logic_tile 9 15 -000100000000000000000000000000000000011010010001000000 -000100000000001111000000000000001000100101100000000000 -111000000000000000000000000000000000000000000000000000 -000100000000000000000000000000000000000000000000000000 -110000000000000101000111100000000000000000000000000000 -000000000000000000000100000000000000000000000000000000 -000000000000000000000000001000000000000000000100000000 -000000000000000000000000001111000000000010000000000001 -000000000000000000000000000000000000000000000110000000 -000000000000000000000000001101000000000010000000000000 -000000000000001000000000000000000000000000000000000000 +000000000000001001100000001001000001000001010000000000 +000000000000000001000000001011001001000010010000000010 +111000000000000001100000000000000001011010010000000000 +000000000000000000000011110000001011100101100000000000 +010000000000001000000000000000000000000000000000000000 000000000000000011000000000000000000000000000000000000 -000000000000000000000000000000000000000000000100000001 -000000000000000000000000000101000000000010000000000000 -110000000000000000000000000000000000000000000000000000 -010000000000000000000010010000000000000000000000000000 +000001000000000000000000010000000000000000000000000000 +000010100000000000000010000000000000000000000000000000 +000001000000000000000000000000000000000000000000000000 +000010100000000000000000000000000000000000000000000000 +000100000000000000000000010011100001000001100100000000 +000000000000000000000010000101101000000000111000100011 +000001000000000000000000000000000000000000000100100000 +000010100000000000000000000001000000000010001000000100 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 15 +000000000000000000000000000101000000000000001000000000 +000000000000000000000000000000100000000000000000001000 +000000000000000000000000000000000001000000001000000000 +000000000000000000000000000000001010000000000000000000 +000000000000000000000010010000001000001100111000000000 +000000000000000000000011000000001011110011000000000000 +000000000000001000000000010000001001001100111000000000 +000000000000000011000011000000001011110011000000000010 +000000000000000000000000000000001000001100110000000001 +000000000000000000000000000000000000110011000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000001110000000000000000000000000000000000000000000 .ramb_tile 10 15 000000000000000000000000000000000000000000 -000000010000000000000000000000000000000000 +000100010000000000000000000000000000000000 +000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3719,44 +3720,43 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000010000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 15 -000000000000000000000000000011100000000000001000000000 -000000000000000000000000000000100000000000000000001000 -000000000000000101000000000000000001000000001000000000 -000001000000000000000000000000001111000000000000000000 -000000000000000000000010100000001001001100111000000000 -000000000000000000000010100000001110110011000000000000 -000000000000000000000000000000001000001100111000000000 -000000000000000000000000000000001101110011000000000000 -000000001100000000000110100000001001001100111000000000 -000000000000000000000000000000001100110011000000000000 -000000000000001000000110100000001000001100111000100000 -000000000100000101000000000000001011110011000000000000 -000010100000000000000000000000001000001100111001000000 -000001000000000000000000000000001011110011000000000000 -000000000000000101100000010000001001001100111000100000 -000000000000000000000010100000001000110011000000000000 +100000000000000000000000000000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +111000000000000101000000001000000000000000000100000000 +000000000000000000000000000001000000000010000000000001 +110000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000001000000000000000000000000000000000000000 +000000000000001111000000000000000000000000000000000000 +000000000000000000000000000000000000000000000110000000 +000000000000000000000000001001000000000010000000000000 +110000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 .logic_tile 12 15 -000000000000001001100110111011100000000000000000000000 -000000000000000001000011111001100000000011000000000000 -111000000000001000000011011101101010000010000000000000 -000000000000000101000010000101111011000000000000000000 -010000000000000000000110110001000000000000000000000000 -010000000000000000000010100001000000000001000000000100 -000000000000001000000011000000000000000000000100000000 -000000000000000101000000001001000000000010000000000000 -000000000000000000000000001000000000000000000100000000 -000100000000000000000000000001000000000010000000000000 -000000000000000000000110000000000000000000000100000000 -000000000000000000000000001101000000000010000000000000 -000000000000000000000000000000000000000000000100000000 -000000000000000000000000000001000000000010000000000000 -010000000000000000000000001000000000000000000101000000 -100000000000000000000000001001000000000010000000000000 +000000000000000111000000001001000001000000000000000100 +000000000000000000000000000001001001000000010000000000 +000000000000001000000000000001100001000000100000000100 +000000000000001011000011100001001011000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000011100000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .io_tile 13 15 000000000000000000 000000000000000000 @@ -3792,39 +3792,39 @@ 000000000000000000 000000000000000000 .logic_tile 1 16 -000000000000001111000000000000001001001100111000000000 -000000000000000001000000000000001011110011000000010000 -111000000000000000000000000000001001001100111000000000 -000000000000000000000000000000001110110011000000000000 -010000000000000000000000000000001001001100111000000000 -100000000000000000000000000000001110110011000000000000 -000000000000000000000110000000001001001100111000000000 -000000000000000000000000000000001101110011000000000000 -000000000000000000000000000000001000001100111000000000 -000000000000000000000000000000001111110011000000000000 -000000000000000000000110110000001000001100111000000000 -000000000000000000000010100000001111110011000000000000 -000000000000000000000110110000001001001100110000000000 -000000000000000000000010100000001101110011000000000000 -010000000000001101100000000001000000000000000100000000 -100000000000000101000000000001000000000001000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .logic_tile 2 16 -000000000000000101100000011101111001100000000000000000 -000000000000000000000010101101011010000000000000000000 -111000000000001101100110010111011000100000000000000100 -000000000000000101000010000101111011000000000000000000 -010000000000001000000110110001100000000000000100000000 -100000001000000001000010001011000000000001000000000000 -000000000000001001100110110001100000000000000100000000 -000000000000000001000010101111000000000001000000000000 -000100000000000000000000000001000000000000000100000000 -000100000000000000000011011011000000000001000000000000 -000000000000000000000000000001000000000000000100000000 -000000000000000000000000001111100000000001000000000000 -000000000000000000000110000101100000000000000100000000 -000000000000000000000011011011100000000001000000000000 -010000000000000000000000000101000000000000000100000000 -100000000000000000000000001111100000000001000000000000 +100000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +111000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000011000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 +000000000000000000000000001101000000000010001000000100 +010000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .ramt_tile 3 16 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3835,7 +3835,7 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 +000000001000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 @@ -3843,158 +3843,158 @@ 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 4 16 -000000000000000000000010010001000000000000001000000000 -000000000000000000000110000000100000000000000000001000 -111000000000001001100110010000000000000000001000000000 -000000000000000001000010000000001111000000000000000000 -010000000000000000000010000000001000001100111101000000 -110000000000000000000100000000001001110011000000000010 -000000000000000000000000000000001000001100111100000000 -000000000000000000000000000000001101110011000000000010 -000000000000000000000110000000001001001100111100000000 -000000000000000000000000000000001000110011000000100000 -000000000000000000000000000000001001001100111100100000 -000000000000000000000000000000001000110011000000100000 -000000000000000000000000010000001001001100111100000000 -000000000000000000000011000000001001110011000000000010 -010000000000000000000000000000001001001100110111000000 -100000000000000000000000000000001001110011000000000000 -.logic_tile 5 16 -000000000000000001000111010001000000000000001000000000 -000000000000000000100010100000000000000000000000001000 -000000000000001101100111010001000000000000001000000000 -000000000000000101000010100000101001000000000000000000 -000000000000000000000000000001100000000000001000000000 -000000000000000000000000000000100000000000000000000000 -000000000000000000000000000001100000000000001000000000 -000000000000000000000000000000001001000000000000000000 -000000000000000000000111100001100000000000001000000000 -000000000000000000000100000000000000000000000000000000 -000000000000000000000000000001100000000000001000000000 -000000000000000000000000000000101001000000000000000000 -000000000000000000000000000011000000000000001000000000 -000000000010000000000000000000100000000000000000000000 -000000000000000000000000000000001000111100000001000010 -000000000000000000000000000000000000111100000000100000 -.logic_tile 6 16 -000000000000000000000000000101101000101100000010100001 -000000000000001011000011001001101101111100000000010000 -000000000000000000000110100001000001000000010000000100 -000000000000000000000000001111101011000000000000000010 +000000000000000000000010000101100000000000000000000000 +000000000010000000000000001111100000000001000000000000 +111000000000000011000110000001100000000000000000000000 +000000000000000000000011001011100000000001000000000000 +010000100000000000000010000001100000000000000000000000 +000000000000000000000100001111100000000001000000000000 +000000000000000000000110011001100000000001010101000000 +000000000000000000000010000001101001000010010000000000 +000000000000000111100011000000000000000000000000000000 +000000000000000000100000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000100000000000000000000000000000000000000000000 -000000000000000000000011010000000000000000000000000000 -000000000000100000000000010000000000000010000000000000 -000000000001000000000010011001000000000000000000000000 -000000000000000000000000001000000000000010000000000000 -000000000000000000000000001001000000000000000000000000 -000000000000000000000000010000000000000010000000000000 -000000000000000000000010010001000000000000000000000010 -000000000000000000000000001000000000000010000000000000 -000000000000000000000000001101000000000000000000000000 -.logic_tile 7 16 -000000000000000101000000000011000000000000001000000000 -000000000000000000000000000000000000000000000000001000 -000000000000000000000000000000000001000000001000000000 -000000000000000101000000000000001111000000000000000000 -000000000000000000000010100000001001001100111000000000 -000000000000000000000010100000001011110011000000000000 -000000000000000000000010100000001001001100111000000000 -000000000000000000000010100000001011110011000000000000 -000000000000000011000000000000001001001100111000000000 -000000000000000000000000000000001000110011000000100000 -000000000000000000000000000000001000001100111000000000 -000000000000000000000000000000001011110011000000100000 +000000000001000000000011001101100000000001010100000000 +000000000000000000000000000111101011000010010000000000 +010000000000000101100000000101100000000001010100000000 +000000000000000000000000000011101001000010010000000000 +.logic_tile 5 16 +000000000000001101100000000000001001001100111000100000 +000000000000001111000000000000001000110011000000010000 +111000000000001000000000000000001000001100111000000000 +000000000000001011000000000000001100110011000000000000 +010000000000001000000110000000001000001100111000000000 +000000000000000101000100000000001011110011000000000000 +000000000010001000000110000000001000001100111001000000 +000000000000000001000000000000001000110011000000000000 +000000000000000111100111000000001000001100111000000000 +000000000000000000000000000000001000110011000000000000 000000000000000000000000000000001000001100111000000000 -000000000000000000000000000000001011110011000000000000 -000000000000000000000000000000001000001100110000000000 -000000000000000000000010000000001000110011000000000000 -.logic_tile 8 16 -000001000000000000000111000001100000000000001000000000 -000000000000000000010000000000100000000000000000001000 -111000000000000101000010100000000001000000001000000000 -000000000000000000000010100000001010000000000000000000 -110000000000000000000000000000001001001100111000000000 -000000000000000000000000000000001001110011000000000010 +000000000000000001000000000000001010110011000000000000 000000000000000000000000000000001001001100110000000000 -000000000000000101000000000000001000110011000000000010 -000000000000000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000000000000000 -000000000000001000000000000000000000000000000100000000 -000000000000000011000000001001000000000010000010000000 -000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000001100110011000000000010 +010000000000000000000000001101100000000001010100000000 +000000000000000000000000000101101101000010010010000000 +.logic_tile 6 16 +100000001110100011100111011101100000000001000100000000 +000000000001000000000111000001000000000000001001100000 +111000000000000001000111001011000000000001000100000000 +000000000000000001000000000001000000000000001001000000 +010000000000000001000110001011000000000001000100000011 +010000000000000000100100000001100000000000001000000000 +010000000000001000000110001111100001000001010101000001 +010000000000001001000011001001001000000010010000000000 +010000000000001000000010000000000000000000000000000000 +010000000000000101000100000000000000000000000000000000 +000000000000000000000000000101000001000001010100000000 +000000000000000000000000000001001000000010011001000000 +000001000000000000000000000111100001000001010100000000 +000000100000000000000000001101101000000001100000000010 +010000000000000101100000000101111001011001010110000000 +000000000000000000000011001001101011011010100000000000 +.logic_tile 7 16 +000000000000001011000010100000001001001100110000000000 +000000000000000001100010100000001111110011000000010000 +000000000000000001000010100000000000000000000000000000 +000000000000000101000000000000000000000000000000000000 +000000000000000011000011111101111000000100000000000000 +000000000000000000100010000101111000000000000000000000 +000000000000000101000110000101011010000000000000000000 +000000000000000000000010101011011011110000000000000000 +010000000000000000000111100111100000000000000000000000 +010000000000000000000100001101100000000001000001000000 +000000000000000001100000000000000000011010010000000000 +000000000000000000000000000000001000100101100000000000 +000000000000000000000110000001100000000000000000100000 +000000000000000000000000001111000000000001000000000000 +000000000000000000000111111001011000000010000000000000 +000010000000000000000111100011101001000000000000000000 +.logic_tile 8 16 +100000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 +111000000000000001000000000000000000000000000000000000 +000000000000000001000000000000000000000000000000000000 110000000000000000000000000000000000000000000000000000 010000000000000000000000000000000000000000000000000000 +010000000000000000000000001111100000000001000100000010 +010000000000000000000000000001000000000000001000000000 +010000000000000000000000000000000000000000000000000000 +010000000000000000000011110000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000001111100000000001000100000100 +000000000000000000000000000001100000000000001000000000 +010000000000000001100000010000000000000000000000000000 +000000000000000000100010010000000000000000000000000000 .logic_tile 9 16 -000000000000000001000000010000000000000000000000000000 -000000000000000000000011000000000000000000000000000000 -111000000000000000000000000000000000000000000110000000 -000000000000000000000000000001000000000010000000000000 -110000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000001111100000000000000000000000000000000000 -000000000000000011000000000000000000000000000000000000 +000100000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000010000000000000000000000000000000000000000 +000000000000100000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000 -000000000000000001000000001000000000000000000100000000 -000000000000000000000000000011000000000010000000000000 -000000000000000000000000000000000000000000000110000000 -000000000000000000000000001001000000000010000000000000 -010000000000000000000000001000000000000000000100000000 -110000000000000000000000000101000000000010000000000000 .ramt_tile 10 16 000000000000000000000000000000000000000000 +000000001100000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000001000000000000000000000000000000000000 +000010100000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 -000000000001000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 -000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 +000000100000000000000000000000000000000000 000000000000000000000000000000000000000000 000000000000000000000000000000000000000000 .logic_tile 11 16 -000000000000000001100000000000001001001100111000000000 -000000000000000000000000000000001011110011000000010000 -111000000000001001100000010000001001001100111000000000 -000000000000000001000010000000001000110011000000000010 -110000000000001000000000000000001001001100111000000000 -010000000000000001000000000000001101110011000000000010 -000000000000000000000110000000001001001100111000000000 -000000001000000000000000000000001101110011000000000010 -000100000000000000000000000000001001001100110000000000 -000100000000000000000011100000001001110011000000000000 -000000000000000000000110110000000000000000000100000000 -000000000000000000000010100001000000000010000000000000 -000000000000000000000000001000000000000000000100000000 -000000000000000000000000000001000000000010000000000000 -010000000000000000000000000000000000000000000100000000 -100000000000000000000000000101000000000010000000000000 -.logic_tile 12 16 -000100000000000101100110110000000000000000000000000000 -000100000000000000000011000000000000000000000000000000 -111000000000001000000000000101101101000100000000000000 -000000000000000101000000000101101010000000000000000000 -010000000000001001100111010000000000000000000000000000 -010000000000000101000010100000000000000000000000000000 -000000000000001011100111000101011000100000000000000000 -000000000000000101000000000101101011000000000000000000 -000000000000001011100110000011000001000011100001000000 -000000000000000111000000000111101011000011110000000000 -000000000000000000000000000101101010010000000001000000 -000000001000000000000000000001001100000000000000000000 -000000000000000011100000001000000000000000000100000000 +100000000000001000000000001000000000000000000100000000 +000000000000001001000000001001000000000010000001000000 +111000000000001000000000010000000000000000000000000000 +000000000000001111000011100000000000000000000000000000 +110000000000000001100000000000000000000000000100000000 +000000000000000000100000000001000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000100000000 000000000000000000000000000101000000000010000000000000 -010000000000000000000000000000000000000000000100000000 -100000001000000000000000001001000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +010000000000000000000000000000000000000000000000000000 +.logic_tile 12 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 .io_tile 13 16 000000000000000000 000000000000000000 @@ -4098,12 +4098,12 @@ 000000000000000000 000000000000000000 .io_tile 6 17 +000001110000000000 000000000000000000 000000000000000000 000000000000000000 +000000000000000100 000000000000000000 -000000011000000100 -000000001000000100 000100000000000000 000000000000000000 000000000000000000 @@ -4115,7 +4115,7 @@ 000000000000000000 000000000000000000 .io_tile 7 17 -000001110000000000 +000000111000000000 000000000000000000 000000000000000000 000000000000000000 @@ -4139,7 +4139,7 @@ 000000000000000000 000000000000000000 000100000000000000 -000000000001100000 +000000000000000000 000000000000000000 000100000000000000 000000000000000000 @@ -4151,79 +4151,90 @@ .io_tile 9 17 000000000000000010 000100000000000000 +000010000000000000 +000001110000000001 +000001111010000001 +000000001001000000 +001100000000000000 000000000000000000 -000000000000000001 -000000000010000001 -000000000011000000 -001100011000000000 -000000001000000000 000000000000000000 000100000000000000 -000000000011000010 -000000000001000000 -000000011000000000 -000000001000000001 +000000000000000010 +000000000011000000 +000000000000000000 +000000000000000001 000000000000000001 000000000000000000 .io_tile 10 17 000000000000000010 000100000000000000 000000000000000000 -000000000000000001 -000000000010000001 -000000000011000000 -001100111000000000 -000000001000000000 +000001010000000001 +000000000011000001 +000000000001000000 +001100000000000000 +000000000000000000 000000000000000000 000100000000000000 -000000000011000010 -000000000001000000 -000000111000000000 -000000000000000001 +000000000000000010 +000000000011000000 +000000000000000000 +000001010000000001 000000000000000001 000000000000000000 .io_tile 11 17 -000001111000000010 -000111111000000000 -000000000000000000 +000000000000000010 +000100000000000000 +000001110000000000 000000000000000001 000000000000000001 -000000000001000000 +000000000011000000 001100000000000000 000000000000000000 000000000000000000 000100000000000000 -000000000000000010 +000000000011000010 000000000001000000 -000000000000000000 +000000110000000000 000000000000000001 000000000000000001 000000000000000000 .io_tile 12 17 -000000000000000010 -000100000000000000 -000010000000000000 -000011110000000001 -000000000010000001 +000010000000000010 +000110110000000000 +000000111000000000 +000000001000000001 +000000000000000001 000000000011000000 -001101111000000000 -000000001000000000 +001100000000000000 +000000000000000000 000000000000000000 000100000000000000 000000000000000010 -000000000011000000 +000000000001000000 000000000000000000 000000000000000001 000000000000000001 000000000000000000 -.ram_data 3 7 -000000040000000a00000003000000020000003f000100000000001e00000000 -000000000000000000010000000100010004009f000000060000000500000003 -0000001500e7002900e0001900070005000100a1000600090001000500000000 -0005009500010081000800750001009500020081000800950001007500010025 -0003009100030075000100950002009100050029000100190008000500010075 -0000008100650029000000190007000500650025000000150008007500060095 -00000000000000000000000000000000000000000000000000000000000000c0 +.ram_data 10 7 +0003000000040000000a00000003000000020000003f000100000000001e0000 +0000000000000000000000010000000100010004009f00000006000000050000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +00250000001500e7002900e0001900070005000100a100060009000100050000 +0075000500950001008100080075000100950002008100080095000100750001 +0095000300910003007500010095000200910005002900010019000800050001 +00c0000000810065002900000019000700050065002500000015000800750006 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +.ram_data 3 13 +0000000000000000000000000000000000000000000000000000000000005555 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 @@ -4233,7 +4244,13 @@ 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 -.ram_data 3 3 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000 +.ram_data 10 11 0000000000000000000000000000000000000000000000000000000000005555 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 @@ -4250,7 +4267,7 @@ 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 -.ram_data 3 1 +.ram_data 3 9 000000010001000100e70058004c0053000100010001000100e0003900e10029 0001000100010001002c002a0019003b000100010001000100e200150006003a 0001000100010001002c002a0019003b000100010001000100e200150006003a @@ -4267,7 +4284,7 @@ 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 -.ram_data 3 9 +.ram_data 10 9 0000000000000000000000000000000000000000000000000000000000005555 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 @@ -4284,6159 +4301,4384 @@ 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000 -.sym 1 $abc$51270$n33$2 -.sym 2 $abc$51270$n950$2 -.sym 3 $abc$51270$n35$2 -.sym 4 $abc$51270$n871$2 -.sym 5 $abc$51270$n23$2 -.sym 6 $abc$51270$n1404$2 +.sym 1 $abc$19660$n19$2 +.sym 2 $abc$19660$n41$2 +.sym 3 $abc$19660$n52$2 +.sym 4 $abc$19660$n191$2 +.sym 5 KEYBOARD.IS_RAM_INIT$2 +.sym 6 $abc$19660$n198$2 .sym 7 CLK$2$2 -.sym 8 $abc$51270$n1418$2 -.sym 40 $abc$51270$n2212 -.sym 42 KEYBOARD.row_counter[3] -.sym 43 KEYBOARD.is_pressed -.sym 49 $abc$51270$n2199 -.sym 50 $abc$51270$n30 -.sym 51 $abc$51270$n2210 -.sym 52 KEYBOARD.row_counter[2] -.sym 53 KEYBOARD.row_counter[1] -.sym 54 KEYBOARD.row_counter[0] -.sym 179 $abc$51270$n260 -.sym 182 KEYBOARD.report[3][3] -.sym 184 KEYBOARD.report[3][2] -.sym 407 $auto$alumacc.cc:474:replace_alu$9647.C[2] -.sym 408 $abc$51270$n1518 -.sym 412 $abc$51270$n1517 -.sym 519 $abc$51270$n889 -.sym 521 $abc$51270$n890 -.sym 523 UART.tx_clk_counter[1] -.sym 524 UART.tx_clk_counter[2] -.sym 525 UART.tx_clk_counter[0] -.sym 526 UART.tx_clk_counter[3] -.sym 635 KEYBOARD.ram_adr[1] -.sym 637 KEYBOARD.ram_adr[0] -.sym 638 KEYBOARD.ram_adr[2] -.sym 746 KEYBOARD.ram_adr[7] -.sym 750 KEYBOARD.ram_adr[6] -.sym 753 KEYBOARD.ram_adr[5] +.sym 8 I2C.FLT_SCL.RESET$2 +.sym 39 KEYBOARD.row_time[4] +.sym 41 KEYBOARD.row_time[1] +.sym 43 KEYBOARD.row_time[3] +.sym 182 KEYBOARD.COLS_SHADOW[6] +.sym 298 I2C.received_byte[7] +.sym 407 I2C.received_byte[6] +.sym 521 I2C.received_byte[4] +.sym 633 I2C.received_byte[3] +.sym 750 I2C.FLT_SDA.out .sym 830 CLK$2 .sym 836 CLK$2 -.sym 862 KEYBOARD.init_ram_cnt[2] -.sym 863 KEYBOARD.init_ram_cnt[3] -.sym 864 KEYBOARD.init_ram_cnt[4] -.sym 865 KEYBOARD.init_ram_cnt[5] -.sym 866 KEYBOARD.init_ram_cnt[6] -.sym 867 KEYBOARD.init_ram_cnt[7] -.sym 944 $abc$51270$n871 -.sym 974 KEYBOARD.init_ram_cnt[8] -.sym 980 KEYBOARD.init_ram_cnt[0] -.sym 1088 $abc$51270$n888 -.sym 1089 $abc$51270$n1231 -.sym 1091 $abc$51270$n1238 -.sym 1093 $abc$51270$n1387 -.sym 1095 KEYBOARD.init_ram_cnt[1] -.sym 1204 $abc$51270$n893 -.sym 1206 COM_TX$2 -.sym 1207 UART.tx_activity -.sym 1209 UART.TX_sig_last +.sym 862 $abc$19660$n319 +.sym 863 $abc$19660$n825 +.sym 864 $abc$19660$n318 +.sym 865 $abc$19660$n1555 +.sym 866 $abc$19660$n806_1 +.sym 867 I2C.FLT_SDA.counter[0] +.sym 944 $abc$19660$n191 +.sym 976 $abc$19660$n828 +.sym 978 $abc$19660$n1556 +.sym 979 $abc$19660$n805_1 +.sym 980 I2C.FLT_SDA.counter[1] +.sym 981 $abc$19660$n721 +.sym 1090 $abc$19660$n1290 +.sym 1091 $abc$19660$n16 +.sym 1207 KEYBOARD.is_pressed .sym 1289 COM_TX$2 -.sym 1319 COM_DSR$2 -.sym 1322 int_tmr[1] +.sym 1316 KEYBOARD.row_counter[2] +.sym 1317 KEYBOARD.row_counter[3] +.sym 1321 KEYBOARD.row_counter[0] .sym 1403 I2C.is_read -.sym 1430 $abc$51270$n748 -.sym 1431 $abc$51270$n751 -.sym 1432 $abc$51270$n752 -.sym 1433 int_tmr[4] -.sym 1434 int_tmr[5] -.sym 1435 int_tmr[6] -.sym 1436 int_tmr[7] -.sym 1437 int_tmr[2] -.sym 1517 COM_DSR$2 +.sym 1517 $true$2 .sym 1522 COM_DCD$2 -.sym 1546 $13\int_tmr[14:0][2] -.sym 1547 $13\int_tmr[14:0][3] -.sym 1548 $13\int_tmr[14:0][4] -.sym 1549 $13\int_tmr[14:0][5] -.sym 1550 $13\int_tmr[14:0][6] -.sym 1551 $13\int_tmr[14:0][7] -.sym 1658 $13\int_tmr[14:0][8] -.sym 1659 $13\int_tmr[14:0][9] -.sym 1660 $13\int_tmr[14:0][10] -.sym 1661 $13\int_tmr[14:0][11] -.sym 1662 $13\int_tmr[14:0][12] -.sym 1663 $13\int_tmr[14:0][13] -.sym 1664 $13\int_tmr[14:0][14] -.sym 1665 int_tmr[8] .sym 1851 $false .sym 1853 KEYBOARD.ROWS_EN[13] .sym 1856 $false .sym 1858 KEYBOARD.ROWS_EN[14] -.sym 1879 $abc$51270$n27 -.sym 1880 $abc$51270$n2274 -.sym 1883 $abc$51270$n2265 -.sym 1884 KEYBOARD.kbd_code[0] -.sym 1885 KEYBOARD.kbd_code[6] -.sym 1886 KEYBOARD.kbd_code[7] -.sym 2008 KEYBOARD.row_counter[3] -.sym 2009 $abc$51270$n30 -.sym 2010 $abc$51270$n1001 -.sym 2011 $false -.sym 2020 $abc$51270$n2212 -.sym 2021 $false -.sym 2022 $false -.sym 2023 $false -.sym 2026 $abc$51270$n27 +.sym 1881 $abc$19660$n781 +.sym 1882 $abc$19660$n782 +.sym 1883 $abc$19660$n776 +.sym 1884 $abc$19660$n567 +.sym 1885 $abc$19660$n565 +.sym 1886 $abc$19660$n555 +.sym 2002 $abc$19660$n776 +.sym 2003 $false +.sym 2004 $false +.sym 2005 $false +.sym 2014 $abc$19660$n779 +.sym 2015 $false +.sym 2016 $false +.sym 2017 $false +.sym 2026 $abc$19660$n782 .sym 2027 $false .sym 2028 $false .sym 2029 $false -.sym 2048 $abc$51270$n1418$2 +.sym 2048 $abc$19660$n191$2 .sym 2049 CLK$2$2 -.sym 2050 $false -.sym 2065 $abc$51270$n1632 -.sym 2066 KEYBOARD.kbd_code[3] -.sym 2067 KEYBOARD.kbd_code[1] -.sym 2068 KEYBOARD.kbd_code[2] -.sym 2069 KEYBOARD.kbd_code[5] -.sym 2070 KEYBOARD.kbd_code[4] -.sym 2147 $true -.sym 2184 KEYBOARD.row_counter[0]$2 -.sym 2185 $false -.sym 2186 KEYBOARD.row_counter[0] -.sym 2187 $false -.sym 2188 $false -.sym 2190 $auto$alumacc.cc:474:replace_alu$9644.C[2] -.sym 2192 $false -.sym 2193 KEYBOARD.row_counter[1] -.sym 2196 $auto$alumacc.cc:474:replace_alu$9644.C[3] -.sym 2197 $false -.sym 2198 $false -.sym 2199 KEYBOARD.row_counter[2] -.sym 2200 $auto$alumacc.cc:474:replace_alu$9644.C[2] -.sym 2203 $false -.sym 2204 $false -.sym 2205 KEYBOARD.row_counter[3] -.sym 2206 $auto$alumacc.cc:474:replace_alu$9644.C[3] -.sym 2209 KEYBOARD.row_counter[2] -.sym 2210 $abc$51270$n2199 -.sym 2211 $abc$51270$n1001 -.sym 2212 $false -.sym 2215 $abc$51270$n2210 -.sym 2216 $false -.sym 2217 $false -.sym 2218 $false -.sym 2221 $abc$51270$n2208 -.sym 2222 $false -.sym 2223 $false -.sym 2224 $false -.sym 2227 $abc$51270$n2206 -.sym 2228 $false -.sym 2229 $false -.sym 2230 $false -.sym 2231 $abc$51270$n1418$2 -.sym 2232 CLK$2$2 -.sym 2233 $false -.sym 2234 $abc$51270$n721 -.sym 2235 $abc$51270$n701 -.sym 2236 $abc$51270$n719 -.sym 2237 $abc$51270$n1445_1 -.sym 2238 $abc$51270$n705 -.sym 2239 $abc$51270$n1335 -.sym 2240 $abc$51270$n1447 -.sym 2241 KEYBOARD.temp[2] -.sym 2282 $true -.sym 2319 wr_cnt[0]$3 -.sym 2320 $false -.sym 2321 wr_cnt[0] -.sym 2322 $false -.sym 2323 $false -.sym 2325 $auto$alumacc.cc:474:replace_alu$9603.C[2] -.sym 2327 wr_cnt[1] -.sym 2328 $false -.sym 2332 $false -.sym 2333 wr_cnt[2] -.sym 2334 $false -.sym 2335 $auto$alumacc.cc:474:replace_alu$9603.C[2] -.sym 2350 $abc$51270$n2314 +.sym 2050 $abc$19660$n19$2 +.sym 2063 $abc$19660$n786 +.sym 2064 $abc$19660$n787 +.sym 2065 $abc$19660$n789 +.sym 2066 $abc$19660$n790 +.sym 2067 $abc$19660$n792 +.sym 2068 $abc$19660$n793 +.sym 2069 $abc$19660$n797 +.sym 2070 $abc$19660$n692 +.sym 2238 KEYBOARD.row_time[12] +.sym 2239 KEYBOARD.row_time[13] +.sym 2240 KEYBOARD.row_time[9] +.sym 2241 KEYBOARD.row_time[11] +.sym 2350 $abc$19660$n1368 .sym 2351 $false .sym 2352 $false .sym 2353 $false -.sym 2362 $abc$51270$n2313 -.sym 2363 $false -.sym 2364 $false -.sym 2365 $false -.sym 2366 $abc$51270$n1354 +.sym 2366 $abc$19660$n196 .sym 2367 CLK$2$2 -.sym 2368 $abc$51270$n35$2 -.sym 2369 $abc$51270$n2316 -.sym 2370 $abc$51270$n1337 -.sym 2371 KEYBOARD.report[5][4] -.sym 2372 KEYBOARD.report[5][3] -.sym 2373 KEYBOARD.report[5][6] -.sym 2374 KEYBOARD.report[5][5] -.sym 2375 KEYBOARD.report[5][0] -.sym 2376 KEYBOARD.report[5][2] -.sym 2504 $abc$51270$n1119_1 -.sym 2505 $abc$51270$n1164 -.sym 2506 $abc$51270$n963 -.sym 2507 $abc$51270$n2313 -.sym 2508 $abc$51270$n253 -.sym 2509 KEYBOARD.report[4][0] -.sym 2511 KEYBOARD.report[4][4] -.sym 2552 $true -.sym 2589 UART.tx_clk_counter[0]$2 -.sym 2590 $false -.sym 2591 UART.tx_clk_counter[0] -.sym 2592 $false -.sym 2593 $false -.sym 2595 $auto$alumacc.cc:474:replace_alu$9647.C[2]$2 -.sym 2597 UART.tx_clk_counter[1] -.sym 2598 $true$2 -.sym 2601 $auto$alumacc.cc:474:replace_alu$9647.C[3] -.sym 2603 UART.tx_clk_counter[2] -.sym 2604 $true$2 -.sym 2605 $auto$alumacc.cc:474:replace_alu$9647.C[2]$2 -.sym 2608 $false -.sym 2609 UART.tx_clk_counter[3] -.sym 2610 $false -.sym 2611 $auto$alumacc.cc:474:replace_alu$9647.C[3] -.sym 2632 $false -.sym 2633 UART.tx_clk_counter[2] -.sym 2634 $false -.sym 2635 $auto$alumacc.cc:474:replace_alu$9647.C[2] -.sym 2641 $abc$51270$n2330 -.sym 2642 $abc$51270$n1118 -.sym 2643 $abc$51270$n2206 -.sym 2644 KEYBOARD.report[1][6] -.sym 2645 KEYBOARD.report[1][4] -.sym 2646 KEYBOARD.report[1][2] -.sym 2725 UART.tx_clk_counter[1] -.sym 2726 UART.tx_clk_counter[0] -.sym 2727 $abc$51270$n890 -.sym 2728 $false -.sym 2737 $abc$51270$n1514 -.sym 2738 $abc$51270$n1517 -.sym 2739 $abc$51270$n1518 +.sym 2368 $false +.sym 2370 $abc$19660$n763_1 +.sym 2371 $abc$19660$n690 +.sym 2374 $abc$19660$n679 +.sym 2375 $abc$19660$n196 +.sym 2497 I2C.FLT_SDA.out +.sym 2498 $false +.sym 2499 $false +.sym 2500 $false +.sym 2501 $abc$19660$n288 +.sym 2502 CLK$2$2 +.sym 2503 $false +.sym 2504 $abc$19660$n787_1 +.sym 2505 $abc$19660$n254 +.sym 2507 $abc$19660$n235 +.sym 2509 $abc$19660$n280 +.sym 2510 $abc$19660$n288 +.sym 2511 $abc$19660$n244 +.sym 2602 I2C.FLT_SDA.out +.sym 2603 $false +.sym 2604 $false +.sym 2605 $false +.sym 2636 $abc$19660$n280 +.sym 2637 CLK$2$2 +.sym 2638 $false +.sym 2639 $abc$19660$n534 +.sym 2640 $abc$19660$n263 +.sym 2641 $abc$19660$n554 +.sym 2642 $abc$19660$n779_1 +.sym 2643 $abc$19660$n536 +.sym 2644 $abc$19660$n605 +.sym 2645 $abc$19660$n533 +.sym 2646 $abc$19660$n228 +.sym 2737 I2C.FLT_SDA.out +.sym 2738 $false +.sym 2739 $false .sym 2740 $false -.sym 2749 UART.tx_clk_counter[1] -.sym 2750 UART.tx_clk_counter[0] -.sym 2751 UART.tx_activity -.sym 2752 $false -.sym 2755 $abc$51270$n1517 -.sym 2756 $abc$51270$n888 -.sym 2757 $false -.sym 2758 $false -.sym 2761 $abc$51270$n1514 -.sym 2762 $abc$51270$n888 -.sym 2763 $false -.sym 2764 $false -.sym 2767 $abc$51270$n1518 -.sym 2768 $abc$51270$n888 -.sym 2769 $false -.sym 2770 $false -.sym 2771 $abc$51270$n1238 +.sym 2771 $abc$19660$n263 .sym 2772 CLK$2$2 -.sym 2773 $abc$51270$n35$2 -.sym 2778 $abc$51270$n2192 -.sym 2779 $false$2 -.sym 2780 KEYBOARD.ram_adr[8] -.sym 2781 KEYBOARD.ram_adr[4] -.sym 2872 KEYBOARD.init_ram_cnt[1] -.sym 2873 KEYBOARD.row_counter[0] -.sym 2874 KEYBOARD.row_counter[1] -.sym 2875 KEYBOARD.init_ram_cnt[8] -.sym 2884 KEYBOARD.init_ram_cnt[0] -.sym 2885 $abc$51270$n2193 -.sym 2886 KEYBOARD.init_ram_cnt[8] -.sym 2887 $false -.sym 2890 KEYBOARD.init_ram_cnt[2] -.sym 2891 $abc$51270$n2199 -.sym 2892 KEYBOARD.init_ram_cnt[8] -.sym 2893 $false -.sym 2906 $abc$51270$n1398 +.sym 2773 $false +.sym 2774 I2C.received_byte[1] +.sym 2860 I2C.FLT_SDA.out +.sym 2861 $false +.sym 2862 $false +.sym 2863 $false +.sym 2906 $abc$19660$n254 .sym 2907 CLK$2$2 .sym 2908 $false -.sym 2909 $abc$51270$n2324 -.sym 2910 I2C_HID_DESC.real_adress[7] -.sym 2911 I2C_HID_DESC.real_adress[1] -.sym 2912 I2C_HID_DESC.real_adress[4] -.sym 2913 I2C_HID_DESC.real_adress[5] -.sym 2914 I2C_HID_DESC.real_adress[2] -.sym 2915 I2C_HID_DESC.real_adress[6] -.sym 2916 I2C_HID_DESC.real_adress[0] -.sym 2995 KEYBOARD.init_ram_cnt[7] -.sym 2996 $false -.sym 2997 $false -.sym 2998 $false -.sym 3019 KEYBOARD.init_ram_cnt[6] +.sym 2909 I2C.received_byte[0] +.sym 3019 I2C.SDAF .sym 3020 $false .sym 3021 $false .sym 3022 $false -.sym 3037 KEYBOARD.init_ram_cnt[5] -.sym 3038 $false -.sym 3039 $false -.sym 3040 $false -.sym 3041 $abc$51270$n1398 +.sym 3041 $abc$19660$n318 .sym 3042 CLK$2$2 -.sym 3043 KEYBOARD.init_ram_cnt[8] -.sym 3046 $abc$51270$n2348 -.sym 3047 $abc$51270$n1050 -.sym 3048 $abc$51270$n2466 -.sym 3049 $abc$51270$n2451 -.sym 3050 $abc$51270$n2468 -.sym 3051 $abc$51270$n10 -.sym 3092 $true -.sym 3129 KEYBOARD.init_ram_cnt[0]$2 -.sym 3130 $false -.sym 3131 KEYBOARD.init_ram_cnt[0] -.sym 3132 $false -.sym 3133 $false -.sym 3135 $auto$alumacc.cc:474:replace_alu$9638.C[2] -.sym 3137 $false -.sym 3138 KEYBOARD.init_ram_cnt[1] -.sym 3141 $auto$alumacc.cc:474:replace_alu$9638.C[3] -.sym 3142 $false -.sym 3143 $false -.sym 3144 KEYBOARD.init_ram_cnt[2] -.sym 3145 $auto$alumacc.cc:474:replace_alu$9638.C[2] -.sym 3147 $auto$alumacc.cc:474:replace_alu$9638.C[4] +.sym 3043 $abc$19660$n19$2 +.sym 3051 I2C.received_byte[2] +.sym 3142 I2C.FLT_SDA.out +.sym 3143 I2C.SDAF +.sym 3144 $abc$19660$n805_1 +.sym 3145 $false .sym 3148 $false -.sym 3149 $false -.sym 3150 KEYBOARD.init_ram_cnt[3] -.sym 3151 $auto$alumacc.cc:474:replace_alu$9638.C[3] -.sym 3153 $auto$alumacc.cc:474:replace_alu$9638.C[5] -.sym 3154 $false -.sym 3155 $false -.sym 3156 KEYBOARD.init_ram_cnt[4] -.sym 3157 $auto$alumacc.cc:474:replace_alu$9638.C[4] -.sym 3159 $auto$alumacc.cc:474:replace_alu$9638.C[6] -.sym 3160 $false -.sym 3161 $false -.sym 3162 KEYBOARD.init_ram_cnt[5] -.sym 3163 $auto$alumacc.cc:474:replace_alu$9638.C[5] -.sym 3165 $auto$alumacc.cc:474:replace_alu$9638.C[7] -.sym 3166 $false -.sym 3167 $false -.sym 3168 KEYBOARD.init_ram_cnt[6] -.sym 3169 $auto$alumacc.cc:474:replace_alu$9638.C[6] -.sym 3171 $auto$alumacc.cc:474:replace_alu$9638.C[8] -.sym 3172 $false +.sym 3149 I2C.FLT_SDA.counter[0] +.sym 3150 $false +.sym 3151 $false +.sym 3154 $abc$19660$n1555 +.sym 3155 $abc$19660$n828 +.sym 3156 $abc$19660$n806_1 +.sym 3157 $false +.sym 3160 $abc$19660$n805_1 +.sym 3161 $abc$19660$n825 +.sym 3162 $false +.sym 3163 $false +.sym 3166 $abc$19660$n1556 +.sym 3167 I2C.FLT_SDA.out +.sym 3168 I2C.SDAF +.sym 3169 $false +.sym 3172 $abc$19660$n1555 .sym 3173 $false -.sym 3174 KEYBOARD.init_ram_cnt[7] -.sym 3175 $auto$alumacc.cc:474:replace_alu$9638.C[7] -.sym 3176 $abc$51270$n1388 +.sym 3174 $false +.sym 3175 $false +.sym 3176 $abc$19660$n319 .sym 3177 CLK$2$2 -.sym 3178 $abc$51270$n35$2 -.sym 3181 $auto$alumacc.cc:474:replace_alu$9650.C[2] -.sym 3182 $abc$51270$n2467 -.sym 3183 $abc$51270$n2465 -.sym 3184 UART.tx_bit_counter[0] -.sym 3185 UART.tx_bit_counter[2] -.sym 3186 UART.tx_bit_counter[3] +.sym 3178 $abc$19660$n19$2 +.sym 3184 I2C_COUNTER[0] +.sym 3227 $true +.sym 3264 I2C.FLT_SDA.counter[0]$2 .sym 3265 $false -.sym 3266 $false -.sym 3267 KEYBOARD.init_ram_cnt[8] -.sym 3268 $auto$alumacc.cc:474:replace_alu$9638.C[8] -.sym 3301 $false +.sym 3266 I2C.FLT_SDA.counter[0] +.sym 3267 $false +.sym 3268 $false +.sym 3270 $auto$alumacc.cc:474:replace_alu$5225.C[2] +.sym 3272 I2C.FLT_SDA.counter[1] +.sym 3273 $true$2 +.sym 3277 $false +.sym 3278 $abc$19660$n721 +.sym 3279 $false +.sym 3280 $auto$alumacc.cc:474:replace_alu$5225.C[2] +.sym 3289 I2C.FLT_SDA.counter[0] +.sym 3290 I2C.FLT_SDA.counter[1] +.sym 3291 $false +.sym 3292 $false +.sym 3295 I2C.FLT_SDA.counter[0] +.sym 3296 I2C.FLT_SDA.counter[1] +.sym 3297 $abc$19660$n721 +.sym 3298 $false +.sym 3301 $abc$19660$n1556 .sym 3302 $false -.sym 3303 KEYBOARD.init_ram_cnt[0] +.sym 3303 $false .sym 3304 $false -.sym 3311 $abc$51270$n1388 +.sym 3307 $abc$19660$n805_1 +.sym 3308 $abc$19660$n828 +.sym 3309 $false +.sym 3310 $false +.sym 3311 $abc$19660$n319 .sym 3312 CLK$2$2 -.sym 3313 $abc$51270$n35$2 -.sym 3314 $abc$51270$n217 -.sym 3315 $abc$51270$n892 -.sym 3316 $abc$51270$n891 -.sym 3317 $abc$51270$n25 -.sym 3318 $abc$51270$n2384 -.sym 3319 $abc$51270$n2463 -.sym 3320 $abc$51270$n2383 -.sym 3321 UART.tx_bit_counter[1] -.sym 3400 $abc$51270$n889 -.sym 3401 UART.tx_activity +.sym 3313 $abc$19660$n19$2 +.sym 3316 KEYBOARD.report_data_wr[3] +.sym 3321 KEYBOARD.report_data_wr[1] +.sym 3362 $true +.sym 3399 KEYBOARD.row_counter[0]$2 +.sym 3400 $false +.sym 3401 KEYBOARD.row_counter[0] .sym 3402 $false .sym 3403 $false -.sym 3406 $abc$51270$n888 -.sym 3407 $abc$51270$n891 -.sym 3408 $abc$51270$n893 -.sym 3409 I2C.FLT_SCL.RESET -.sym 3418 I2C.FLT_SCL.RESET -.sym 3419 $abc$51270$n893 -.sym 3420 $false -.sym 3421 $false -.sym 3430 KEYBOARD.init_ram_cnt[8] -.sym 3431 $abc$51270$n896_1 -.sym 3432 KEYBOARD.init_ram_cnt[0] -.sym 3433 I2C.FLT_SCL.RESET -.sym 3442 KEYBOARD.init_ram_cnt[1] -.sym 3443 $false -.sym 3444 $false -.sym 3445 $false -.sym 3446 $abc$51270$n1387 -.sym 3447 CLK$2$2 -.sym 3448 $abc$51270$n35$2 -.sym 3449 $abc$51270$n871 -.sym 3450 $abc$51270$n1916 -.sym 3451 $abc$51270$n782 -.sym 3452 $abc$51270$n1198 -.sym 3453 $abc$51270$n783 -.sym 3454 $6\report_data_wadr[7:0][0] -.sym 3456 report_data_wadr[0] -.sym 3547 UART.TX_sig_last -.sym 3548 UART_WR -.sym 3549 UART.tx_activity -.sym 3550 $false -.sym 3559 $abc$51270$n10 -.sym 3560 $false -.sym 3561 $false -.sym 3562 $false -.sym 3565 $abc$51270$n891 -.sym 3566 $abc$51270$n889 -.sym 3567 $abc$51270$n893 +.sym 3405 $auto$alumacc.cc:474:replace_alu$5234.C[2] +.sym 3407 $false +.sym 3408 KEYBOARD.row_counter[1] +.sym 3411 $auto$alumacc.cc:474:replace_alu$5234.C[3] +.sym 3412 $false +.sym 3413 $false +.sym 3414 KEYBOARD.row_counter[2] +.sym 3415 $auto$alumacc.cc:474:replace_alu$5234.C[2] +.sym 3418 $false +.sym 3419 $false +.sym 3420 KEYBOARD.row_counter[3] +.sym 3421 $auto$alumacc.cc:474:replace_alu$5234.C[3] +.sym 3449 $abc$19660$n951_1 +.sym 3450 COM_TX$2 +.sym 3452 $abc$19660$n1129 +.sym 3454 $abc$19660$n728 +.sym 3565 $abc$19660$n1130 +.sym 3566 $abc$19660$n1083 +.sym 3567 $false .sym 3568 $false -.sym 3577 UART_WR -.sym 3578 $false -.sym 3579 $false -.sym 3580 $false -.sym 3581 I2C.FLT_SCL.RESET +.sym 3581 $abc$19660$n193 .sym 3582 CLK$2$2 .sym 3583 $false -.sym 3584 $abc$51270$n2521 -.sym 3585 $abc$51270$n2416 -.sym 3586 $abc$51270$n1208 -.sym 3587 $abc$51270$n1219 -.sym 3588 $abc$51270$n1210_1 -.sym 3589 $abc$51270$n1209 -.sym 3590 $abc$51270$n1216 -.sym 3591 $abc$51270$n867 -.sym 3688 $abc$51270$n8 -.sym 3689 $false -.sym 3690 $false -.sym 3691 $false -.sym 3706 $abc$51270$n687 -.sym 3707 int_tmr[1] -.sym 3708 $false -.sym 3709 $false -.sym 3716 $abc$51270$n867 +.sym 3584 KEYBOARD.temp[7] +.sym 3585 KEYBOARD.temp[3] +.sym 3588 KEYBOARD.temp[2] +.sym 3589 KEYBOARD.temp[1] +.sym 3670 $abc$19660$n1290 +.sym 3671 $false +.sym 3672 $false +.sym 3673 $false +.sym 3676 $abc$19660$n16 +.sym 3677 $false +.sym 3678 $false +.sym 3679 $false +.sym 3700 $abc$19660$n1285 +.sym 3701 $false +.sym 3702 $false +.sym 3703 $false +.sym 3716 $abc$19660$n198$2 .sym 3717 CLK$2$2 -.sym 3718 $abc$51270$n35$2 -.sym 3719 $abc$51270$n2577 -.sym 3724 init_ram_cnt[1] -.sym 3726 init_ram_cnt[0] -.sym 3805 $abc$51270$n749 -.sym 3806 $abc$51270$n751 -.sym 3807 $abc$51270$n752 -.sym 3808 $false -.sym 3811 int_tmr[4] -.sym 3812 int_tmr[5] -.sym 3813 int_tmr[6] -.sym 3814 int_tmr[7] -.sym 3817 int_tmr[0] -.sym 3818 int_tmr[1] -.sym 3819 int_tmr[2] -.sym 3820 int_tmr[3] -.sym 3823 $abc$51270$n687 -.sym 3824 $13\int_tmr[14:0][4] -.sym 3825 $false -.sym 3826 $false -.sym 3829 $abc$51270$n687 -.sym 3830 $13\int_tmr[14:0][5] -.sym 3831 $false -.sym 3832 $false -.sym 3835 $abc$51270$n687 -.sym 3836 $13\int_tmr[14:0][6] -.sym 3837 $false -.sym 3838 $false -.sym 3841 $abc$51270$n687 -.sym 3842 $13\int_tmr[14:0][7] -.sym 3843 $false -.sym 3844 $false -.sym 3847 $abc$51270$n687 -.sym 3848 $13\int_tmr[14:0][2] -.sym 3849 $false -.sym 3850 $false -.sym 3851 $abc$51270$n871$2 -.sym 3852 CLK$2$2 -.sym 3853 $abc$51270$n35$2 -.sym 3855 int_tmr[0] -.sym 3857 int_tmr[3] -.sym 3902 $true -.sym 3939 int_tmr[0]$2 -.sym 3940 $false -.sym 3941 int_tmr[0] -.sym 3942 $false -.sym 3943 $false -.sym 3945 $auto$alumacc.cc:474:replace_alu$9591.C[2] -.sym 3947 $false -.sym 3948 int_tmr[1] -.sym 3951 $auto$alumacc.cc:474:replace_alu$9591.C[3] -.sym 3952 $false -.sym 3953 $false -.sym 3954 int_tmr[2] -.sym 3955 $auto$alumacc.cc:474:replace_alu$9591.C[2] -.sym 3957 $auto$alumacc.cc:474:replace_alu$9591.C[4] -.sym 3958 $false -.sym 3959 $false -.sym 3960 int_tmr[3] -.sym 3961 $auto$alumacc.cc:474:replace_alu$9591.C[3] -.sym 3963 $auto$alumacc.cc:474:replace_alu$9591.C[5] -.sym 3964 $false -.sym 3965 $false -.sym 3966 int_tmr[4] -.sym 3967 $auto$alumacc.cc:474:replace_alu$9591.C[4] -.sym 3969 $auto$alumacc.cc:474:replace_alu$9591.C[6] -.sym 3970 $false -.sym 3971 $false -.sym 3972 int_tmr[5] -.sym 3973 $auto$alumacc.cc:474:replace_alu$9591.C[5] -.sym 3975 $auto$alumacc.cc:474:replace_alu$9591.C[7] -.sym 3976 $false -.sym 3977 $false -.sym 3978 int_tmr[6] -.sym 3979 $auto$alumacc.cc:474:replace_alu$9591.C[6] -.sym 3981 $auto$alumacc.cc:474:replace_alu$9591.C[8] -.sym 3982 $false -.sym 3983 $false -.sym 3984 int_tmr[7] -.sym 3985 $auto$alumacc.cc:474:replace_alu$9591.C[7] -.sym 3989 $abc$51270$n750 -.sym 3990 $abc$51270$n749 -.sym 3991 int_tmr[13] -.sym 3992 int_tmr[12] -.sym 3993 int_tmr[9] -.sym 3994 int_tmr[10] -.sym 3995 int_tmr[14] -.sym 3996 int_tmr[11] -.sym 4037 $auto$alumacc.cc:474:replace_alu$9591.C[8] -.sym 4074 $auto$alumacc.cc:474:replace_alu$9591.C[9] -.sym 4075 $false -.sym 4076 $false -.sym 4077 int_tmr[8] -.sym 4078 $auto$alumacc.cc:474:replace_alu$9591.C[8] -.sym 4080 $auto$alumacc.cc:474:replace_alu$9591.C[10] -.sym 4081 $false -.sym 4082 $false -.sym 4083 int_tmr[9] -.sym 4084 $auto$alumacc.cc:474:replace_alu$9591.C[9] -.sym 4086 $auto$alumacc.cc:474:replace_alu$9591.C[11] -.sym 4087 $false -.sym 4088 $false -.sym 4089 int_tmr[10] -.sym 4090 $auto$alumacc.cc:474:replace_alu$9591.C[10] -.sym 4092 $auto$alumacc.cc:474:replace_alu$9591.C[12] -.sym 4093 $false -.sym 4094 $false -.sym 4095 int_tmr[11] -.sym 4096 $auto$alumacc.cc:474:replace_alu$9591.C[11] -.sym 4098 $auto$alumacc.cc:474:replace_alu$9591.C[13] -.sym 4099 $false -.sym 4100 $false -.sym 4101 int_tmr[12] -.sym 4102 $auto$alumacc.cc:474:replace_alu$9591.C[12] -.sym 4104 $auto$alumacc.cc:474:replace_alu$9591.C[14] -.sym 4105 $false -.sym 4106 $false -.sym 4107 int_tmr[13] -.sym 4108 $auto$alumacc.cc:474:replace_alu$9591.C[13] -.sym 4111 $false -.sym 4112 $false -.sym 4113 int_tmr[14] -.sym 4114 $auto$alumacc.cc:474:replace_alu$9591.C[14] -.sym 4117 $abc$51270$n687 -.sym 4118 $13\int_tmr[14:0][8] -.sym 4119 $false -.sym 4120 $false -.sym 4121 $abc$51270$n871$2 -.sym 4122 CLK$2$2 -.sym 4123 $abc$51270$n35$2 +.sym 3718 $false +.sym 3724 KEYBOARD.ram_wr +.sym 3858 $abc$19660$n958 +.sym 3995 KEYBOARD.IS_RAM_INIT .sym 4212 $false .sym 4214 KEYBOARD.ROWS_EN[15] -.sym 4237 KEYBOARD.kbd_code_hid[0] -.sym 4238 KEYBOARD.kbd_code_hid[1] -.sym 4239 KEYBOARD.kbd_code_hid[2] -.sym 4240 KEYBOARD.kbd_code_hid[3] -.sym 4241 KEYBOARD.kbd_code_hid[4] -.sym 4242 KEYBOARD.kbd_code_hid[5] -.sym 4243 KEYBOARD.kbd_code_hid[6] -.sym 4244 KEYBOARD.kbd_code_hid[7] -.sym 4312 $abc$51270$n697 -.sym 4313 $abc$51270$n29 -.sym 4314 $abc$51270$n1447 -.sym 4315 KEYBOARD.is_pressed -.sym 4318 $abc$51270$n697 -.sym 4319 $abc$51270$n1447 -.sym 4320 $false -.sym 4321 $false +.sym 4274 $true +.sym 4311 KEYBOARD.row_time[0]$2 +.sym 4312 $false +.sym 4313 KEYBOARD.row_time[0] +.sym 4314 $false +.sym 4315 $false +.sym 4317 $auto$alumacc.cc:474:replace_alu$5231.C[2] +.sym 4319 $false +.sym 4320 KEYBOARD.row_time[1] +.sym 4323 $auto$alumacc.cc:474:replace_alu$5231.C[3] +.sym 4324 $false +.sym 4325 $false +.sym 4326 KEYBOARD.row_time[2] +.sym 4327 $auto$alumacc.cc:474:replace_alu$5231.C[2] +.sym 4329 $auto$alumacc.cc:474:replace_alu$5231.C[4] +.sym 4330 $false +.sym 4331 $false +.sym 4332 KEYBOARD.row_time[3] +.sym 4333 $auto$alumacc.cc:474:replace_alu$5231.C[3] +.sym 4335 $auto$alumacc.cc:474:replace_alu$5231.C[5] .sym 4336 $false -.sym 4337 $abc$51270$n396 -.sym 4338 $false -.sym 4339 $false -.sym 4342 $abc$51270$n2274 -.sym 4343 $abc$51270$n2265 -.sym 4344 $false -.sym 4345 $false -.sym 4348 $abc$51270$n2274 -.sym 4349 $abc$51270$n2212 -.sym 4350 $false -.sym 4351 $false -.sym 4354 $abc$51270$n2274 +.sym 4337 $false +.sym 4338 KEYBOARD.row_time[4] +.sym 4339 $auto$alumacc.cc:474:replace_alu$5231.C[4] +.sym 4341 $auto$alumacc.cc:474:replace_alu$5231.C[6] +.sym 4342 $false +.sym 4343 $false +.sym 4344 KEYBOARD.row_time[5] +.sym 4345 $auto$alumacc.cc:474:replace_alu$5231.C[5] +.sym 4347 $auto$alumacc.cc:474:replace_alu$5231.C[7] +.sym 4348 $false +.sym 4349 $false +.sym 4350 KEYBOARD.row_time[6] +.sym 4351 $auto$alumacc.cc:474:replace_alu$5231.C[6] +.sym 4353 $auto$alumacc.cc:474:replace_alu$5231.C[8] +.sym 4354 $false .sym 4355 $false -.sym 4356 $false -.sym 4357 $false -.sym 4358 $abc$51270$n1418$2 -.sym 4359 CLK$2$2 -.sym 4360 $abc$51270$n29 -.sym 4437 $true -.sym 4474 I2C.FLT_SDA.counter[0]$2 +.sym 4356 KEYBOARD.row_time[7] +.sym 4357 $auto$alumacc.cc:474:replace_alu$5231.C[7] +.sym 4437 $auto$alumacc.cc:474:replace_alu$5231.C[8] +.sym 4474 $auto$alumacc.cc:474:replace_alu$5231.C[9] .sym 4475 $false -.sym 4476 I2C.FLT_SDA.counter[0] -.sym 4477 $false -.sym 4478 $false -.sym 4480 $auto$alumacc.cc:474:replace_alu$9626.C[2] -.sym 4482 I2C.FLT_SDA.counter[1] -.sym 4483 $true$2 +.sym 4476 $false +.sym 4477 KEYBOARD.row_time[8] +.sym 4478 $auto$alumacc.cc:474:replace_alu$5231.C[8] +.sym 4480 $auto$alumacc.cc:474:replace_alu$5231.C[10] +.sym 4481 $false +.sym 4482 $false +.sym 4483 KEYBOARD.row_time[9] +.sym 4484 $auto$alumacc.cc:474:replace_alu$5231.C[9] +.sym 4486 $auto$alumacc.cc:474:replace_alu$5231.C[11] .sym 4487 $false -.sym 4488 I2C.FLT_SDA.counter[2] -.sym 4489 $false -.sym 4490 $auto$alumacc.cc:474:replace_alu$9626.C[2] -.sym 4493 $abc$51270$n2274 -.sym 4494 $abc$51270$n2206 -.sym 4495 $false -.sym 4496 $false -.sym 4499 $abc$51270$n2274 -.sym 4500 $abc$51270$n394 -.sym 4501 $false -.sym 4502 $false -.sym 4505 $abc$51270$n2274 -.sym 4506 $abc$51270$n383 -.sym 4507 $false -.sym 4508 $false -.sym 4511 $abc$51270$n2274 -.sym 4512 $abc$51270$n2210 -.sym 4513 $false -.sym 4514 $false -.sym 4517 $abc$51270$n2274 -.sym 4518 $abc$51270$n2208 +.sym 4488 $false +.sym 4489 KEYBOARD.row_time[10] +.sym 4490 $auto$alumacc.cc:474:replace_alu$5231.C[10] +.sym 4492 $auto$alumacc.cc:474:replace_alu$5231.C[12] +.sym 4493 $false +.sym 4494 $false +.sym 4495 KEYBOARD.row_time[11] +.sym 4496 $auto$alumacc.cc:474:replace_alu$5231.C[11] +.sym 4498 $auto$alumacc.cc:474:replace_alu$5231.C[13] +.sym 4499 $false +.sym 4500 $false +.sym 4501 KEYBOARD.row_time[12] +.sym 4502 $auto$alumacc.cc:474:replace_alu$5231.C[12] +.sym 4504 $auto$alumacc.cc:474:replace_alu$5231.C[14] +.sym 4505 $false +.sym 4506 $false +.sym 4507 KEYBOARD.row_time[13] +.sym 4508 $auto$alumacc.cc:474:replace_alu$5231.C[13] +.sym 4511 $false +.sym 4512 $false +.sym 4513 KEYBOARD.row_time[14] +.sym 4514 $auto$alumacc.cc:474:replace_alu$5231.C[14] +.sym 4517 $abc$19660$n786 +.sym 4518 $abc$19660$n790 .sym 4519 $false .sym 4520 $false -.sym 4521 $abc$51270$n1418$2 -.sym 4522 CLK$2$2 -.sym 4523 $abc$51270$n29 -.sym 4524 KEYBOARD.RAM.r_data[0] -.sym 4526 KEYBOARD.RAM.r_data[1] -.sym 4528 KEYBOARD.RAM.r_data[2] -.sym 4530 KEYBOARD.RAM.r_data[3] -.sym 4598 KEYBOARD.temp[3] -.sym 4599 KEYBOARD.RAM.r_data[3] -.sym 4600 $abc$51270$n700 -.sym 4601 $false -.sym 4604 $abc$51270$n383 -.sym 4605 $abc$51270$n396 -.sym 4606 $abc$51270$n394 -.sym 4607 $false -.sym 4610 KEYBOARD.temp[2] -.sym 4611 $abc$51270$n701 -.sym 4612 $false -.sym 4613 $false -.sym 4616 $abc$51270$n721 -.sym 4617 KEYBOARD.temp[1] -.sym 4618 $abc$51270$n383 -.sym 4619 $abc$51270$n394 -.sym 4622 $abc$51270$n396 -.sym 4623 $abc$51270$n394 -.sym 4624 $abc$51270$n383 +.sym 4622 $abc$19660$n792 +.sym 4623 $false +.sym 4624 $false .sym 4625 $false -.sym 4628 KEYBOARD.temp[2] -.sym 4629 KEYBOARD.RAM.r_data[2] -.sym 4630 $abc$51270$n701 -.sym 4631 $abc$51270$n700 -.sym 4634 $abc$51270$n1446 -.sym 4635 $abc$51270$n1445_1 -.sym 4636 $abc$51270$n718 +.sym 4628 $abc$19660$n793 +.sym 4629 $false +.sym 4630 $false +.sym 4631 $false +.sym 4634 $abc$19660$n787 +.sym 4635 $false +.sym 4636 $false .sym 4637 $false -.sym 4640 $abc$51270$n698 -.sym 4641 $abc$51270$n1335 +.sym 4640 $abc$19660$n790 +.sym 4641 $false .sym 4642 $false .sym 4643 $false -.sym 4644 $abc$51270$n1417 +.sym 4644 $abc$19660$n191$2 .sym 4645 CLK$2$2 -.sym 4646 $abc$51270$n33$2 -.sym 4647 KEYBOARD.RAM.r_data[4] -.sym 4649 KEYBOARD.RAM.r_data[5] -.sym 4651 KEYBOARD.RAM.r_data[6] -.sym 4653 KEYBOARD.RAM.r_data[7] -.sym 4721 $abc$51270$n27 -.sym 4722 KEYBOARD.kbd_code_hid[5] -.sym 4723 $false -.sym 4724 $false -.sym 4727 KEYBOARD.temp[7] -.sym 4728 KEYBOARD.RAM.r_data[7] -.sym 4729 $abc$51270$n700 +.sym 4646 $abc$19660$n19$2 +.sym 4727 $abc$19660$n679 +.sym 4728 $abc$19660$n764 +.sym 4729 $abc$19660$n727 .sym 4730 $false -.sym 4733 $abc$51270$n2315 -.sym 4734 $false -.sym 4735 $false -.sym 4736 $false -.sym 4739 $abc$51270$n2314 -.sym 4740 $false -.sym 4741 $false -.sym 4742 $false -.sym 4745 $abc$51270$n2317 -.sym 4746 $false -.sym 4747 $false -.sym 4748 $false -.sym 4751 $abc$51270$n2316 -.sym 4752 $false +.sym 4733 $abc$19660$n679 +.sym 4734 $abc$19660$n691 +.sym 4735 $abc$19660$n692 +.sym 4736 $abc$19660$n793 +.sym 4751 $abc$19660$n779 +.sym 4752 $abc$19660$n681 .sym 4753 $false .sym 4754 $false -.sym 4757 $abc$51270$n2311 -.sym 4758 $false -.sym 4759 $false +.sym 4757 KEYBOARD.IS_RAM_INIT$2 +.sym 4758 $abc$19660$n46 +.sym 4759 $abc$19660$n690 .sym 4760 $false -.sym 4763 $abc$51270$n2313 -.sym 4764 $false -.sym 4765 $false -.sym 4766 $false -.sym 4767 $abc$51270$n1258 -.sym 4768 CLK$2$2 -.sym 4769 $abc$51270$n35$2 -.sym 4844 KEYBOARD.report[4][0] -.sym 4845 $abc$51270$n1121 -.sym 4846 $abc$51270$n1120 +.sym 4844 $abc$19660$n780 +.sym 4845 $abc$19660$n660 +.sym 4846 $false .sym 4847 $false -.sym 4850 KEYBOARD.report[1][4] -.sym 4851 KEYBOARD.report[5][4] -.sym 4852 $abc$51270$n260 -.sym 4853 $abc$51270$n1114 -.sym 4856 KEYBOARD.kbd_code_hid[0] -.sym 4857 KEYBOARD.report[4][0] -.sym 4858 KEYBOARD.kbd_code_hid[2] -.sym 4859 KEYBOARD.report[4][2] -.sym 4862 $abc$51270$n27 -.sym 4863 KEYBOARD.kbd_code_hid[2] -.sym 4864 $false +.sym 4850 $abc$19660$n789_1 +.sym 4851 $abc$19660$n783 +.sym 4852 $abc$19660$n781_1 +.sym 4853 $false +.sym 4862 $abc$19660$n785 +.sym 4863 $abc$19660$n783 +.sym 4864 $abc$19660$n781_1 .sym 4865 $false -.sym 4868 $false -.sym 4869 wr_cnt[0] -.sym 4870 $false -.sym 4871 $false -.sym 4874 $abc$51270$n2311 -.sym 4875 $false -.sym 4876 $false +.sym 4874 $abc$19660$n787_1 +.sym 4875 $abc$19660$n791 +.sym 4876 $abc$19660$n781_1 .sym 4877 $false -.sym 4886 $abc$51270$n2315 -.sym 4887 $false -.sym 4888 $false +.sym 4880 $abc$19660$n789_1 +.sym 4881 $abc$19660$n791 +.sym 4882 $abc$19660$n781_1 +.sym 4883 $false +.sym 4886 $abc$19660$n787_1 +.sym 4887 $abc$19660$n783 +.sym 4888 $abc$19660$n781_1 .sym 4889 $false -.sym 4890 $abc$51270$n1325 -.sym 4891 CLK$2$2 -.sym 4892 $abc$51270$n35$2 -.sym 4929 $true -.sym 4966 I2C.byte_counter[5]$2 -.sym 4967 $false -.sym 4968 I2C.byte_counter[5] +.sym 4967 I2C.received_byte[2] +.sym 4968 $false .sym 4969 $false .sym 4970 $false -.sym 4972 $auto$alumacc.cc:474:replace_alu$9629.C[7] -.sym 4974 $false -.sym 4975 I2C.byte_counter[6] -.sym 4979 $false -.sym 4980 $false -.sym 4981 I2C.byte_counter[7] -.sym 4982 $auto$alumacc.cc:474:replace_alu$9629.C[7] -.sym 4985 $abc$51270$n253 -.sym 4986 $abc$51270$n260 -.sym 4987 wr_cnt[0] -.sym 4988 wr_cnt[1] -.sym 4991 KEYBOARD.row_counter[0] -.sym 4992 $abc$51270$n2193 -.sym 4993 $abc$51270$n1001 +.sym 4973 $abc$19660$n779_1 +.sym 4974 $abc$19660$n791 +.sym 4975 $abc$19660$n781_1 +.sym 4976 $false +.sym 4979 I2C.received_byte[0] +.sym 4980 I2C.received_byte[7] +.sym 4981 I2C.received_byte[6] +.sym 4982 $false +.sym 4985 $abc$19660$n660 +.sym 4986 $abc$19660$n780 +.sym 4987 $false +.sym 4988 $false +.sym 4991 I2C.received_byte[1] +.sym 4992 $false +.sym 4993 $false .sym 4994 $false -.sym 4997 $abc$51270$n2317 -.sym 4998 $false -.sym 4999 $false +.sym 4997 I2C.received_byte[4] +.sym 4998 $abc$19660$n554 +.sym 4999 $abc$19660$n532 .sym 5000 $false -.sym 5003 $abc$51270$n2315 +.sym 5003 I2C.received_byte[3] .sym 5004 $false .sym 5005 $false .sym 5006 $false -.sym 5009 $abc$51270$n2313 -.sym 5010 $false -.sym 5011 $false +.sym 5009 $abc$19660$n779_1 +.sym 5010 $abc$19660$n783 +.sym 5011 $abc$19660$n781_1 .sym 5012 $false -.sym 5013 $abc$51270$n1374 -.sym 5014 CLK$2$2 -.sym 5015 $abc$51270$n35$2 -.sym 5016 I2C_HID_DESC.VAL[0] -.sym 5017 I2C_HID_DESC.VAL[1] -.sym 5018 I2C_HID_DESC.VAL[2] -.sym 5019 I2C_TX_DESC[3] -.sym 5020 I2C_TX_DESC[4] -.sym 5021 I2C_TX_DESC[5] -.sym 5022 I2C_TX_DESC[6] -.sym 5023 I2C_TX_DESC[7] -.sym 5052 $true -.sym 5089 $abc$51270$n2451$2 -.sym 5090 $false -.sym 5091 $abc$51270$n2451 +.sym 5090 I2C.FLT_SDA.out +.sym 5091 $false .sym 5092 $false .sym 5093 $false -.sym 5095 $auto$alumacc.cc:474:replace_alu$9509.C[2] -.sym 5097 $false -.sym 5098 $abc$51270$n2383 -.sym 5101 $auto$alumacc.cc:474:replace_alu$9509.C[3] -.sym 5103 $false -.sym 5104 $abc$51270$n2466 -.sym 5107 $abc$51270$n2192$2 -.sym 5109 $false -.sym 5110 $abc$51270$n2468 -.sym 5117 $abc$51270$n2192$2 -.sym 5120 $false -.sym 5121 $false -.sym 5122 $false -.sym 5123 $false -.sym 5126 KEYBOARD.init_ram_cnt[8] -.sym 5127 $false -.sym 5128 $false -.sym 5129 $false -.sym 5132 KEYBOARD.init_ram_cnt[4] -.sym 5133 $false -.sym 5134 $false -.sym 5135 $false -.sym 5136 $abc$51270$n1398 +.sym 5136 $abc$19660$n235 .sym 5137 CLK$2$2 -.sym 5138 KEYBOARD.init_ram_cnt[8] -.sym 5213 $false +.sym 5138 $false +.sym 5213 I2C.FLT_SDA.out .sym 5214 $false -.sym 5215 I2C.byte_counter[0] +.sym 5215 $false .sym 5216 $false -.sym 5219 I2C.byte_counter[7] -.sym 5220 $abc$51270$n2330 -.sym 5221 I2C_OUTPUT_TYPE[1] -.sym 5222 I2C_OUTPUT_TYPE[0] -.sym 5225 I2C.byte_counter[1] -.sym 5226 $false -.sym 5227 $false -.sym 5228 $false -.sym 5231 I2C.byte_counter[4] -.sym 5232 $false -.sym 5233 $false -.sym 5234 $false -.sym 5237 I2C_OUTPUT_TYPE[1] -.sym 5238 I2C_OUTPUT_TYPE[0] -.sym 5239 I2C.byte_counter[5] -.sym 5240 $false -.sym 5243 I2C.byte_counter[2] -.sym 5244 $false -.sym 5245 $false -.sym 5246 $false -.sym 5249 I2C_OUTPUT_TYPE[1] -.sym 5250 I2C_OUTPUT_TYPE[0] -.sym 5251 I2C.byte_counter[5] -.sym 5252 I2C.byte_counter[6] -.sym 5255 I2C.byte_counter[0] -.sym 5256 $abc$51270$n2324 -.sym 5257 I2C_OUTPUT_TYPE[1] -.sym 5258 I2C_OUTPUT_TYPE[0] -.sym 5259 $abc$51270$n1420 +.sym 5259 $abc$19660$n228 .sym 5260 CLK$2$2 -.sym 5261 $abc$51270$n35$2 -.sym 5262 REPORT_DATA.r_data[0] -.sym 5264 REPORT_DATA.r_data[1] -.sym 5266 REPORT_DATA.r_data[2] -.sym 5268 REPORT_DATA.r_data[3] -.sym 5298 $true -.sym 5335 $abc$51270$n2451$3 -.sym 5336 $false -.sym 5337 $abc$51270$n2451 -.sym 5338 $false -.sym 5339 $false -.sym 5341 $auto$alumacc.cc:474:replace_alu$9576.C[2] -.sym 5343 $false -.sym 5344 $abc$51270$n2383 -.sym 5348 $false -.sym 5349 $false -.sym 5350 $abc$51270$n2466 -.sym 5351 $auto$alumacc.cc:474:replace_alu$9576.C[2] -.sym 5354 REPORT_DATA.r_data[3] -.sym 5355 I2C_TX_DESC[3] -.sym 5356 I2C_OUT_DESC_MASK[3] -.sym 5357 $false -.sym 5360 $abc$51270$n2465 -.sym 5361 $false -.sym 5362 $false -.sym 5363 $false -.sym 5366 $abc$51270$n2384 -.sym 5367 $false -.sym 5368 $false -.sym 5369 $false -.sym 5372 $abc$51270$n2467 -.sym 5373 $false -.sym 5374 $false -.sym 5375 $false -.sym 5378 $abc$51270$n2192 -.sym 5379 $abc$51270$n683 -.sym 5380 $abc$51270$n678 -.sym 5381 UART.tx_activity -.sym 5382 $abc$51270$n1231 +.sym 5261 $false +.sym 5262 KEYBOARD.kbd_code_hid[0] +.sym 5263 KEYBOARD.kbd_code_hid[1] +.sym 5264 KEYBOARD.kbd_code_hid[2] +.sym 5265 KEYBOARD.kbd_code_hid[3] +.sym 5266 KEYBOARD.kbd_code_hid[4] +.sym 5267 KEYBOARD.kbd_code_hid[5] +.sym 5268 KEYBOARD.kbd_code_hid[6] +.sym 5269 KEYBOARD.kbd_code_hid[7] +.sym 5378 I2C.FLT_SDA.out +.sym 5379 $false +.sym 5380 $false +.sym 5381 $false +.sym 5382 $abc$19660$n244 .sym 5383 CLK$2$2 .sym 5384 $false -.sym 5385 REPORT_DATA.r_data[4] -.sym 5387 REPORT_DATA.r_data[5] -.sym 5389 REPORT_DATA.r_data[6] -.sym 5391 REPORT_DATA.r_data[7] -.sym 5421 $true -.sym 5458 UART.tx_bit_counter[0]$2 -.sym 5459 $false -.sym 5460 UART.tx_bit_counter[0] -.sym 5461 $false -.sym 5462 $false -.sym 5464 $auto$alumacc.cc:474:replace_alu$9650.C[2]$2 -.sym 5466 UART.tx_bit_counter[1] -.sym 5467 $true$2 -.sym 5470 $auto$alumacc.cc:474:replace_alu$9650.C[3] -.sym 5472 UART.tx_bit_counter[2] -.sym 5473 $true$2 -.sym 5474 $auto$alumacc.cc:474:replace_alu$9650.C[2]$2 -.sym 5477 $false -.sym 5478 UART.tx_bit_counter[3] -.sym 5479 $false -.sym 5480 $auto$alumacc.cc:474:replace_alu$9650.C[3] -.sym 5483 $false -.sym 5484 UART.tx_bit_counter[2] -.sym 5485 $false -.sym 5486 $auto$alumacc.cc:474:replace_alu$9650.C[2] -.sym 5489 $abc$51270$n2384 -.sym 5490 $false +.sym 5489 $abc$19660$n52$2 +.sym 5490 $abc$19660$n581 .sym 5491 $false .sym 5492 $false -.sym 5495 $abc$51270$n2465 -.sym 5496 $false -.sym 5497 $false -.sym 5498 $false -.sym 5501 $abc$51270$n2467 -.sym 5502 $false -.sym 5503 $false -.sym 5504 $false -.sym 5505 $abc$51270$n1231 +.sym 5505 $abc$19660$n41$2 .sym 5506 CLK$2$2 -.sym 5507 $abc$51270$n25 -.sym 5582 i2c_input_data_type[1] -.sym 5583 $false -.sym 5584 $false -.sym 5585 $false -.sym 5588 UART.tx_bit_counter[0] -.sym 5589 UART.tx_bit_counter[1] -.sym 5590 UART.tx_bit_counter[2] -.sym 5591 UART.tx_activity -.sym 5594 UART.tx_bit_counter[3] -.sym 5595 $abc$51270$n892 -.sym 5596 $false -.sym 5597 $false -.sym 5600 UART.tx_activity -.sym 5601 $false -.sym 5602 $false -.sym 5603 $false -.sym 5606 $false -.sym 5607 UART.tx_bit_counter[0] -.sym 5608 $false -.sym 5609 $false -.sym 5612 i2c_input_data_type[2] -.sym 5613 $false -.sym 5614 $false -.sym 5615 $false -.sym 5618 UART.tx_bit_counter[0] -.sym 5619 UART.tx_bit_counter[1] -.sym 5620 $false -.sym 5621 $false -.sym 5624 $abc$51270$n2383 -.sym 5625 $false -.sym 5626 $false +.sym 5507 $abc$19660$n19$2 +.sym 5594 KEYBOARD.IS_RAM_INIT$2 +.sym 5595 $abc$19660$n928_1 +.sym 5596 $abc$19660$n930_1 +.sym 5597 $abc$19660$n925_1 +.sym 5624 KEYBOARD.IS_RAM_INIT$2 +.sym 5625 $abc$19660$n1096_1 +.sym 5626 $abc$19660$n925_1 .sym 5627 $false -.sym 5628 $abc$51270$n1231 +.sym 5628 $abc$19660$n155 .sym 5629 CLK$2$2 -.sym 5630 $abc$51270$n25 -.sym 5705 $abc$51270$n782 -.sym 5706 $abc$51270$n785 -.sym 5707 $false +.sym 5630 $false +.sym 5705 KEYBOARD.temp[7] +.sym 5706 KEYBOARD.RAM.r_data[7] +.sym 5707 $abc$19660$n727 .sym 5708 $false -.sym 5711 $false -.sym 5712 init_ram_cnt[0] +.sym 5711 $abc$19660$n12 +.sym 5712 $false .sym 5713 $false .sym 5714 $false -.sym 5717 $abc$51270$n783 -.sym 5718 $abc$51270$n763 -.sym 5719 $abc$51270$n761 -.sym 5720 $abc$51270$n765 -.sym 5723 $abc$51270$n22 -.sym 5724 $abc$51270$n1916 -.sym 5725 $false -.sym 5726 $false -.sym 5729 $abc$51270$n748 -.sym 5730 UART_WR -.sym 5731 $false -.sym 5732 $false -.sym 5735 $false -.sym 5736 wr_cnt[0] -.sym 5737 $false +.sym 5723 KEYBOARD.temp[6] +.sym 5724 KEYBOARD.temp[7] +.sym 5725 $abc$19660$n565 +.sym 5726 $abc$19660$n567 +.sym 5735 $abc$19660$n555 +.sym 5736 $abc$19660$n565 +.sym 5737 $abc$19660$n567 .sym 5738 $false -.sym 5747 $abc$51270$n758 -.sym 5748 $6\report_data_wadr[7:0][0] -.sym 5749 $abc$51270$n1197 -.sym 5750 $abc$51270$n1198 -.sym 5751 $abc$51270$n950$2 -.sym 5752 CLK$2$2 -.sym 5753 $false -.sym 5828 ring_wr[3] -.sym 5829 $abc$51270$n1219 -.sym 5830 $false +.sym 5754 KEYBOARD.RAM.r_data[0] +.sym 5756 KEYBOARD.RAM.r_data[1] +.sym 5758 KEYBOARD.RAM.r_data[2] +.sym 5760 KEYBOARD.RAM.r_data[3] +.sym 5828 KEYBOARD.COLS_SHADOW[7] +.sym 5829 $abc$19660$n951_1 +.sym 5830 $abc$19660$n741 .sym 5831 $false -.sym 5834 $abc$51270$n1210_1 -.sym 5835 $abc$51270$n1209 -.sym 5836 ring_wr[0] -.sym 5837 $abc$51270$n1211 -.sym 5840 $abc$51270$n690 -.sym 5841 $abc$51270$n1209 -.sym 5842 $abc$51270$n1211 -.sym 5843 ring_wr[0] -.sym 5846 $abc$51270$n1211 -.sym 5847 wr_cnt[2] -.sym 5848 ring_wr[1] -.sym 5849 $false -.sym 5852 wr_cnt[2] -.sym 5853 ring_wr[1] -.sym 5854 ring_wr[0] -.sym 5855 wr_cnt[1] -.sym 5858 ring_wr[1] -.sym 5859 wr_cnt[2] -.sym 5860 $abc$51270$n1210_1 +.sym 5834 $abc$19660$n752_1 +.sym 5835 $abc$19660$n1359 +.sym 5836 $abc$19660$n728 +.sym 5837 $false +.sym 5852 $abc$19660$n729 +.sym 5853 $abc$19660$n949_1 +.sym 5854 $false +.sym 5855 $false +.sym 5858 $abc$19660$n732_1 +.sym 5859 $abc$19660$n953_1 +.sym 5860 $false .sym 5861 $false -.sym 5864 $abc$51270$n2416 -.sym 5865 $abc$51270$n2414 -.sym 5866 $false -.sym 5867 $false -.sym 5870 $abc$51270$n784 -.sym 5871 $abc$51270$n782 -.sym 5872 $abc$51270$n785 -.sym 5873 $false -.sym 5951 $abc$51270$n2193 -.sym 5952 $abc$51270$n2199 -.sym 5953 KEYBOARD.row_counter[0] -.sym 5954 KEYBOARD.row_counter[1] -.sym 5981 init_ram_cnt[1] -.sym 5982 init_ram_cnt[0] -.sym 5983 $false -.sym 5984 $false -.sym 5993 $false -.sym 5994 $false -.sym 5995 init_ram_cnt[0] -.sym 5996 $false -.sym 5997 $abc$51270$n764 +.sym 5874 $abc$19660$n209 +.sym 5875 CLK$2$2 +.sym 5876 KEYBOARD.IS_RAM_INIT$2 +.sym 5877 KEYBOARD.RAM.r_data[4] +.sym 5879 KEYBOARD.RAM.r_data[5] +.sym 5881 KEYBOARD.RAM.r_data[6] +.sym 5883 KEYBOARD.RAM.r_data[7] +.sym 5981 $abc$19660$n763_1 +.sym 5982 KEYBOARD.ram_wr +.sym 5983 $abc$19660$n690 +.sym 5984 $abc$19660$n741 +.sym 5997 $abc$19660$n46 .sym 5998 CLK$2$2 -.sym 5999 $abc$51270$n35$2 -.sym 6080 $abc$51270$n687 -.sym 6081 $13\int_tmr[14:0][0] -.sym 6082 $false -.sym 6083 $false -.sym 6092 $abc$51270$n687 -.sym 6093 $13\int_tmr[14:0][3] -.sym 6094 $false -.sym 6095 $false -.sym 6120 $abc$51270$n871$2 -.sym 6121 CLK$2$2 -.sym 6122 $abc$51270$n35$2 -.sym 6197 int_tmr[8] -.sym 6198 int_tmr[9] -.sym 6199 int_tmr[10] -.sym 6200 int_tmr[11] -.sym 6203 $abc$51270$n750 -.sym 6204 int_tmr[12] -.sym 6205 int_tmr[13] -.sym 6206 int_tmr[14] -.sym 6209 $abc$51270$n687 -.sym 6210 $13\int_tmr[14:0][13] -.sym 6211 $false -.sym 6212 $false -.sym 6215 $abc$51270$n687 -.sym 6216 $13\int_tmr[14:0][12] -.sym 6217 $false -.sym 6218 $false -.sym 6221 $abc$51270$n687 -.sym 6222 $13\int_tmr[14:0][9] -.sym 6223 $false -.sym 6224 $false -.sym 6227 $abc$51270$n687 -.sym 6228 $13\int_tmr[14:0][10] -.sym 6229 $false -.sym 6230 $false -.sym 6233 $abc$51270$n687 -.sym 6234 $13\int_tmr[14:0][14] +.sym 5999 KEYBOARD.IS_RAM_INIT$2 +.sym 6098 KEYBOARD.temp[6] +.sym 6099 KEYBOARD.RAM.r_data[6] +.sym 6100 $abc$19660$n727 +.sym 6101 $false +.sym 6233 $abc$19660$n1311 +.sym 6234 $false .sym 6235 $false .sym 6236 $false -.sym 6239 $abc$51270$n687 -.sym 6240 $13\int_tmr[14:0][11] -.sym 6241 $false -.sym 6242 $false -.sym 6243 $abc$51270$n871$2 +.sym 6243 $abc$19660$n41$2 .sym 6244 CLK$2$2 -.sym 6245 $abc$51270$n35$2 -.sym 6346 $abc$51270$n713 -.sym 6347 $abc$51270$n702 -.sym 6348 $abc$51270$n697 -.sym 6349 $abc$51270$n712 -.sym 6350 $abc$51270$n703 -.sym 6351 $abc$51270$n707 -.sym 6352 KEYBOARD.report[2][5] -.sym 6353 KEYBOARD.report[2][2] +.sym 6245 $abc$19660$n19$2 +.sym 6346 $abc$19660$n681 +.sym 6348 $abc$19660$n778 +.sym 6349 $abc$19660$n779 +.sym 6351 $abc$19660$n1353 .sym 6418 KBD_COLUMNS[0]$2 .sym 6420 KBD_COLUMNS[1]$2 -.sym 6422 $abc$51270$n1344 -.sym 6423 $abc$51270$n698 -.sym 6424 $abc$51270$n1339 -.sym 6425 $abc$51270$n2208 -.sym 6426 KEYBOARD.temp[1] -.sym 6427 KEYBOARD.temp[3] -.sym 6428 KEYBOARD.temp[6] -.sym 6429 KEYBOARD.temp[0] -.sym 6438 $false -.sym 6439 $false -.sym 6440 $false -.sym 6441 $false -.sym 6442 $false -.sym 6443 $false -.sym 6444 $false -.sym 6445 $false -.sym 6446 $false -.sym 6447 $false -.sym 6448 $false -.sym 6449 $false -.sym 6450 $false$2 -.sym 6451 $false -.sym 6452 $false -.sym 6453 $false -.sym 6454 $false -.sym 6455 $false -.sym 6456 $false -.sym 6457 $false -.sym 6458 $false -.sym 6459 $false -.sym 6560 $abc$51270$n718 -.sym 6561 $abc$51270$n720 -.sym 6562 $abc$51270$n1446 -.sym 6563 $abc$51270$n2312 -.sym 6564 $abc$51270$n2317 -.sym 6565 KEYBOARD.report[3][4] -.sym 6566 KEYBOARD.report[3][5] -.sym 6567 KEYBOARD.report[3][1] -.sym 6576 KEYBOARD.kbd_code[0] -.sym 6577 KEYBOARD.kbd_code[1] -.sym 6578 $false -.sym 6579 KEYBOARD.kbd_code[2] -.sym 6580 KEYBOARD.kbd_code[3] -.sym 6581 KEYBOARD.kbd_code[4] -.sym 6582 KEYBOARD.kbd_code[5] -.sym 6583 KEYBOARD.kbd_code[6] -.sym 6584 KEYBOARD.kbd_code[7] -.sym 6585 $false -.sym 6586 $false -.sym 6587 CLK$2$2 -.sym 6588 $true -.sym 6589 $true$2 -.sym 6590 $false -.sym 6591 $false -.sym 6592 $false -.sym 6593 $false -.sym 6594 $false -.sym 6595 $false -.sym 6596 $false -.sym 6597 $false -.sym 6662 $abc$51270$n1417 -.sym 6663 $abc$51270$n1342 -.sym 6664 $abc$51270$n933 -.sym 6665 $abc$51270$n1333 -.sym 6666 $abc$51270$n1145 -.sym 6667 KEYBOARD.temp[5] -.sym 6668 KEYBOARD.temp[7] -.sym 6669 KEYBOARD.temp[4] -.sym 6670 $undef -.sym 6671 $undef -.sym 6672 $undef -.sym 6673 $undef -.sym 6674 $undef -.sym 6675 $undef -.sym 6676 $undef -.sym 6677 $undef -.sym 6678 KEYBOARD.ram_adr[0] -.sym 6679 KEYBOARD.ram_adr[1] -.sym 6680 $false -.sym 6681 KEYBOARD.ram_adr[2] -.sym 6682 KEYBOARD.ram_adr[3] -.sym 6683 KEYBOARD.ram_adr[4] -.sym 6684 KEYBOARD.ram_adr[5] -.sym 6685 KEYBOARD.ram_adr[6] -.sym 6686 KEYBOARD.ram_adr[7] -.sym 6687 KEYBOARD.ram_adr[8] -.sym 6688 $false -.sym 6689 CLK$2$2 -.sym 6690 KEYBOARD.ram_wr -.sym 6691 KEYBOARD.temp[0] -.sym 6692 $undef -.sym 6693 KEYBOARD.temp[1] -.sym 6694 $undef -.sym 6695 KEYBOARD.temp[2] -.sym 6696 $undef -.sym 6697 KEYBOARD.temp[3] -.sym 6698 $undef -.sym 6699 $true$2 -.sym 6764 $abc$51270$n1112_1 -.sym 6765 $abc$51270$n1113 -.sym 6766 $abc$51270$n1173 -.sym 6767 $abc$51270$n922 -.sym 6768 $abc$51270$n1111 -.sym 6769 $abc$51270$n1174 -.sym 6770 KEYBOARD.report[4][5] -.sym 6771 KEYBOARD.report[4][1] -.sym 6772 $undef -.sym 6773 $undef -.sym 6774 $undef -.sym 6775 $undef -.sym 6776 $undef -.sym 6777 $undef -.sym 6778 $undef -.sym 6779 $undef -.sym 6780 KEYBOARD.ram_adr[0] -.sym 6781 KEYBOARD.ram_adr[1] -.sym 6782 $false -.sym 6783 KEYBOARD.ram_adr[2] -.sym 6784 KEYBOARD.ram_adr[3] -.sym 6785 KEYBOARD.ram_adr[4] -.sym 6786 KEYBOARD.ram_adr[5] -.sym 6787 KEYBOARD.ram_adr[6] -.sym 6788 KEYBOARD.ram_adr[7] -.sym 6789 KEYBOARD.ram_adr[8] -.sym 6790 $false -.sym 6791 CLK$2$2 -.sym 6792 $true -.sym 6793 $true$2 -.sym 6794 KEYBOARD.temp[5] -.sym 6795 $undef -.sym 6796 KEYBOARD.temp[6] -.sym 6797 $undef -.sym 6798 KEYBOARD.temp[7] -.sym 6799 $undef -.sym 6800 KEYBOARD.temp[4] -.sym 6801 $undef -.sym 6866 $abc$51270$n1117_1 -.sym 6867 $abc$51270$n1121 -.sym 6868 $abc$51270$n1463 -.sym 6869 $abc$51270$n1114 -.sym 6870 $abc$51270$n1514 -.sym 6871 $abc$51270$n1115 -.sym 6872 $abc$51270$n1148 -.sym 6873 KEYBOARD.report[4][2] -.sym 6968 $abc$51270$n1144 -.sym 6969 $abc$51270$n1141 -.sym 6970 $abc$51270$n1146 -.sym 6971 $abc$51270$n1398 -.sym 6972 $abc$51270$n1116 -.sym 6973 $abc$51270$n1125 -.sym 6974 report_data_wr[0] -.sym 6975 report_data_wr[2] -.sym 7070 $abc$51270$n680 -.sym 7071 $abc$51270$n1356 -.sym 7072 $abc$51270$n1360 -.sym 7073 $abc$51270$n1358 -.sym 7074 $abc$51270$n1350 -.sym 7075 KEYBOARD.report[1][5] -.sym 7076 KEYBOARD.report[1][1] -.sym 7077 KEYBOARD.report[1][0] -.sym 7086 $false -.sym 7087 $false -.sym 7088 $false -.sym 7089 $false -.sym 7090 $false -.sym 7091 $false -.sym 7092 $false -.sym 7093 $false -.sym 7094 $false -.sym 7095 $false -.sym 7096 $false -.sym 7097 $false -.sym 7098 $false$2 -.sym 7099 $false -.sym 7100 $false -.sym 7101 $false -.sym 7102 $false -.sym 7103 $false -.sym 7104 $false -.sym 7105 $false -.sym 7106 $false -.sym 7107 $false -.sym 7172 $abc$51270$n1129 -.sym 7173 $abc$51270$n1120 -.sym 7174 $abc$51270$n678 -.sym 7175 $abc$51270$n2362 -.sym 7176 $abc$51270$n1130 -.sym 7177 $abc$51270$n1048 -.sym 7178 $abc$51270$n683 -.sym 7179 KEYBOARD.ram_adr[3] -.sym 7188 I2C_HID_DESC.real_adress[0] -.sym 7189 I2C_HID_DESC.real_adress[1] -.sym 7190 $false -.sym 7191 I2C_HID_DESC.real_adress[2] -.sym 7192 I2C_HID_DESC.real_adress[3] -.sym 7193 I2C_HID_DESC.real_adress[4] -.sym 7194 I2C_HID_DESC.real_adress[5] -.sym 7195 I2C_HID_DESC.real_adress[6] -.sym 7196 I2C_HID_DESC.real_adress[7] -.sym 7197 $false -.sym 7198 $false -.sym 7199 CLK$2$2 -.sym 7200 $true -.sym 7201 $true$2 -.sym 7202 $false -.sym 7203 $false -.sym 7204 $false -.sym 7205 $false -.sym 7206 $false -.sym 7207 $false -.sym 7208 $false -.sym 7209 $false -.sym 7276 $abc$51270$n1058 -.sym 7277 $abc$51270$n1056 -.sym 7278 $abc$51270$n1467 -.sym 7280 $abc$51270$n1388 -.sym 7281 report_data_wr[1] -.sym 7282 $undef -.sym 7283 $undef -.sym 7284 $undef -.sym 7285 $undef -.sym 7286 $undef -.sym 7287 $undef -.sym 7288 $undef -.sym 7289 $undef -.sym 7290 report_data_wadr[0] -.sym 7291 report_data_wadr[1] +.sym 6426 I2C.i2c_start_latency +.sym 6560 $abc$19660$n765_1 +.sym 6562 $abc$19660$n764 +.sym 6565 $abc$19660$n1368 +.sym 6566 $abc$19660$n691 +.sym 6668 $abc$19660$n789_1 +.sym 6771 $abc$19660$n1648 +.sym 6873 $abc$19660$n1652 +.sym 6972 $abc$19660$n1645 +.sym 6973 $abc$19660$n532 +.sym 6974 $abc$19660$n578 +.sym 6975 $abc$19660$n548 +.sym 7070 $abc$19660$n576 +.sym 7071 $abc$19660$n602 +.sym 7072 $abc$19660$n1071_1 +.sym 7073 $abc$19660$n1677 +.sym 7074 $abc$19660$n594 +.sym 7075 $abc$19660$n520 +.sym 7076 $abc$19660$n590_1 +.sym 7077 $abc$19660$n577 +.sym 7172 $abc$19660$n534_1 +.sym 7175 $abc$19660$n536_1 +.sym 7177 $abc$19660$n535 +.sym 7178 $abc$19660$n537 +.sym 7179 $abc$19660$n191 +.sym 7276 $abc$19660$n743 +.sym 7277 $abc$19660$n700 +.sym 7278 $abc$19660$n727 +.sym 7281 $abc$19660$n699 +.sym 7290 $false +.sym 7291 $false .sym 7292 $false -.sym 7293 report_data_wadr[2] -.sym 7294 report_data_wadr[3] -.sym 7295 report_data_wadr[4] -.sym 7296 report_data_wadr[5] -.sym 7297 report_data_wadr[6] -.sym 7298 report_data_wadr[7] +.sym 7293 $false +.sym 7294 $false +.sym 7295 $false +.sym 7296 $false +.sym 7297 $false +.sym 7298 $false .sym 7299 $false .sym 7300 $false -.sym 7301 CLK$2$2 -.sym 7302 report_wr_en -.sym 7303 report_data_wr[0] -.sym 7304 $undef -.sym 7305 report_data_wr[1] -.sym 7306 $undef -.sym 7307 report_data_wr[2] -.sym 7308 $undef -.sym 7309 report_data_wr[3] -.sym 7310 $undef -.sym 7311 $true$2 -.sym 7380 $abc$51270$n2425 -.sym 7381 $abc$51270$n214 -.sym 7382 $abc$51270$n218 -.sym 7383 last_uart_active -.sym 7384 $undef -.sym 7385 $undef -.sym 7386 $undef -.sym 7387 $undef -.sym 7388 $undef -.sym 7389 $undef -.sym 7390 $undef -.sym 7391 $undef -.sym 7392 report_data_radr[0] -.sym 7393 report_data_radr[1] +.sym 7301 $false +.sym 7302 $false$2 +.sym 7303 $false +.sym 7304 $false +.sym 7305 $false +.sym 7306 $false +.sym 7307 $false +.sym 7308 $false +.sym 7309 $false +.sym 7310 $false +.sym 7311 $false +.sym 7376 $abc$19660$n1095 +.sym 7380 $abc$19660$n1081 +.sym 7382 $abc$19660$n930_1 +.sym 7383 $abc$19660$n1096_1 +.sym 7392 KEYBOARD.row_time[5] +.sym 7393 KEYBOARD.row_time[6] .sym 7394 $false -.sym 7395 report_data_radr[2] -.sym 7396 report_data_radr[3] -.sym 7397 report_data_radr[4] -.sym 7398 report_data_radr[5] -.sym 7399 report_data_radr[6] -.sym 7400 report_data_radr[7] +.sym 7395 KEYBOARD.row_time[7] +.sym 7396 KEYBOARD.row_counter[0] +.sym 7397 KEYBOARD.row_counter[1] +.sym 7398 KEYBOARD.row_counter[2] +.sym 7399 KEYBOARD.row_counter[3] +.sym 7400 $false .sym 7401 $false .sym 7402 $false .sym 7403 CLK$2$2 .sym 7404 $true .sym 7405 $true$2 -.sym 7406 report_data_wr[5] -.sym 7407 $undef -.sym 7408 report_data_wr[6] -.sym 7409 $undef -.sym 7410 report_data_wr[7] -.sym 7411 $undef -.sym 7412 report_data_wr[4] -.sym 7413 $undef -.sym 7479 $abc$51270$n1906 -.sym 7480 $abc$51270$n1909 -.sym 7481 $abc$51270$n1912 -.sym 7482 $abc$51270$n1224 -.sym 7483 $abc$51270$n1222 -.sym 7484 report_data_wadr[6] -.sym 7485 report_data_wadr[5] -.sym 7581 $6\report_data_wadr[7:0][5] -.sym 7582 $6\report_data_wadr[7:0][6] -.sym 7583 $6\report_data_wadr[7:0][7] -.sym 7584 $abc$51270$n1213 -.sym 7585 $abc$51270$n2522 -.sym 7586 $abc$51270$n1211 -.sym 7587 report_data_wadr[4] -.sym 7682 $abc$51270$n1226 -.sym 7683 $abc$51270$n1207 -.sym 7684 $abc$51270$n1928 -.sym 7685 $abc$51270$n2419 -.sym 7686 $abc$51270$n1924 -.sym 7687 report_data_wadr[3] -.sym 7689 report_data_wadr[7] -.sym 7786 $abc$51270$n1922 -.sym 7787 $auto$alumacc.cc:474:replace_alu$9609.C[4] -.sym 7788 $auto$alumacc.cc:474:replace_alu$9609.C[5] -.sym 7789 $auto$alumacc.cc:474:replace_alu$9609.C[6] -.sym 7790 $abc$51270$n1930 -.sym 7791 $abc$51270$n1926 -.sym 7888 init_ram_cnt[2] -.sym 7889 init_ram_cnt[3] -.sym 7890 init_ram_cnt[4] -.sym 7891 init_ram_cnt[5] -.sym 7892 init_ram_cnt[6] -.sym 7893 init_ram_cnt[7] -.sym 8118 $abc$51270$n708 -.sym 8119 $abc$51270$n704 -.sym 8121 $abc$51270$n2249 -.sym 8122 KEYBOARD.COLS_SHADOW[6] -.sym 8123 KEYBOARD.COLS_SHADOW[3] -.sym 8124 KEYBOARD.COLS_SHADOW[1] -.sym 8125 KEYBOARD.COLS_SHADOW[2] -.sym 8193 $abc$51270$n383 -.sym 8194 $abc$51270$n394 -.sym 8195 $abc$51270$n396 -.sym 8196 $false -.sym 8199 KEYBOARD.COLS_SHADOW[6] -.sym 8200 $abc$51270$n705 -.sym 8201 $abc$51270$n706 -.sym 8202 $abc$51270$n703 -.sym 8205 $abc$51270$n698 -.sym 8206 $abc$51270$n702 -.sym 8207 $abc$51270$n707 +.sym 7406 $false +.sym 7407 $false +.sym 7408 $false +.sym 7409 $false +.sym 7410 $false +.sym 7411 $false +.sym 7412 $false +.sym 7413 $false +.sym 7478 $abc$19660$n731 +.sym 7479 $abc$19660$n1083 +.sym 7481 $abc$19660$n193 +.sym 7482 $abc$19660$n729 +.sym 7484 $abc$19660$n722_1 +.sym 7580 $abc$19660$n1085 +.sym 7581 $abc$19660$n1082_1 +.sym 7582 $abc$19660$n949_1 +.sym 7583 $abc$19660$n734 +.sym 7584 $abc$19660$n732_1 +.sym 7585 $abc$19660$n1130 +.sym 7586 $abc$19660$n740 +.sym 7587 $abc$19660$n953_1 +.sym 7682 $abc$19660$n742 +.sym 7683 $abc$19660$n947_1 +.sym 7685 $abc$19660$n752_1 +.sym 7686 $abc$19660$n1128 +.sym 7687 $abc$19660$n741 +.sym 7688 $abc$19660$n956_1 +.sym 7689 $abc$19660$n1084_1 +.sym 7690 $undef +.sym 7691 $undef +.sym 7692 $undef +.sym 7693 $undef +.sym 7694 $undef +.sym 7695 $undef +.sym 7696 $undef +.sym 7697 $undef +.sym 7698 KEYBOARD.ram_adr[0] +.sym 7699 KEYBOARD.ram_adr[1] +.sym 7700 $false +.sym 7701 KEYBOARD.ram_adr[2] +.sym 7702 KEYBOARD.ram_adr[3] +.sym 7703 KEYBOARD.ram_adr[4] +.sym 7704 KEYBOARD.ram_adr[5] +.sym 7705 KEYBOARD.ram_adr[6] +.sym 7706 KEYBOARD.ram_adr[7] +.sym 7707 KEYBOARD.ram_adr[8] +.sym 7708 $false +.sym 7709 CLK$2$2 +.sym 7710 KEYBOARD.ram_wr +.sym 7711 KEYBOARD.temp[0] +.sym 7712 $undef +.sym 7713 KEYBOARD.temp[1] +.sym 7714 $undef +.sym 7715 KEYBOARD.temp[2] +.sym 7716 $undef +.sym 7717 KEYBOARD.temp[3] +.sym 7718 $undef +.sym 7719 $true$2 +.sym 7784 KEYBOARD.temp[4] +.sym 7785 KEYBOARD.temp[5] +.sym 7788 KEYBOARD.temp[0] +.sym 7791 KEYBOARD.temp[6] +.sym 7792 $undef +.sym 7793 $undef +.sym 7794 $undef +.sym 7795 $undef +.sym 7796 $undef +.sym 7797 $undef +.sym 7798 $undef +.sym 7799 $undef +.sym 7800 KEYBOARD.ram_adr[0] +.sym 7801 KEYBOARD.ram_adr[1] +.sym 7802 $false +.sym 7803 KEYBOARD.ram_adr[2] +.sym 7804 KEYBOARD.ram_adr[3] +.sym 7805 KEYBOARD.ram_adr[4] +.sym 7806 KEYBOARD.ram_adr[5] +.sym 7807 KEYBOARD.ram_adr[6] +.sym 7808 KEYBOARD.ram_adr[7] +.sym 7809 KEYBOARD.ram_adr[8] +.sym 7810 $false +.sym 7811 CLK$2$2 +.sym 7812 $true +.sym 7813 $true$2 +.sym 7814 KEYBOARD.temp[5] +.sym 7815 $undef +.sym 7816 KEYBOARD.temp[6] +.sym 7817 $undef +.sym 7818 KEYBOARD.temp[7] +.sym 7819 $undef +.sym 7820 KEYBOARD.temp[4] +.sym 7821 $undef +.sym 7886 $3\int_tmr[14:0][13] +.sym 7887 $3\int_tmr[14:0][11] +.sym 7888 $3\int_tmr[14:0][3] +.sym 7889 int_tmr[11] +.sym 7892 int_tmr[13] +.sym 7893 int_tmr[3] +.sym 8121 KEYBOARD.row_time[7] +.sym 8122 KEYBOARD.row_time[2] +.sym 8123 KEYBOARD.row_time[0] +.sym 8193 $abc$19660$n776 +.sym 8194 $abc$19660$n778 +.sym 8195 $abc$19660$n781 +.sym 8196 $abc$19660$n782 +.sym 8205 $false +.sym 8206 $false +.sym 8207 KEYBOARD.row_time[0] .sym 8208 $false -.sym 8211 KEYBOARD.COLS_SHADOW[1] -.sym 8212 $abc$51270$n713 +.sym 8211 KEYBOARD.row_time[1] +.sym 8212 KEYBOARD.row_time[0] .sym 8213 $false .sym 8214 $false -.sym 8217 $abc$51270$n704 -.sym 8218 KEYBOARD.COLS_SHADOW[7] -.sym 8219 $abc$51270$n700 -.sym 8220 KBD_COLUMNS[0]$2 -.sym 8223 $abc$51270$n708 -.sym 8224 $abc$51270$n710 -.sym 8225 $abc$51270$n712 +.sym 8223 KEYBOARD.COLS_SHADOW[1] +.sym 8224 KBD_COLUMNS[1]$2 +.sym 8225 $abc$19660$n727 .sym 8226 $false -.sym 8229 $abc$51270$n2316 -.sym 8230 $false -.sym 8231 $false -.sym 8232 $false -.sym 8235 $abc$51270$n2313 -.sym 8236 $false -.sym 8237 $false -.sym 8238 $false -.sym 8239 $abc$51270$n1365 -.sym 8240 CLK$2$2 -.sym 8241 $abc$51270$n35$2 .sym 8242 KBD_COLUMNS[2]$2 .sym 8244 KBD_COLUMNS[3]$2 -.sym 8246 $abc$51270$n2333 -.sym 8247 $abc$51270$n2334 -.sym 8248 $abc$51270$n709 -.sym 8249 $abc$51270$n1011 -.sym 8250 $abc$51270$n1629 -.sym 8251 I2C.FLT_SDA.counter[1] -.sym 8252 I2C.FLT_SDA.counter[0] -.sym 8253 I2C.FLT_SDA.counter[2] -.sym 8356 KEYBOARD.temp[6] -.sym 8357 KEYBOARD.RAM.r_data[6] -.sym 8358 $abc$51270$n700 -.sym 8359 $false -.sym 8362 $abc$51270$n2249 -.sym 8363 $abc$51270$n701 -.sym 8364 $false -.sym 8365 $false -.sym 8368 KEYBOARD.temp[1] -.sym 8369 KEYBOARD.RAM.r_data[1] -.sym 8370 $abc$51270$n713 -.sym 8371 $abc$51270$n700 -.sym 8374 $abc$51270$n1001 -.sym 8375 KEYBOARD.row_counter[0] -.sym 8376 KEYBOARD.row_counter[1] -.sym 8377 $false -.sym 8380 $abc$51270$n712 -.sym 8381 $abc$51270$n1339 +.sym 8246 KEYBOARD.row_time[10] +.sym 8247 KEYBOARD.row_time[8] +.sym 8248 KEYBOARD.row_time[14] +.sym 8251 KEYBOARD.row_time[5] +.sym 8252 KEYBOARD.row_time[6] +.sym 8380 $abc$19660$n1436 +.sym 8381 $false .sym 8382 $false .sym 8383 $false -.sym 8386 $abc$51270$n721 -.sym 8387 $abc$51270$n709 -.sym 8388 $abc$51270$n708 -.sym 8389 $false -.sym 8392 $abc$51270$n1344 -.sym 8393 $abc$51270$n697 -.sym 8394 $abc$51270$n705 -.sym 8395 $false -.sym 8398 KEYBOARD.temp[0] -.sym 8399 KBD_COLUMNS[0]$2 -.sym 8400 $abc$51270$n700 -.sym 8401 $false -.sym 8402 $abc$51270$n1417 +.sym 8402 $abc$19660$n293 .sym 8403 CLK$2$2 -.sym 8404 $abc$51270$n33$2 -.sym 8405 $abc$51270$n975 -.sym 8406 $abc$51270$n917_1 -.sym 8407 $abc$51270$n2314 -.sym 8408 $abc$51270$n977 -.sym 8409 $abc$51270$n1147 -.sym 8410 $abc$51270$n1122 -.sym 8411 KEYBOARD.report[3][0] -.sym 8412 KEYBOARD.report[3][7] -.sym 8479 KEYBOARD.temp[7] -.sym 8480 $abc$51270$n704 -.sym 8481 $abc$51270$n719 -.sym 8482 $abc$51270$n720 -.sym 8485 $abc$51270$n705 -.sym 8486 KEYBOARD.temp[6] -.sym 8487 $abc$51270$n700 -.sym 8488 KEYBOARD.RAM.r_data[0] -.sym 8491 KEYBOARD.temp[4] -.sym 8492 KEYBOARD.temp[5] -.sym 8493 $abc$51270$n383 -.sym 8494 $abc$51270$n396 -.sym 8497 $abc$51270$n27 -.sym 8498 KEYBOARD.kbd_code_hid[1] -.sym 8499 $false -.sym 8500 $false -.sym 8503 $abc$51270$n27 -.sym 8504 KEYBOARD.kbd_code_hid[6] -.sym 8505 $false -.sym 8506 $false -.sym 8509 $abc$51270$n2315 -.sym 8510 $false -.sym 8511 $false +.sym 8404 $false +.sym 8407 $abc$19660$n832 +.sym 8408 $abc$19660$n811 +.sym 8409 $abc$19660$n1559 +.sym 8410 I2C.FLT_SCL.counter[1] +.sym 8412 I2C.FLT_SCL.counter[2] +.sym 8479 $abc$19660$n786 +.sym 8480 $abc$19660$n787 +.sym 8481 $abc$19660$n789 +.sym 8482 $abc$19660$n790 +.sym 8491 $abc$19660$n792 +.sym 8492 $abc$19660$n793 +.sym 8493 $abc$19660$n797 +.sym 8494 $abc$19660$n765_1 +.sym 8509 KEYBOARD.COLS_SHADOW[6] +.sym 8510 KBD_COLUMNS[6]$2 +.sym 8511 $abc$19660$n727 .sym 8512 $false -.sym 8515 $abc$51270$n2316 -.sym 8516 $false -.sym 8517 $false -.sym 8518 $false -.sym 8521 $abc$51270$n2312 -.sym 8522 $false -.sym 8523 $false -.sym 8524 $false -.sym 8525 $abc$51270$n1354 -.sym 8526 CLK$2$2 -.sym 8527 $abc$51270$n35$2 -.sym 8528 $abc$51270$n930 -.sym 8529 $abc$51270$n931 -.sym 8530 $abc$51270$n927 -.sym 8531 $abc$51270$n928 -.sym 8532 $abc$51270$n926 -.sym 8533 $abc$51270$n932 -.sym 8534 $abc$51270$n934_1 -.sym 8535 KEYBOARD.ram_wr -.sym 8602 KEYBOARD.init_ram_cnt[8] -.sym 8603 $abc$51270$n29 -.sym 8604 $abc$51270$n1320 -.sym 8605 $false -.sym 8608 KEYBOARD.temp[5] -.sym 8609 KEYBOARD.RAM.r_data[5] -.sym 8610 $abc$51270$n711 -.sym 8611 $abc$51270$n700 -.sym 8614 KEYBOARD.kbd_code_hid[4] -.sym 8615 KEYBOARD.report[5][4] -.sym 8616 KEYBOARD.kbd_code_hid[5] -.sym 8617 KEYBOARD.report[5][5] -.sym 8620 $abc$51270$n396 -.sym 8621 $abc$51270$n394 -.sym 8622 KEYBOARD.temp[4] -.sym 8623 $false -.sym 8626 $abc$51270$n1115 -.sym 8627 KEYBOARD.report[2][2] -.sym 8628 $abc$51270$n1113 -.sym 8629 KEYBOARD.report[5][2] -.sym 8632 $abc$51270$n710 -.sym 8633 $abc$51270$n1342 -.sym 8634 $false -.sym 8635 $false -.sym 8638 KEYBOARD.COLS_SHADOW[7] -.sym 8639 $abc$51270$n1337 -.sym 8640 $abc$51270$n704 +.sym 8515 $abc$19660$n787 +.sym 8516 $abc$19660$n789 +.sym 8517 $abc$19660$n792 +.sym 8518 $abc$19660$n797 +.sym 8529 $abc$19660$n776_1 +.sym 8530 $abc$19660$n1558 +.sym 8531 $abc$19660$n329 +.sym 8532 $abc$19660$n330 +.sym 8533 $abc$19660$n812 +.sym 8534 $abc$19660$n829 +.sym 8535 I2C.FLT_SCL.counter[0] +.sym 8638 $abc$19660$n780 +.sym 8639 $abc$19660$n660 +.sym 8640 $false .sym 8641 $false -.sym 8644 KEYBOARD.RAM.r_data[4] -.sym 8645 $abc$51270$n700 -.sym 8646 $abc$51270$n1333 -.sym 8647 $abc$51270$n706 -.sym 8648 $abc$51270$n1417 -.sym 8649 CLK$2$2 -.sym 8650 $abc$51270$n33$2 -.sym 8651 $abc$51270$n1172 -.sym 8652 $abc$51270$n1133 -.sym 8653 $abc$51270$n1176 -.sym 8654 $abc$51270$n1175 -.sym 8655 $abc$51270$n1124 -.sym 8656 $abc$51270$n1123 -.sym 8657 KEYBOARD.report[5][7] -.sym 8658 KEYBOARD.report[5][1] -.sym 8725 $abc$51270$n1115 -.sym 8726 KEYBOARD.report[2][0] -.sym 8727 $abc$51270$n1113 -.sym 8728 KEYBOARD.report[5][0] -.sym 8731 $abc$51270$n1114 -.sym 8732 $abc$51270$n260 -.sym 8733 $false -.sym 8734 $false -.sym 8737 KEYBOARD.report[2][5] -.sym 8738 $abc$51270$n1115 -.sym 8739 $abc$51270$n1174 -.sym 8740 $false -.sym 8743 KEYBOARD.report[4][0] -.sym 8744 KEYBOARD.report[4][1] -.sym 8745 KEYBOARD.report[4][2] -.sym 8746 KEYBOARD.report[4][3] -.sym 8749 $abc$51270$n1112_1 -.sym 8750 $abc$51270$n1116 -.sym 8751 $abc$51270$n1119_1 -.sym 8752 $abc$51270$n1122 -.sym 8755 KEYBOARD.report[1][5] -.sym 8756 KEYBOARD.report[5][5] -.sym 8757 $abc$51270$n260 -.sym 8758 $abc$51270$n1114 -.sym 8761 $abc$51270$n2316 +.sym 8651 $abc$19660$n527 +.sym 8652 $abc$19660$n785 +.sym 8653 $abc$19660$n781_1 +.sym 8655 $abc$19660$n271 +.sym 8656 $abc$19660$n531 +.sym 8658 $abc$19660$n530 +.sym 8687 $true +.sym 8724 $abc$19660$n536$2 +.sym 8725 $false +.sym 8726 $abc$19660$n536 +.sym 8727 $false +.sym 8728 $false +.sym 8730 $auto$alumacc.cc:474:replace_alu$5175.C[3] +.sym 8732 $false +.sym 8733 $abc$19660$n534 +.sym 8736 $auto$alumacc.cc:474:replace_alu$5175.C[4] +.sym 8738 $false +.sym 8739 $abc$19660$n533 +.sym 8742 $auto$alumacc.cc:474:replace_alu$5175.C[5] +.sym 8744 $false +.sym 8745 $abc$19660$n531 +.sym 8748 $auto$alumacc.cc:474:replace_alu$5175.C[6] +.sym 8750 $false +.sym 8751 $abc$19660$n530 +.sym 8754 $auto$alumacc.cc:474:replace_alu$5175.C[7] +.sym 8756 $false +.sym 8757 $abc$19660$n528 +.sym 8760 $abc$19660$n1648$2 .sym 8762 $false -.sym 8763 $false -.sym 8764 $false -.sym 8767 $abc$51270$n2312 -.sym 8768 $false -.sym 8769 $false -.sym 8770 $false -.sym 8771 $abc$51270$n1325 -.sym 8772 CLK$2$2 -.sym 8773 $abc$51270$n35$2 -.sym 8774 $abc$51270$n994 -.sym 8775 $abc$51270$n1503_1 -.sym 8776 $abc$51270$n1494_1 -.sym 8777 $abc$51270$n1134 -.sym 8778 $abc$51270$n1492 -.sym 8779 $abc$51270$n905 -.sym 8780 $abc$51270$n1500_1 -.sym 8781 KEYBOARD.report[1][7] -.sym 8848 $abc$51270$n260 -.sym 8849 $abc$51270$n1114 +.sym 8763 $abc$19660$n527 +.sym 8770 $abc$19660$n1648$2 +.sym 8774 $abc$19660$n553 +.sym 8775 $abc$19660$n551 +.sym 8776 $abc$19660$n550_1 +.sym 8777 $abc$19660$n531_1 +.sym 8778 $abc$19660$n528 +.sym 8779 $abc$19660$n538 +.sym 8780 $abc$19660$n1680 +.sym 8781 $abc$19660$n549 +.sym 8810 $true +.sym 8847 $abc$19660$n536$3 +.sym 8848 $false +.sym 8849 $abc$19660$n536 .sym 8850 $false .sym 8851 $false -.sym 8854 $abc$51270$n253 -.sym 8855 wr_cnt[0] -.sym 8856 wr_cnt[1] -.sym 8857 $abc$51270$n260 -.sym 8860 KEYBOARD.kbd_code_hid[5] -.sym 8861 KEYBOARD.report[1][5] -.sym 8862 KEYBOARD.kbd_code_hid[6] -.sym 8863 KEYBOARD.report[1][6] -.sym 8866 wr_cnt[0] -.sym 8867 wr_cnt[1] -.sym 8868 $abc$51270$n253 -.sym 8869 $false -.sym 8872 $false -.sym 8873 UART.tx_clk_counter[0] -.sym 8874 $false -.sym 8875 $false -.sym 8878 $abc$51270$n253 -.sym 8879 $abc$51270$n260 -.sym 8880 wr_cnt[0] -.sym 8881 wr_cnt[1] -.sym 8884 $abc$51270$n1118 -.sym 8885 KEYBOARD.report[0][2] -.sym 8886 $abc$51270$n1121 -.sym 8887 KEYBOARD.report[4][2] -.sym 8890 $abc$51270$n2313 -.sym 8891 $false -.sym 8892 $false -.sym 8893 $false -.sym 8894 $abc$51270$n1325 -.sym 8895 CLK$2$2 -.sym 8896 $abc$51270$n35$2 -.sym 8897 $abc$51270$n904 -.sym 8898 $abc$51270$n1493_1 -.sym 8899 $abc$51270$n906 -.sym 8900 $abc$51270$n1132 -.sym 8901 $abc$51270$n1159_1 -.sym 8902 $abc$51270$n1466 -.sym 8903 UART_TX_DATA[2] -.sym 8904 UART_TX_DATA[6] -.sym 8971 $abc$51270$n1145 -.sym 8972 $abc$51270$n1146 -.sym 8973 $abc$51270$n1147 -.sym 8974 $abc$51270$n1148 -.sym 8977 KEYBOARD.report[0][2] -.sym 8978 KEYBOARD.report[1][2] -.sym 8979 $abc$51270$n1128 -.sym 8980 $false -.sym 8983 KEYBOARD.report[1][2] -.sym 8984 $abc$51270$n1117_1 -.sym 8985 $abc$51270$n1120 -.sym 8986 $false -.sym 8989 KEYBOARD.init_ram_cnt[8] -.sym 8990 $abc$51270$n1001 -.sym 8991 $abc$51270$n1320 -.sym 8992 $false -.sym 8995 $abc$51270$n1118 -.sym 8996 KEYBOARD.report[0][0] -.sym 8997 $abc$51270$n1117_1 -.sym 8998 KEYBOARD.report[1][0] -.sym 9001 KEYBOARD.report[0][0] -.sym 9002 KEYBOARD.report[1][0] -.sym 9003 $abc$51270$n1128 +.sym 8853 $auto$alumacc.cc:474:replace_alu$5193.C[3] +.sym 8855 $true$2 +.sym 8856 $abc$19660$n534 +.sym 8859 $auto$alumacc.cc:474:replace_alu$5193.C[4] +.sym 8861 $false +.sym 8862 $abc$19660$n533 +.sym 8865 $auto$alumacc.cc:474:replace_alu$5193.C[5] +.sym 8867 $false +.sym 8868 $abc$19660$n531 +.sym 8871 $auto$alumacc.cc:474:replace_alu$5193.C[6] +.sym 8873 $false +.sym 8874 $abc$19660$n530 +.sym 8877 $auto$alumacc.cc:474:replace_alu$5193.C[7] +.sym 8879 $false +.sym 8880 $abc$19660$n528 +.sym 8883 $abc$19660$n1652$2 +.sym 8885 $false +.sym 8886 $abc$19660$n527 +.sym 8893 $abc$19660$n1652$2 +.sym 8897 $abc$19660$n586 +.sym 8898 $abc$19660$n530_1 +.sym 8899 $abc$19660$n584_1 +.sym 8900 $abc$19660$n587 +.sym 8901 $abc$19660$n529 +.sym 8902 $abc$19660$n604 +.sym 8903 $abc$19660$n588_1 +.sym 8904 $abc$19660$n603 +.sym 8933 $true +.sym 8970 $abc$19660$n524$2 +.sym 8971 $false +.sym 8972 $abc$19660$n524 +.sym 8973 $false +.sym 8974 $false +.sym 8976 $auto$alumacc.cc:474:replace_alu$5164.C[2] +.sym 8978 $false +.sym 8979 $abc$19660$n523 +.sym 8982 $auto$alumacc.cc:474:replace_alu$5164.C[3] +.sym 8984 $true$2 +.sym 8985 $abc$19660$n1677 +.sym 8988 $abc$19660$n1645$2 +.sym 8990 $false +.sym 8991 $abc$19660$n520 +.sym 8998 $abc$19660$n1645$2 +.sym 9001 I2C.received_byte[3] +.sym 9002 I2C.received_byte[1] +.sym 9003 I2C.received_byte[2] .sym 9004 $false -.sym 9007 $abc$51270$n1120 -.sym 9008 $abc$51270$n1125 -.sym 9009 $abc$51270$n1111 -.sym 9010 $abc$51270$n1129 -.sym 9013 $abc$51270$n1120 -.sym 9014 $abc$51270$n1141 -.sym 9015 $abc$51270$n1144 -.sym 9016 $abc$51270$n1129 -.sym 9017 $abc$51270$n950$2 -.sym 9018 CLK$2$2 -.sym 9019 $abc$51270$n23$2 -.sym 9020 $abc$51270$n679 -.sym 9021 $abc$51270$n1475_1 -.sym 9022 KEYBOARD.report[0][2] -.sym 9023 KEYBOARD.report[0][6] -.sym 9024 KEYBOARD.report[0][5] -.sym 9025 KEYBOARD.report[0][1] -.sym 9026 KEYBOARD.report[0][7] -.sym 9027 KEYBOARD.report[0][4] -.sym 9094 $abc$51270$n2383 -.sym 9095 $abc$51270$n2384 -.sym 9096 $false -.sym 9097 $false -.sym 9100 KEYBOARD.kbd_code_hid[1] -.sym 9101 KEYBOARD.kbd_code_hid[0] -.sym 9102 KEYBOARD.kbd_code_hid[2] +.sym 9007 $abc$19660$n532 +.sym 9008 $abc$19660$n531_1 +.sym 9009 $abc$19660$n1648 +.sym 9010 $false +.sym 9013 I2C.received_byte[0] +.sym 9014 $abc$19660$n532 +.sym 9015 $abc$19660$n531_1 +.sym 9016 $false +.sym 9020 $abc$19660$n607 +.sym 9021 $abc$19660$n552 +.sym 9022 $abc$19660$n555_1 +.sym 9023 $abc$19660$n606 +.sym 9024 $abc$19660$n533_1 +.sym 9025 $abc$19660$n524 +.sym 9026 $abc$19660$n547 +.sym 9027 $abc$19660$n527_1 +.sym 9094 $abc$19660$n556 +.sym 9095 i2c_input_data_type[1] +.sym 9096 $abc$19660$n577 +.sym 9097 I2C.received_byte[1] +.sym 9100 $abc$19660$n577 +.sym 9101 I2C.received_byte[0] +.sym 9102 $false .sym 9103 $false -.sym 9106 KEYBOARD.kbd_code_hid[0] -.sym 9107 KEYBOARD.kbd_code_hid[1] -.sym 9108 KEYBOARD.kbd_code_hid[2] +.sym 9106 $abc$19660$n558 +.sym 9107 $abc$19660$n556 +.sym 9108 i2c_input_data_type[2] .sym 9109 $false -.sym 9112 KEYBOARD.kbd_code_hid[0] -.sym 9113 KEYBOARD.kbd_code_hid[1] -.sym 9114 KEYBOARD.kbd_code_hid[2] -.sym 9115 $false -.sym 9118 KEYBOARD.kbd_code_hid[0] -.sym 9119 KEYBOARD.kbd_code_hid[2] -.sym 9120 KEYBOARD.kbd_code_hid[1] -.sym 9121 $false -.sym 9124 $abc$51270$n2316 -.sym 9125 $false -.sym 9126 $false -.sym 9127 $false -.sym 9130 $abc$51270$n2312 -.sym 9131 $false -.sym 9132 $false -.sym 9133 $false -.sym 9136 $abc$51270$n2311 -.sym 9137 $false -.sym 9138 $false -.sym 9139 $false -.sym 9140 $abc$51270$n1374 -.sym 9141 CLK$2$2 -.sym 9142 $abc$51270$n35$2 -.sym 9143 $abc$51270$n1169 -.sym 9144 $abc$51270$n1471 -.sym 9145 $abc$51270$n1170 -.sym 9146 report_data_wr[5] -.sym 9147 report_data_wr[7] -.sym 9148 report_data_wr[4] -.sym 9149 report_data_wr[3] -.sym 9150 report_data_wr[6] -.sym 9217 $abc$51270$n690 -.sym 9218 $abc$51270$n1130 -.sym 9219 $false -.sym 9220 $false -.sym 9223 wr_cnt[0] -.sym 9224 wr_cnt[2] -.sym 9225 wr_cnt[3] -.sym 9226 wr_cnt[1] -.sym 9229 $abc$51270$n682 -.sym 9230 $abc$51270$n679 -.sym 9231 $abc$51270$n2362 -.sym 9232 $abc$51270$n2348 -.sym 9235 $false -.sym 9236 $false -.sym 9237 $abc$51270$n2451 +.sym 9112 $abc$19660$n584_1 +.sym 9113 $abc$19660$n1071_1 +.sym 9114 $abc$19660$n590_1 +.sym 9115 $abc$19660$n556 +.sym 9118 $abc$19660$n548 +.sym 9119 $abc$19660$n547 +.sym 9120 $abc$19660$n558 +.sym 9121 $abc$19660$n556 +.sym 9124 $abc$19660$n594 +.sym 9125 i2c_input_data_type[3] +.sym 9126 $abc$19660$n577 +.sym 9127 I2C.received_byte[3] +.sym 9130 $abc$19660$n578 +.sym 9131 $abc$19660$n558 +.sym 9132 I2C.received_byte[2] +.sym 9133 $abc$19660$n1652 +.sym 9136 $abc$19660$n578 +.sym 9137 $abc$19660$n558 +.sym 9138 $abc$19660$n556 +.sym 9139 $abc$19660$n1652 +.sym 9143 $abc$19660$n1069_1 +.sym 9144 $abc$19660$n1070 +.sym 9145 $abc$19660$n1068 +.sym 9146 $abc$19660$n1091_1 +.sym 9147 $abc$19660$n1092 +.sym 9148 i2c_input_data_type[0] +.sym 9149 i2c_input_data_type[3] +.sym 9150 i2c_input_data_type[2] +.sym 9217 $abc$19660$n537 +.sym 9218 $abc$19660$n531_1 +.sym 9219 $abc$19660$n536_1 +.sym 9220 $abc$19660$n535 +.sym 9235 i2c_input_data_type[0] +.sym 9236 I2C.received_byte[0] +.sym 9237 $false .sym 9238 $false -.sym 9241 wr_cnt[2] -.sym 9242 wr_cnt[3] -.sym 9243 wr_cnt[0] -.sym 9244 $false -.sym 9247 REPORT_DATA.r_data[2] -.sym 9248 I2C_HID_DESC.VAL[2] -.sym 9249 I2C_OUT_DESC_MASK[2] +.sym 9247 i2c_input_data_type[3] +.sym 9248 i2c_input_data_type[1] +.sym 9249 i2c_input_data_type[2] .sym 9250 $false -.sym 9253 $abc$51270$n685 -.sym 9254 $abc$51270$n684 -.sym 9255 $abc$51270$n2348 -.sym 9256 $abc$51270$n2362 -.sym 9259 KEYBOARD.init_ram_cnt[3] -.sym 9260 $abc$51270$n30 -.sym 9261 KEYBOARD.init_ram_cnt[8] +.sym 9253 I2C.received_byte[3] +.sym 9254 I2C.received_byte[2] +.sym 9255 I2C.received_byte[1] +.sym 9256 $false +.sym 9259 IS_RAM_INIT +.sym 9260 KEYBOARD.IS_RAM_INIT$2 +.sym 9261 I2C.FLT_SCL.RESET$2 .sym 9262 $false -.sym 9263 $abc$51270$n1398 -.sym 9264 CLK$2$2 -.sym 9265 $false -.sym 9266 $abc$51270$n1100 -.sym 9267 $abc$51270$n1095 -.sym 9268 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 9269 I2C_OUT_DESC_MASK[7] -.sym 9270 I2C_OUTPUT_TYPE[1] -.sym 9271 I2C_OUT_DESC_MASK[6] -.sym 9272 I2C_OUT_DESC_MASK[3] -.sym 9273 I2C_OUTPUT_TYPE[2] -.sym 9352 REPORT_DATA.r_data[7] -.sym 9353 I2C_TX_DESC[7] -.sym 9354 I2C_OUT_DESC_MASK[7] -.sym 9355 $false -.sym 9358 REPORT_DATA.r_data[6] -.sym 9359 I2C_TX_DESC[6] -.sym 9360 I2C_OUT_DESC_MASK[6] +.sym 9267 $abc$19660$n852 +.sym 9268 $abc$19660$n1094 +.sym 9271 $abc$19660$n1093_1 +.sym 9272 i2c_input_data_type[1] +.sym 9352 $abc$19660$n565 +.sym 9353 $abc$19660$n567 +.sym 9354 $abc$19660$n555 +.sym 9355 KEYBOARD.COLS_SHADOW[4] +.sym 9358 KEYBOARD.kbd_code_hid[5] +.sym 9359 KEYBOARD.kbd_code_hid[6] +.sym 9360 KEYBOARD.kbd_code_hid[7] .sym 9361 $false -.sym 9364 wr_cnt[1] -.sym 9365 $abc$51270$n1466 -.sym 9366 wr_cnt[0] -.sym 9367 wr_cnt[3] -.sym 9376 KEYBOARD.init_ram_cnt[8] -.sym 9377 $abc$51270$n896_1 -.sym 9378 I2C.FLT_SCL.RESET -.sym 9379 $false -.sym 9382 wr_cnt[2] -.sym 9383 $abc$51270$n1467 -.sym 9384 $abc$51270$n1132 -.sym 9385 $abc$51270$n690 -.sym 9386 $abc$51270$n950$2 -.sym 9387 CLK$2$2 -.sym 9388 $abc$51270$n23$2 -.sym 9389 $abc$51270$n743 -.sym 9390 $abc$51270$n758 -.sym 9391 $abc$51270$n757 -.sym 9392 $abc$51270$n764 -.sym 9393 $abc$51270$n738 -.sym 9394 $abc$51270$n753 -.sym 9395 $abc$51270$n1102 -.sym 9396 I2C_OUTPUT_TYPE[0] -.sym 9425 $true -.sym 9462 $abc$51270$n218$2 -.sym 9463 $false -.sym 9464 $abc$51270$n218 -.sym 9465 $false -.sym 9466 $false -.sym 9468 $auto$alumacc.cc:474:replace_alu$9552.C[2] -.sym 9470 $false -.sym 9471 $abc$51270$n217 -.sym 9474 $auto$alumacc.cc:474:replace_alu$9552.C[3] -.sym 9476 $true$2 -.sym 9477 $abc$51270$n2463 -.sym 9480 $abc$51270$n2425$2 -.sym 9482 $false -.sym 9483 $abc$51270$n214 -.sym 9490 $abc$51270$n2425$2 -.sym 9493 i2c_input_data_type[3] -.sym 9494 $false -.sym 9495 $false -.sym 9496 $false -.sym 9499 i2c_input_data_type[0] -.sym 9500 $false -.sym 9501 $false -.sym 9502 $false -.sym 9505 UART.tx_activity -.sym 9506 $false -.sym 9507 $false -.sym 9508 $false -.sym 9509 $abc$51270$n1159 -.sym 9510 CLK$2$2 -.sym 9511 $abc$51270$n35$2 -.sym 9512 $abc$51270$n763 -.sym 9513 $abc$51270$n796 -.sym 9514 $abc$51270$n1448 -.sym 9515 $abc$51270$n747 -.sym 9516 $abc$51270$n744 -.sym 9517 $2\UART_WR[0:0] -.sym 9518 $abc$51270$n1159 -.sym 9519 UART_WR -.sym 9548 $false -.sym 9585 $auto$maccmap.cc:240:synth$10566.C[5] -.sym 9587 $abc$51270$n2417 -.sym 9588 $abc$51270$n2419 -.sym 9591 $auto$maccmap.cc:240:synth$10566.C[6] -.sym 9592 $false -.sym 9593 $2\ring_wr[3:0][2] -.sym 9594 $abc$51270$n2512 -.sym 9595 $auto$maccmap.cc:240:synth$10566.C[5] -.sym 9597 $auto$maccmap.cc:240:synth$10566.C[7] -.sym 9598 $false -.sym 9599 $2\ring_wr[3:0][3] -.sym 9600 $false -.sym 9601 $auto$maccmap.cc:240:synth$10566.C[6] -.sym 9604 $false -.sym 9605 $false +.sym 9364 $abc$19660$n555 +.sym 9365 $abc$19660$n565 +.sym 9366 $abc$19660$n567 +.sym 9367 $false +.sym 9382 KEYBOARD.kbd_code_hid[3] +.sym 9383 KEYBOARD.kbd_code_hid[4] +.sym 9384 $abc$19660$n700 +.sym 9385 $false +.sym 9389 $0\temp_output_report[2:0][2] +.sym 9390 $abc$19660$n46 +.sym 9391 $0\temp_output_report[2:0][1] +.sym 9392 $abc$19660$n928_1 +.sym 9393 $0\temp_output_report[2:0][0] +.sym 9394 temp_output_report[0] +.sym 9395 temp_output_report[2] +.sym 9396 temp_output_report[1] +.sym 9463 KEYBOARD.kbd_code_hid[2] +.sym 9464 KEYBOARD.kbd_code_hid[0] +.sym 9465 KEYBOARD.kbd_code_hid[1] +.sym 9466 $abc$19660$n699 +.sym 9487 $abc$19660$n1359 +.sym 9488 $abc$19660$n1368 +.sym 9489 $abc$19660$n555 +.sym 9490 $abc$19660$n567 +.sym 9499 KEYBOARD.is_pressed +.sym 9500 KEYBOARD.kbd_code_hid[2] +.sym 9501 KEYBOARD.kbd_code_hid[1] +.sym 9502 KEYBOARD.kbd_code_hid[0] +.sym 9505 KEYBOARD.REPORT.r_data[1] +.sym 9506 $abc$19660$n699 +.sym 9507 KEYBOARD.is_pressed +.sym 9508 $abc$19660$n1095 +.sym 9516 $abc$19660$n721_1 +.sym 9517 $abc$19660$n1285 +.sym 9519 $abc$19660$n1287 +.sym 9586 $abc$19660$n555 +.sym 9587 $abc$19660$n567 +.sym 9588 $abc$19660$n565 +.sym 9589 $false +.sym 9592 $abc$19660$n565 +.sym 9593 $abc$19660$n1081 +.sym 9594 $abc$19660$n729 +.sym 9595 $abc$19660$n1082_1 +.sym 9604 $abc$19660$n722_1 +.sym 9605 $abc$19660$n196 .sym 9606 $false -.sym 9607 $auto$maccmap.cc:240:synth$10566.C[7] -.sym 9610 $6\report_data_wadr[7:0][6] -.sym 9611 $abc$51270$n1909 -.sym 9612 $abc$51270$n690 -.sym 9613 $abc$51270$n22 -.sym 9616 $6\report_data_wadr[7:0][5] -.sym 9617 $abc$51270$n1906 -.sym 9618 $abc$51270$n690 -.sym 9619 $abc$51270$n22 -.sym 9622 $abc$51270$n1197 -.sym 9623 $abc$51270$n1928 -.sym 9624 $abc$51270$n22 -.sym 9625 $abc$51270$n1224 -.sym 9628 $abc$51270$n1197 -.sym 9629 $abc$51270$n1926 -.sym 9630 $abc$51270$n22 -.sym 9631 $abc$51270$n1222 -.sym 9632 $abc$51270$n950$2 -.sym 9633 CLK$2$2 -.sym 9634 $false -.sym 9635 $abc$51270$n2417 -.sym 9636 $abc$51270$n1220 -.sym 9637 $abc$51270$n2519 -.sym 9638 $abc$51270$n2512 -.sym 9639 $abc$51270$n784 -.sym 9640 $abc$51270$n2414 -.sym 9641 $abc$51270$n700 -.sym 9642 $abc$51270$n8 -.sym 9671 $false -.sym 9708 $auto$maccmap.cc:240:synth$10495.C[5] -.sym 9710 $abc$51270$n2414 -.sym 9711 $abc$51270$n2416 -.sym 9714 $auto$maccmap.cc:240:synth$10495.C[6] -.sym 9715 $false -.sym 9716 $abc$51270$n2519 -.sym 9717 $abc$51270$n2521 -.sym 9718 $auto$maccmap.cc:240:synth$10495.C[5] -.sym 9720 $auto$maccmap.cc:240:synth$10495.C[7] -.sym 9721 $false -.sym 9722 ring_wr[3] -.sym 9723 $abc$51270$n2522 -.sym 9724 $auto$maccmap.cc:240:synth$10495.C[6] -.sym 9727 $false -.sym 9728 $false -.sym 9729 $false -.sym 9730 $auto$maccmap.cc:240:synth$10495.C[7] -.sym 9733 $abc$51270$n1216 -.sym 9734 $abc$51270$n2417 -.sym 9735 $abc$51270$n2419 -.sym 9736 $abc$51270$n690 -.sym 9739 wr_cnt[3] -.sym 9740 ring_wr[1] -.sym 9741 ring_wr[3] -.sym 9742 ring_wr[2] -.sym 9745 wr_cnt[3] -.sym 9746 ring_wr[2] -.sym 9747 $false -.sym 9748 $false -.sym 9751 $abc$51270$n1197 -.sym 9752 $abc$51270$n1924 -.sym 9753 $abc$51270$n1213 -.sym 9754 $abc$51270$n22 -.sym 9755 $abc$51270$n950$2 -.sym 9756 CLK$2$2 -.sym 9757 $false -.sym 9758 $abc$51270$n1201 -.sym 9759 $abc$51270$n1200_1 -.sym 9760 $abc$51270$n1204 -.sym 9761 $abc$51270$n1205_1 -.sym 9762 $abc$51270$n1203 -.sym 9763 report_data_wadr[1] -.sym 9765 report_data_wadr[2] -.sym 9832 $6\report_data_wadr[7:0][7] -.sym 9833 $abc$51270$n1912 -.sym 9834 $abc$51270$n690 -.sym 9835 $abc$51270$n22 -.sym 9838 $abc$51270$n690 -.sym 9839 $2\ring_wr[3:0][0] -.sym 9840 $2\ring_wr[3:0][2] -.sym 9841 $abc$51270$n1208 -.sym 9844 $false -.sym 9845 init_ram_cnt[6] -.sym 9846 $false -.sym 9847 $auto$alumacc.cc:474:replace_alu$9609.C[6] -.sym 9850 $2\ring_wr[3:0][2] -.sym 9851 $2\ring_wr[3:0][0] -.sym 9852 $false +.sym 9607 $false +.sym 9610 $abc$19660$n1356 +.sym 9611 $abc$19660$n731 +.sym 9612 $false +.sym 9613 $false +.sym 9622 $abc$19660$n1083 +.sym 9623 $abc$19660$n1130 +.sym 9624 $false +.sym 9625 $false +.sym 9635 $abc$19660$n735_1 +.sym 9636 $abc$19660$n737 +.sym 9709 $abc$19660$n752_1 +.sym 9710 KEYBOARD.temp[2] +.sym 9711 $abc$19660$n565 +.sym 9712 $abc$19660$n567 +.sym 9715 $abc$19660$n732_1 +.sym 9716 $abc$19660$n735_1 +.sym 9717 $abc$19660$n743 +.sym 9718 $abc$19660$n740 +.sym 9721 KEYBOARD.temp[2] +.sym 9722 KEYBOARD.RAM.r_data[2] +.sym 9723 $abc$19660$n731 +.sym 9724 $abc$19660$n727 +.sym 9727 $abc$19660$n555 +.sym 9728 $abc$19660$n565 +.sym 9729 $abc$19660$n567 +.sym 9730 $false +.sym 9733 $abc$19660$n1353 +.sym 9734 $abc$19660$n734 +.sym 9735 $false +.sym 9736 $false +.sym 9739 $abc$19660$n1084_1 +.sym 9740 $abc$19660$n1085 +.sym 9741 $abc$19660$n1128 +.sym 9742 $abc$19660$n1129 +.sym 9745 $abc$19660$n741 +.sym 9746 KEYBOARD.COLS_SHADOW[7] +.sym 9747 $abc$19660$n727 +.sym 9748 KBD_COLUMNS[0]$2 +.sym 9751 KEYBOARD.temp[1] +.sym 9752 KEYBOARD.RAM.r_data[1] +.sym 9753 $abc$19660$n734 +.sym 9754 $abc$19660$n727 +.sym 9758 $3\int_tmr[14:0][6] +.sym 9759 $3\int_tmr[14:0][2] +.sym 9760 $3\int_tmr[14:0][8] +.sym 9761 $3\int_tmr[14:0][4] +.sym 9762 int_tmr[6] +.sym 9763 int_tmr[8] +.sym 9764 int_tmr[4] +.sym 9765 int_tmr[2] +.sym 9832 $abc$19660$n567 +.sym 9833 $abc$19660$n565 +.sym 9834 $abc$19660$n555 +.sym 9835 $false +.sym 9838 $abc$19660$n565 +.sym 9839 $abc$19660$n567 +.sym 9840 KEYBOARD.temp[4] +.sym 9841 $abc$19660$n743 +.sym 9850 KEYBOARD.temp[3] +.sym 9851 KEYBOARD.RAM.r_data[3] +.sym 9852 $abc$19660$n727 .sym 9853 $false -.sym 9856 $false -.sym 9857 init_ram_cnt[4] -.sym 9858 $false -.sym 9859 $auto$alumacc.cc:474:replace_alu$9609.C[4] -.sym 9862 $abc$51270$n1197 -.sym 9863 $abc$51270$n1922 -.sym 9864 $abc$51270$n1207 -.sym 9865 $abc$51270$n22 -.sym 9874 $abc$51270$n1197 -.sym 9875 $abc$51270$n1930 -.sym 9876 $abc$51270$n22 -.sym 9877 $abc$51270$n1226 -.sym 9878 $abc$51270$n950$2 -.sym 9879 CLK$2$2 -.sym 9880 $false -.sym 9881 $abc$51270$n731 -.sym 9882 $abc$51270$n1918 -.sym 9883 $abc$51270$n730 -.sym 9884 $abc$51270$n194 -.sym 9885 $abc$51270$n185 -.sym 9886 $13\int_tmr[14:0][0] -.sym 9887 $abc$51270$n188 -.sym 9888 $abc$51270$n2475 -.sym 9917 $true -.sym 9954 init_ram_cnt[1]$3 -.sym 9955 $false -.sym 9956 init_ram_cnt[1] -.sym 9957 $false +.sym 9856 KEYBOARD.temp[1] +.sym 9857 KEYBOARD.temp[5] +.sym 9858 $abc$19660$n565 +.sym 9859 $abc$19660$n555 +.sym 9862 $abc$19660$n555 +.sym 9863 $abc$19660$n565 +.sym 9864 $abc$19660$n567 +.sym 9865 $false +.sym 9868 KEYBOARD.temp[5] +.sym 9869 KEYBOARD.RAM.r_data[5] +.sym 9870 $abc$19660$n737 +.sym 9871 $abc$19660$n727 +.sym 9874 KEYBOARD.temp[4] +.sym 9875 KEYBOARD.RAM.r_data[0] +.sym 9876 $abc$19660$n565 +.sym 9877 $abc$19660$n555 +.sym 9883 $abc$19660$n920 +.sym 9884 $abc$19660$n923 +.sym 9885 $abc$19660$n926 +.sym 9886 $abc$19660$n929 +.sym 9887 $abc$19660$n932 +.sym 9888 $abc$19660$n935 +.sym 9955 $abc$19660$n727 +.sym 9956 KEYBOARD.RAM.r_data[4] +.sym 9957 $abc$19660$n947_1 .sym 9958 $false -.sym 9960 $auto$alumacc.cc:474:replace_alu$9609.C[3] -.sym 9962 init_ram_cnt[2] -.sym 9963 $true$2 -.sym 9966 $auto$alumacc.cc:474:replace_alu$9609.C[4]$2 -.sym 9967 $false -.sym 9968 init_ram_cnt[3] -.sym 9969 $false -.sym 9970 $auto$alumacc.cc:474:replace_alu$9609.C[3] -.sym 9972 $auto$alumacc.cc:474:replace_alu$9609.C[5]$2 -.sym 9974 init_ram_cnt[4] -.sym 9975 $true$2 -.sym 9976 $auto$alumacc.cc:474:replace_alu$9609.C[4]$2 -.sym 9978 $auto$alumacc.cc:474:replace_alu$9609.C[6]$2 -.sym 9980 init_ram_cnt[5] -.sym 9981 $true$2 -.sym 9982 $auto$alumacc.cc:474:replace_alu$9609.C[5]$2 -.sym 9984 $auto$alumacc.cc:474:replace_alu$9609.C[7] -.sym 9986 init_ram_cnt[6] -.sym 9987 $true$2 -.sym 9988 $auto$alumacc.cc:474:replace_alu$9609.C[6]$2 -.sym 9991 $false -.sym 9992 init_ram_cnt[7] -.sym 9993 $false -.sym 9994 $auto$alumacc.cc:474:replace_alu$9609.C[7] -.sym 9997 $false -.sym 9998 init_ram_cnt[5] -.sym 9999 $false -.sym 10000 $auto$alumacc.cc:474:replace_alu$9609.C[5] -.sym 10011 $abc$51270$n22 -.sym 10040 $true -.sym 10077 init_ram_cnt[0]$2 -.sym 10078 $false -.sym 10079 init_ram_cnt[0] +.sym 9961 $abc$19660$n735_1 +.sym 9962 $abc$19660$n956_1 +.sym 9963 $false +.sym 9964 $false +.sym 9979 KEYBOARD.temp[0] +.sym 9980 KBD_COLUMNS[0]$2 +.sym 9981 $abc$19660$n727 +.sym 9982 $false +.sym 9997 $abc$19660$n958 +.sym 9998 $abc$19660$n1083 +.sym 9999 $abc$19660$n742 +.sym 10000 $false +.sym 10001 $abc$19660$n209 +.sym 10002 CLK$2$2 +.sym 10003 KEYBOARD.IS_RAM_INIT$2 +.sym 10004 $abc$19660$n938 +.sym 10005 $abc$19660$n941 +.sym 10006 $abc$19660$n944 +.sym 10007 $abc$19660$n947 +.sym 10008 $abc$19660$n950 +.sym 10009 $abc$19660$n953 +.sym 10010 $abc$19660$n956 +.sym 10011 int_tmr[12] +.sym 10078 $abc$19660$n643 +.sym 10079 int_tmr[13] .sym 10080 $false .sym 10081 $false -.sym 10083 $auto$alumacc.cc:474:replace_alu$9597.C[2] -.sym 10085 $false -.sym 10086 init_ram_cnt[1] -.sym 10089 $auto$alumacc.cc:474:replace_alu$9597.C[3] -.sym 10090 $false -.sym 10091 $false -.sym 10092 init_ram_cnt[2] -.sym 10093 $auto$alumacc.cc:474:replace_alu$9597.C[2] -.sym 10095 $auto$alumacc.cc:474:replace_alu$9597.C[4] -.sym 10096 $false -.sym 10097 $false -.sym 10098 init_ram_cnt[3] -.sym 10099 $auto$alumacc.cc:474:replace_alu$9597.C[3] -.sym 10101 $auto$alumacc.cc:474:replace_alu$9597.C[5] -.sym 10102 $false -.sym 10103 $false -.sym 10104 init_ram_cnt[4] -.sym 10105 $auto$alumacc.cc:474:replace_alu$9597.C[4] -.sym 10107 $auto$alumacc.cc:474:replace_alu$9597.C[6] -.sym 10108 $false -.sym 10109 $false -.sym 10110 init_ram_cnt[5] -.sym 10111 $auto$alumacc.cc:474:replace_alu$9597.C[5] -.sym 10113 $auto$alumacc.cc:474:replace_alu$9597.C[7] -.sym 10114 $false -.sym 10115 $false -.sym 10116 init_ram_cnt[6] -.sym 10117 $auto$alumacc.cc:474:replace_alu$9597.C[6] -.sym 10120 $false -.sym 10121 $false -.sym 10122 init_ram_cnt[7] -.sym 10123 $auto$alumacc.cc:474:replace_alu$9597.C[7] -.sym 10124 $abc$51270$n764 +.sym 10084 $abc$19660$n643 +.sym 10085 int_tmr[11] +.sym 10086 $false +.sym 10087 $false +.sym 10090 $abc$19660$n643 +.sym 10091 int_tmr[3] +.sym 10092 $false +.sym 10093 $false +.sym 10096 $abc$19660$n947 +.sym 10097 int_tmr[11] +.sym 10098 $3\int_tmr[14:0][14] +.sym 10099 $false +.sym 10114 $abc$19660$n953 +.sym 10115 int_tmr[13] +.sym 10116 $3\int_tmr[14:0][14] +.sym 10117 $false +.sym 10120 $abc$19660$n923 +.sym 10121 int_tmr[3] +.sym 10122 $3\int_tmr[14:0][14] +.sym 10123 $false +.sym 10124 $abc$19660$n41$2 .sym 10125 CLK$2$2 -.sym 10126 $abc$51270$n35$2 -.sym 10226 $abc$51270$n948 -.sym 10227 $abc$51270$n950_1 -.sym 10228 $abc$51270$n947 -.sym 10229 $abc$51270$n946 -.sym 10230 $abc$51270$n1404 -.sym 10231 KEYBOARD.report[6][5] -.sym 10232 KEYBOARD.report[6][7] -.sym 10233 KEYBOARD.report[6][0] -.sym 10301 KEYBOARD.COLS_SHADOW[3] -.sym 10302 $abc$51270$n709 -.sym 10303 $false -.sym 10304 $false -.sym 10307 $abc$51270$n383 -.sym 10308 $abc$51270$n394 -.sym 10309 $abc$51270$n396 -.sym 10310 $false -.sym 10319 KEYBOARD.COLS_SHADOW[2] -.sym 10320 KBD_COLUMNS[2]$2 -.sym 10321 $abc$51270$n700 +.sym 10126 $abc$19660$n19$2 +.sym 10226 KEYBOARD.COLS_SHADOW[3] +.sym 10228 KEYBOARD.COLS_SHADOW[7] +.sym 10229 KEYBOARD.COLS_SHADOW[2] +.sym 10230 KEYBOARD.COLS_SHADOW[1] +.sym 10231 KEYBOARD.COLS_SHADOW[5] +.sym 10232 KEYBOARD.COLS_SHADOW[4] +.sym 10319 $abc$19660$n555 +.sym 10320 $false +.sym 10321 $false .sym 10322 $false -.sym 10325 KEYBOARD.COLS_SHADOW[6] -.sym 10326 KBD_COLUMNS[6]$2 -.sym 10327 $abc$51270$n700 +.sym 10325 $abc$19660$n781 +.sym 10326 $false +.sym 10327 $false .sym 10328 $false -.sym 10331 KEYBOARD.COLS_SHADOW[3] -.sym 10332 KBD_COLUMNS[3]$2 -.sym 10333 $abc$51270$n700 +.sym 10331 $abc$19660$n778 +.sym 10332 $false +.sym 10333 $false .sym 10334 $false -.sym 10337 KEYBOARD.COLS_SHADOW[1] -.sym 10338 KBD_COLUMNS[1]$2 -.sym 10339 $abc$51270$n700 -.sym 10340 $false -.sym 10343 $abc$51270$n2249 -.sym 10344 $false -.sym 10345 $false -.sym 10346 $false -.sym 10347 $abc$51270$n1402 +.sym 10347 $abc$19660$n191$2 .sym 10348 CLK$2$2 -.sym 10349 $false -.sym 10354 $abc$51270$n955 -.sym 10355 $abc$51270$n1430 -.sym 10356 $abc$51270$n1429 -.sym 10357 $abc$51270$n953 -.sym 10358 $abc$51270$n949 -.sym 10359 $abc$51270$n1012 -.sym 10360 $abc$51270$n954 -.sym 10361 KEYBOARD.report[6][2] -.sym 10464 I2C.FLT_SDA.counter[0] -.sym 10465 I2C.FLT_SDA.counter[1] +.sym 10349 $abc$19660$n19$2 +.sym 10354 $abc$19660$n1356 +.sym 10355 $abc$19660$n1365 +.sym 10356 $abc$19660$n1359 +.sym 10360 $abc$19660$n293 +.sym 10361 I2C_TRANS +.sym 10464 $abc$19660$n789 +.sym 10465 $false .sym 10466 $false .sym 10467 $false -.sym 10470 $abc$51270$n1011 -.sym 10471 $abc$51270$n1632 +.sym 10470 $abc$19660$n786 +.sym 10471 $false .sym 10472 $false .sym 10473 $false -.sym 10476 $abc$51270$n383 -.sym 10477 $abc$51270$n394 -.sym 10478 $abc$51270$n396 +.sym 10476 $abc$19660$n797 +.sym 10477 $false +.sym 10478 $false .sym 10479 $false -.sym 10482 I2C.FLT_SDA.counter[0] -.sym 10483 I2C.FLT_SDA.counter[1] -.sym 10484 I2C.FLT_SDA.counter[2] -.sym 10485 $false -.sym 10488 $false -.sym 10489 I2C.FLT_SDA.counter[0] -.sym 10490 $false -.sym 10491 $false -.sym 10494 $abc$51270$n2333 +.sym 10494 $abc$19660$n567 .sym 10495 $false .sym 10496 $false .sym 10497 $false -.sym 10500 $abc$51270$n1011 -.sym 10501 $abc$51270$n1629 +.sym 10500 $abc$19660$n565 +.sym 10501 $false .sym 10502 $false .sym 10503 $false -.sym 10506 $abc$51270$n2334 -.sym 10507 $false -.sym 10508 $false -.sym 10509 $false -.sym 10510 $abc$51270$n1430 +.sym 10510 $abc$19660$n191$2 .sym 10511 CLK$2$2 -.sym 10512 $abc$51270$n35$2 -.sym 10513 $abc$51270$n1166 -.sym 10514 $abc$51270$n972 -.sym 10515 $abc$51270$n976 -.sym 10516 $abc$51270$n974_1 -.sym 10517 $abc$51270$n916 -.sym 10518 $abc$51270$n973 -.sym 10519 $abc$51270$n1183 -.sym 10520 KEYBOARD.report[3][6] -.sym 10587 KEYBOARD.kbd_code_hid[0] -.sym 10588 KEYBOARD.report[3][0] -.sym 10589 KEYBOARD.kbd_code_hid[7] -.sym 10590 KEYBOARD.report[3][7] -.sym 10593 KEYBOARD.report[3][0] -.sym 10594 KEYBOARD.report[3][1] -.sym 10595 KEYBOARD.report[3][2] -.sym 10596 KEYBOARD.report[3][3] -.sym 10599 $abc$51270$n27 -.sym 10600 KEYBOARD.kbd_code_hid[3] +.sym 10512 $abc$19660$n19$2 +.sym 10514 I2C.i2c_bit_counter[1] +.sym 10515 I2C.i2c_bit_counter[0] +.sym 10517 $abc$19660$n8 +.sym 10518 I2C.is_adress +.sym 10519 UART.TX_sig_last +.sym 10520 I2C.SDA_DIR +.sym 10549 $true +.sym 10586 I2C.FLT_SCL.counter[0]$2 +.sym 10587 $false +.sym 10588 I2C.FLT_SCL.counter[0] +.sym 10589 $false +.sym 10590 $false +.sym 10592 $auto$alumacc.cc:474:replace_alu$5222.C[2] +.sym 10594 I2C.FLT_SCL.counter[1] +.sym 10595 $true$2 +.sym 10599 $false +.sym 10600 I2C.FLT_SCL.counter[2] .sym 10601 $false -.sym 10602 $false -.sym 10605 KEYBOARD.kbd_code_hid[1] -.sym 10606 KEYBOARD.report[3][1] -.sym 10607 KEYBOARD.kbd_code_hid[2] -.sym 10608 KEYBOARD.report[3][2] -.sym 10611 KEYBOARD.report[6][2] -.sym 10612 $abc$51270$n1124 -.sym 10613 $abc$51270$n1123 -.sym 10614 KEYBOARD.report[3][2] -.sym 10617 KEYBOARD.report[6][0] -.sym 10618 $abc$51270$n1124 -.sym 10619 $abc$51270$n1123 -.sym 10620 KEYBOARD.report[3][0] -.sym 10623 $abc$51270$n2311 -.sym 10624 $false -.sym 10625 $false -.sym 10626 $false -.sym 10629 $abc$51270$n2318 -.sym 10630 $false +.sym 10602 $auto$alumacc.cc:474:replace_alu$5222.C[2] +.sym 10605 I2C.FLT_SCL.counter[0] +.sym 10606 I2C.FLT_SCL.counter[1] +.sym 10607 I2C.FLT_SCL.counter[2] +.sym 10608 $false +.sym 10611 I2C.FLT_SCL.counter[0] +.sym 10612 I2C.FLT_SCL.counter[1] +.sym 10613 $false +.sym 10614 $false +.sym 10617 $abc$19660$n1559 +.sym 10618 $false +.sym 10619 $false +.sym 10620 $false +.sym 10629 $abc$19660$n811 +.sym 10630 $abc$19660$n832 .sym 10631 $false .sym 10632 $false -.sym 10633 $abc$51270$n1354 +.sym 10633 $abc$19660$n330 .sym 10634 CLK$2$2 -.sym 10635 $abc$51270$n35$2 -.sym 10636 $abc$51270$n1153 -.sym 10637 $abc$51270$n1501 -.sym 10638 $abc$51270$n909 -.sym 10639 $abc$51270$n984 -.sym 10640 $abc$51270$n1152_1 -.sym 10641 $abc$51270$n1136 -.sym 10642 KEYBOARD.report[2][1] -.sym 10643 KEYBOARD.report[2][0] -.sym 10710 $abc$51270$n931 -.sym 10711 $abc$51270$n932 -.sym 10712 $abc$51270$n933 -.sym 10713 $abc$51270$n934_1 -.sym 10716 KEYBOARD.kbd_code_hid[3] -.sym 10717 KEYBOARD.report[5][3] -.sym 10718 KEYBOARD.kbd_code_hid[7] -.sym 10719 KEYBOARD.report[5][7] -.sym 10722 KEYBOARD.report[5][4] -.sym 10723 KEYBOARD.report[5][5] -.sym 10724 KEYBOARD.report[5][6] -.sym 10725 KEYBOARD.report[5][7] -.sym 10728 KEYBOARD.report[5][0] -.sym 10729 KEYBOARD.report[5][1] -.sym 10730 KEYBOARD.report[5][2] -.sym 10731 KEYBOARD.report[5][3] -.sym 10734 $abc$51270$n927 -.sym 10735 $abc$51270$n928 -.sym 10736 $false +.sym 10635 $abc$19660$n19$2 +.sym 10636 $abc$19660$n1005 +.sym 10637 $abc$19660$n198 +.sym 10638 $abc$19660$n1111 +.sym 10639 $abc$19660$n1025 +.sym 10640 $abc$19660$n1110_1 +.sym 10642 $abc$19660$n1008 +.sym 10643 I2C.FLT_SCL.out +.sym 10716 $abc$19660$n1436 +.sym 10717 I2C.is_read +.sym 10718 $false +.sym 10719 $false +.sym 10722 $abc$19660$n811 +.sym 10723 $abc$19660$n829 +.sym 10724 $false +.sym 10725 $false +.sym 10728 $abc$19660$n1558 +.sym 10729 $abc$19660$n812 +.sym 10730 $false +.sym 10731 $false +.sym 10734 I2C.FLT_SCL.out +.sym 10735 I2C.SCLF +.sym 10736 $abc$19660$n811 .sym 10737 $false -.sym 10740 KEYBOARD.kbd_code_hid[0] -.sym 10741 KEYBOARD.report[5][0] -.sym 10742 KEYBOARD.kbd_code_hid[6] -.sym 10743 KEYBOARD.report[5][6] -.sym 10746 KEYBOARD.kbd_code_hid[1] -.sym 10747 KEYBOARD.report[5][1] -.sym 10748 KEYBOARD.kbd_code_hid[2] -.sym 10749 KEYBOARD.report[5][2] -.sym 10752 $abc$51270$n1001 -.sym 10753 KEYBOARD.ram_wr -.sym 10754 $abc$51270$n29 -.sym 10755 $abc$51270$n704 -.sym 10756 $abc$51270$n1320 +.sym 10740 $abc$19660$n1559 +.sym 10741 $abc$19660$n832 +.sym 10742 I2C.FLT_SCL.out +.sym 10743 I2C.SCLF +.sym 10746 $false +.sym 10747 I2C.FLT_SCL.counter[0] +.sym 10748 $false +.sym 10749 $false +.sym 10752 $abc$19660$n1558 +.sym 10753 $false +.sym 10754 $false +.sym 10755 $false +.sym 10756 $abc$19660$n330 .sym 10757 CLK$2$2 -.sym 10758 $abc$51270$n33$2 -.sym 10759 $abc$51270$n1165 -.sym 10760 $abc$51270$n1162 -.sym 10761 $abc$51270$n1135 -.sym 10762 $abc$51270$n1469 -.sym 10763 $abc$51270$n1473 -.sym 10764 $abc$51270$n1181 -.sym 10765 $abc$51270$n1163_1 -.sym 10766 KEYBOARD.report[4][7] -.sym 10833 KEYBOARD.report[6][5] -.sym 10834 $abc$51270$n1124 -.sym 10835 $abc$51270$n1173 -.sym 10836 $abc$51270$n1175 -.sym 10839 KEYBOARD.report[3][1] -.sym 10840 $abc$51270$n1123 -.sym 10841 $abc$51270$n1120 -.sym 10842 $abc$51270$n1134 -.sym 10845 $abc$51270$n1121 -.sym 10846 KEYBOARD.report[4][5] -.sym 10847 $false +.sym 10758 $abc$19660$n19$2 +.sym 10759 $abc$19660$n783 +.sym 10760 $abc$19660$n667 +.sym 10761 $abc$19660$n1010 +.sym 10762 $abc$19660$n1011 +.sym 10763 $abc$19660$n791 +.sym 10764 $abc$19660$n775 +.sym 10765 $abc$19660$n1014 +.sym 10766 $abc$19660$n1013 +.sym 10833 I2C.received_byte[7] +.sym 10834 $false +.sym 10835 $false +.sym 10836 $false +.sym 10839 $abc$19660$n780 +.sym 10840 $abc$19660$n660 +.sym 10841 $false +.sym 10842 $false +.sym 10845 $abc$19660$n776_1 +.sym 10846 $abc$19660$n782_1 +.sym 10847 I2C.FLT_SCL.RESET$2 .sym 10848 $false -.sym 10851 KEYBOARD.report[3][5] -.sym 10852 $abc$51270$n1123 -.sym 10853 $abc$51270$n1176 -.sym 10854 $abc$51270$n1120 -.sym 10857 $abc$51270$n253 -.sym 10858 wr_cnt[0] -.sym 10859 wr_cnt[1] -.sym 10860 $abc$51270$n260 -.sym 10863 $abc$51270$n260 -.sym 10864 wr_cnt[0] -.sym 10865 wr_cnt[1] -.sym 10866 $abc$51270$n253 -.sym 10869 $abc$51270$n2318 -.sym 10870 $false -.sym 10871 $false -.sym 10872 $false -.sym 10875 $abc$51270$n2312 +.sym 10857 $abc$19660$n785 +.sym 10858 $abc$19660$n791 +.sym 10859 $abc$19660$n781_1 +.sym 10860 $false +.sym 10863 I2C.received_byte[4] +.sym 10864 $false +.sym 10865 $false +.sym 10866 $false +.sym 10875 I2C.received_byte[5] .sym 10876 $false .sym 10877 $false .sym 10878 $false -.sym 10879 $abc$51270$n1258 -.sym 10880 CLK$2$2 -.sym 10881 $abc$51270$n35$2 -.sym 10882 $abc$51270$n1180 -.sym 10883 $abc$51270$n1154 -.sym 10884 $abc$51270$n1470_1 -.sym 10885 $abc$51270$n1167 -.sym 10886 $abc$51270$n1185 -.sym 10887 $abc$51270$n1151 -.sym 10888 $abc$51270$n1502_1 -.sym 10889 KEYBOARD.report[4][3] -.sym 10956 KEYBOARD.kbd_code_hid[1] -.sym 10957 KEYBOARD.report[1][1] -.sym 10958 KEYBOARD.kbd_code_hid[7] -.sym 10959 KEYBOARD.report[1][7] -.sym 10962 $abc$51270$n1114 -.sym 10963 $abc$51270$n1500_1 -.sym 10964 $abc$51270$n1120 -.sym 10965 $abc$51270$n1502_1 -.sym 10968 $abc$51270$n1492 -.sym 10969 $abc$51270$n1493_1 -.sym 10970 $abc$51270$n994 -.sym 10971 $abc$51270$n1463 -.sym 10974 KEYBOARD.report[4][1] -.sym 10975 $abc$51270$n1121 -.sym 10976 $abc$51270$n1117_1 -.sym 10977 KEYBOARD.report[1][1] -.sym 10980 KEYBOARD.kbd_code_hid[3] -.sym 10981 KEYBOARD.report[1][3] -.sym 10982 KEYBOARD.kbd_code_hid[4] -.sym 10983 KEYBOARD.report[1][4] -.sym 10986 KEYBOARD.report[1][4] -.sym 10987 KEYBOARD.report[1][5] -.sym 10988 KEYBOARD.report[1][6] -.sym 10989 KEYBOARD.report[1][7] -.sym 10992 KEYBOARD.report[5][7] -.sym 10993 KEYBOARD.report[1][7] -.sym 10994 $abc$51270$n1120 -.sym 10995 $abc$51270$n260 -.sym 10998 $abc$51270$n2318 -.sym 10999 $false +.sym 10882 I2C.wr +.sym 10884 $abc$19660$n10 +.sym 10885 I2C.is_read +.sym 10886 I2C.i2c_bit_counter[3] +.sym 10887 I2C.i2c_bit_counter[2] +.sym 10888 I2C.is_ack +.sym 10956 I2C.received_byte[4] +.sym 10957 I2C.received_byte[5] +.sym 10958 $abc$19660$n532 +.sym 10959 $abc$19660$n554 +.sym 10962 I2C_COUNTER[0] +.sym 10963 $abc$19660$n552 +.sym 10964 I2C_COUNTER[1] +.sym 10965 $false +.sym 10968 I2C_COUNTER[3] +.sym 10969 $abc$19660$n539 +.sym 10970 $abc$19660$n551 +.sym 10971 $false +.sym 10974 I2C.received_byte[4] +.sym 10975 I2C.received_byte[5] +.sym 10976 I2C.received_byte[7] +.sym 10977 I2C.received_byte[6] +.sym 10980 I2C.received_byte[6] +.sym 10981 $false +.sym 10982 $false +.sym 10983 $false +.sym 10986 I2C_COUNTER[3] +.sym 10987 I2C_COUNTER[0] +.sym 10988 $abc$19660$n539 +.sym 10989 I2C_COUNTER[1] +.sym 10992 I2C.i2c_bit_counter[3] +.sym 10993 $abc$19660$n1436 +.sym 10994 $false +.sym 10995 $false +.sym 10998 $abc$19660$n550_1 +.sym 10999 $abc$19660$n553 .sym 11000 $false .sym 11001 $false -.sym 11002 $abc$51270$n1374 -.sym 11003 CLK$2$2 -.sym 11004 $abc$51270$n35$2 -.sym 11005 $abc$51270$n1127 -.sym 11006 $abc$51270$n1150 -.sym 11007 $abc$51270$n269 -.sym 11008 $abc$51270$n1155 -.sym 11009 $abc$51270$n1352 -.sym 11010 $abc$51270$n1128 -.sym 11011 KEYBOARD.report[0][3] -.sym 11012 KEYBOARD.report[0][0] -.sym 11079 $abc$51270$n905 -.sym 11080 $abc$51270$n906 -.sym 11081 $false -.sym 11082 $false -.sym 11085 KEYBOARD.kbd_code_hid[0] -.sym 11086 KEYBOARD.report[1][0] -.sym 11087 KEYBOARD.kbd_code_hid[2] -.sym 11088 KEYBOARD.report[1][2] -.sym 11091 KEYBOARD.report[1][0] -.sym 11092 KEYBOARD.report[1][1] -.sym 11093 KEYBOARD.report[1][2] -.sym 11094 KEYBOARD.report[1][3] -.sym 11097 KEYBOARD.report[0][1] -.sym 11098 $abc$51270$n1118 -.sym 11099 $abc$51270$n1133 -.sym 11100 $abc$51270$n1135 -.sym 11103 KEYBOARD.report[0][4] -.sym 11104 KEYBOARD.report[1][4] -.sym 11105 $abc$51270$n1128 +.sym 11005 $abc$19660$n523 +.sym 11006 $abc$19660$n1113 +.sym 11007 $abc$19660$n612 +.sym 11008 $abc$19660$n1115 +.sym 11009 $abc$19660$n599 +.sym 11010 $abc$19660$n1114_1 +.sym 11011 $abc$19660$n1116 +.sym 11012 $abc$19660$n559 +.sym 11079 $abc$19660$n553 +.sym 11080 $abc$19660$n587 +.sym 11081 $abc$19660$n588_1 +.sym 11082 $abc$19660$n547 +.sym 11085 I2C.received_byte[0] +.sym 11086 $abc$19660$n532 +.sym 11087 $abc$19660$n531_1 +.sym 11088 $abc$19660$n533_1 +.sym 11091 $abc$19660$n586 +.sym 11092 $abc$19660$n548 +.sym 11093 $abc$19660$n529 +.sym 11094 $abc$19660$n1070 +.sym 11097 I2C.received_byte[0] +.sym 11098 $abc$19660$n531_1 +.sym 11099 $abc$19660$n532 +.sym 11100 $abc$19660$n533_1 +.sym 11103 $abc$19660$n534_1 +.sym 11104 $abc$19660$n530_1 +.sym 11105 $abc$19660$n538 .sym 11106 $false -.sym 11109 KEYBOARD.report[0][1] -.sym 11110 $abc$51270$n1128 -.sym 11111 KEYBOARD.report[1][1] -.sym 11112 $abc$51270$n1127 -.sym 11115 I2C.received_byte[2] -.sym 11116 $abc$51270$n1048 -.sym 11117 I2C.is_read -.sym 11118 $false -.sym 11121 I2C.received_byte[6] -.sym 11122 $abc$51270$n1056 -.sym 11123 I2C.is_read +.sym 11109 $abc$19660$n605 +.sym 11110 $abc$19660$n588_1 +.sym 11111 $abc$19660$n533_1 +.sym 11112 $false +.sym 11115 I2C_COUNTER[0] +.sym 11116 I2C_COUNTER[3] +.sym 11117 $abc$19660$n539 +.sym 11118 I2C_COUNTER[1] +.sym 11121 $abc$19660$n529 +.sym 11122 $abc$19660$n1070 +.sym 11123 $abc$19660$n604 .sym 11124 $false -.sym 11125 $abc$51270$n799 -.sym 11126 CLK$2$2 -.sym 11127 $abc$51270$n19 -.sym 11128 $abc$51270$n685 -.sym 11129 $abc$51270$n1052 -.sym 11130 $abc$51270$n1354_1 -.sym 11131 $abc$51270$n2311 -.sym 11132 $abc$51270$n684 -.sym 11133 $abc$51270$n682 -.sym 11134 $abc$51270$n1348 -.sym 11135 I2C_HID_DESC.real_adress[3] -.sym 11202 UART_TX_DATA[6] -.sym 11203 UART_TX_DATA[4] -.sym 11204 $abc$51270$n680 +.sym 11128 $abc$19660$n610 +.sym 11129 $abc$19660$n674 +.sym 11130 $abc$19660$n616 +.sym 11131 $abc$19660$n609 +.sym 11132 $abc$19660$n597 +.sym 11133 $22\i2c_input_data_type[3:0][1] +.sym 11134 $abc$19660$n608 +.sym 11135 $22\i2c_input_data_type[3:0][0] +.sym 11202 $abc$19660$n556 +.sym 11203 i2c_input_data_type[0] +.sym 11204 $false .sym 11205 $false -.sym 11208 $abc$51270$n1128 -.sym 11209 $abc$51270$n1118 -.sym 11210 $abc$51270$n1120 -.sym 11211 KEYBOARD.report[0][7] -.sym 11214 KEYBOARD.report[0][2] -.sym 11215 $abc$51270$n27 -.sym 11216 $abc$51270$n1350 +.sym 11208 i2c_input_data_type[3] +.sym 11209 i2c_input_data_type[0] +.sym 11210 i2c_input_data_type[2] +.sym 11211 $false +.sym 11214 $abc$19660$n558 +.sym 11215 $abc$19660$n556 +.sym 11216 $false .sym 11217 $false -.sym 11220 KEYBOARD.report[0][6] -.sym 11221 $abc$51270$n27 -.sym 11222 $abc$51270$n1358 -.sym 11223 $false -.sym 11226 KEYBOARD.report[0][5] -.sym 11227 $abc$51270$n27 -.sym 11228 $abc$51270$n1356 -.sym 11229 $false -.sym 11232 KEYBOARD.report[0][1] -.sym 11233 $abc$51270$n27 -.sym 11234 $abc$51270$n1348 -.sym 11235 $false -.sym 11238 KEYBOARD.report[0][7] -.sym 11239 $abc$51270$n27 -.sym 11240 $abc$51270$n1360 -.sym 11241 $false -.sym 11244 KEYBOARD.report[0][4] -.sym 11245 $abc$51270$n27 -.sym 11246 $abc$51270$n1354_1 -.sym 11247 $false -.sym 11248 $abc$51270$n1381 -.sym 11249 CLK$2$2 -.sym 11250 $abc$51270$n35$2 -.sym 11251 $abc$51270$n768 -.sym 11255 $abc$51270$n1044 -.sym 11256 $abc$51270$n739 -.sym 11257 $abc$51270$n19 -.sym 11258 last_wr -.sym 11325 $abc$51270$n1120 -.sym 11326 $abc$51270$n1170 -.sym 11327 $abc$51270$n1129 -.sym 11328 $false -.sym 11331 $abc$51270$n1128 -.sym 11332 $abc$51270$n1118 -.sym 11333 $abc$51270$n1120 -.sym 11334 KEYBOARD.report[0][6] -.sym 11337 KEYBOARD.report[0][5] -.sym 11338 KEYBOARD.report[1][5] -.sym 11339 $abc$51270$n1128 -.sym 11340 $false -.sym 11343 $abc$51270$n1118 -.sym 11344 KEYBOARD.report[0][5] -.sym 11345 $abc$51270$n1172 -.sym 11346 $abc$51270$n1169 -.sym 11349 $abc$51270$n1475_1 -.sym 11350 $abc$51270$n1503_1 -.sym 11351 $abc$51270$n1129 -.sym 11352 $false -.sym 11355 $abc$51270$n1120 -.sym 11356 $abc$51270$n1159_1 -.sym 11357 $abc$51270$n1162 -.sym 11358 $abc$51270$n1129 -.sym 11361 $abc$51270$n1130 -.sym 11362 $abc$51270$n1155 -.sym 11363 $abc$51270$n1150 -.sym 11364 $abc$51270$n690 -.sym 11367 $abc$51270$n1471 -.sym 11368 $abc$51270$n1470_1 -.sym 11369 $abc$51270$n1129 +.sym 11220 $abc$19660$n548 +.sym 11221 $abc$19660$n547 +.sym 11222 $abc$19660$n555_1 +.sym 11223 i2c_input_data_type[0] +.sym 11226 i2c_input_data_type[1] +.sym 11227 i2c_input_data_type[3] +.sym 11228 i2c_input_data_type[0] +.sym 11229 i2c_input_data_type[2] +.sym 11232 $abc$19660$n606 +.sym 11233 $abc$19660$n603 +.sym 11234 $abc$19660$n602 +.sym 11235 $abc$19660$n607 +.sym 11238 I2C_COUNTER[3] +.sym 11239 I2C_COUNTER[1] +.sym 11240 $abc$19660$n539 +.sym 11241 I2C_COUNTER[0] +.sym 11244 $abc$19660$n584_1 +.sym 11245 i2c_input_data_type[1] +.sym 11246 $abc$19660$n549 +.sym 11247 $abc$19660$n555_1 +.sym 11251 $abc$19660$n613 +.sym 11252 $abc$19660$n648 +.sym 11253 $abc$19660$n672 +.sym 11254 $abc$19660$n998 +.sym 11255 $abc$19660$n524_1 +.sym 11256 $abc$19660$n617_1 +.sym 11257 $abc$19660$n558 +.sym 11258 $22\i2c_input_data_type[3:0][2] +.sym 11325 I2C_COUNTER[3] +.sym 11326 I2C_COUNTER[1] +.sym 11327 $abc$19660$n535 +.sym 11328 $abc$19660$n543 +.sym 11331 I2C.received_byte[0] +.sym 11332 $abc$19660$n1068 +.sym 11333 $abc$19660$n531_1 +.sym 11334 $abc$19660$n1069_1 +.sym 11337 I2C.received_byte[3] +.sym 11338 I2C_COUNTER[0] +.sym 11339 I2C.received_byte[1] +.sym 11340 I2C.received_byte[2] +.sym 11343 I2C_COUNTER[0] +.sym 11344 i2c_input_data_type[3] +.sym 11345 I2C_COUNTER[1] +.sym 11346 i2c_input_data_type[2] +.sym 11349 $abc$19660$n543 +.sym 11350 $abc$19660$n539 +.sym 11351 i2c_input_data_type[1] +.sym 11352 i2c_input_data_type[0] +.sym 11355 $22\i2c_input_data_type[3:0][0] +.sym 11356 $abc$19660$n524 +.sym 11357 I2C.is_read +.sym 11358 $abc$19660$n644 +.sym 11361 $abc$19660$n674 +.sym 11362 $abc$19660$n651 +.sym 11363 $abc$19660$n520 +.sym 11364 $false +.sym 11367 $abc$19660$n1677 +.sym 11368 $abc$19660$n651 +.sym 11369 $22\i2c_input_data_type[3:0][2] .sym 11370 $false -.sym 11371 $abc$51270$n950$2 +.sym 11371 $abc$19660$n46 .sym 11372 CLK$2$2 -.sym 11373 $abc$51270$n23$2 -.sym 11374 $abc$51270$n950 -.sym 11375 $abc$51270$n776 -.sym 11376 $abc$51270$n1099 -.sym 11377 $abc$51270$n1149 -.sym 11378 $abc$51270$n1096 -.sym 11379 $abc$51270$n945 -.sym 11380 $abc$51270$n1098 -.sym 11381 report_wr_en -.sym 11448 i2c_input_data_type[0] -.sym 11449 $abc$51270$n860 -.sym 11450 $abc$51270$n1096 -.sym 11451 I2C_OUTPUT_TYPE[2] -.sym 11454 I2C_OUTPUT_TYPE[0] -.sym 11455 $abc$51270$n860 -.sym 11456 $abc$51270$n847 -.sym 11457 $abc$51270$n1096 -.sym 11460 $abc$51270$n1100 -.sym 11461 $abc$51270$n1095 -.sym 11462 $abc$51270$n1098 -.sym 11463 $abc$51270$n1102 -.sym 11466 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 11467 $false -.sym 11468 $false -.sym 11469 $false -.sym 11472 $abc$51270$n1098 -.sym 11473 $abc$51270$n1102 -.sym 11474 $false -.sym 11475 $false -.sym 11478 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 11479 $false -.sym 11480 $false -.sym 11481 $false -.sym 11484 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 11485 $false -.sym 11486 $false +.sym 11373 $abc$19660$n52$2 +.sym 11374 $abc$19660$n898 +.sym 11375 $abc$19660$n72 +.sym 11376 $abc$19660$n849 +.sym 11377 $false$2 +.sym 11378 $abc$19660$n651 +.sym 11381 $abc$19660$n671 +.sym 11454 $abc$19660$n644 +.sym 11455 $abc$19660$n674 +.sym 11456 $abc$19660$n523 +.sym 11457 $false +.sym 11460 $abc$19660$n1091_1 +.sym 11461 $abc$19660$n1093_1 +.sym 11462 $false +.sym 11463 $false +.sym 11478 i2c_input_data_type[1] +.sym 11479 I2C_COUNTER[3] +.sym 11480 $abc$19660$n1092 +.sym 11481 $abc$19660$n556 +.sym 11484 I2C.is_read +.sym 11485 i2c_input_data_type[1] +.sym 11486 $abc$19660$n852 .sym 11487 $false -.sym 11490 $abc$51270$n1100 -.sym 11491 $abc$51270$n1102 -.sym 11492 $false -.sym 11493 $false -.sym 11494 $abc$51270$n1119 +.sym 11494 $abc$19660$n46 .sym 11495 CLK$2$2 -.sym 11496 $abc$51270$n35$2 -.sym 11497 $abc$51270$n1119 -.sym 11498 $abc$51270$n778 -.sym 11499 $abc$51270$n780 -.sym 11500 $abc$51270$n779 -.sym 11501 $abc$51270$n921 -.sym 11502 $abc$51270$n795 -.sym 11503 $abc$51270$n755 -.sym 11504 $abc$51270$n842_1 -.sym 11571 last_uart_active -.sym 11572 UART.tx_activity -.sym 11573 $false +.sym 11496 $abc$19660$n52$2 +.sym 11497 $abc$19660$n929_1 +.sym 11498 $abc$19660$n698 +.sym 11499 $abc$19660$n94 +.sym 11500 $abc$19660$n684_1 +.sym 11501 $abc$19660$n696 +.sym 11502 LED3$2 +.sym 11503 LED4$2 +.sym 11504 LED2$2 +.sym 11571 temp_output_report[2] +.sym 11572 I2C.received_byte[2] +.sym 11573 $abc$19660$n1094 .sym 11574 $false -.sym 11577 $abc$51270$n690 -.sym 11578 $abc$51270$n22 +.sym 11577 IS_RAM_INIT +.sym 11578 I2C.FLT_SCL.RESET$2 .sym 11579 $false .sym 11580 $false -.sym 11583 $abc$51270$n738 -.sym 11584 $abc$51270$n728 -.sym 11585 $abc$51270$n758 +.sym 11583 temp_output_report[1] +.sym 11584 I2C.received_byte[1] +.sym 11585 $abc$19660$n1094 .sym 11586 $false -.sym 11589 $abc$51270$n728 -.sym 11590 $abc$51270$n22 -.sym 11591 $false -.sym 11592 $false -.sym 11595 wr_cnt[0] -.sym 11596 wr_cnt[1] -.sym 11597 wr_cnt[2] -.sym 11598 wr_cnt[3] -.sym 11601 I2C_OUTPUT_TYPE[2] -.sym 11602 I2C_OUTPUT_TYPE[1] -.sym 11603 I2C_OUTPUT_TYPE[0] +.sym 11589 KEYBOARD.is_pressed +.sym 11590 KEYBOARD.kbd_code_hid[3] +.sym 11591 $abc$19660$n929_1 +.sym 11592 $abc$19660$n699 +.sym 11595 temp_output_report[0] +.sym 11596 I2C.received_byte[0] +.sym 11597 $abc$19660$n1094 +.sym 11598 $false +.sym 11601 $0\temp_output_report[2:0][0] +.sym 11602 $false +.sym 11603 $false .sym 11604 $false -.sym 11607 $abc$51270$n690 -.sym 11608 I2C.is_read +.sym 11607 $0\temp_output_report[2:0][2] +.sym 11608 $false .sym 11609 $false .sym 11610 $false -.sym 11613 $abc$51270$n1095 -.sym 11614 $abc$51270$n1102 +.sym 11613 $0\temp_output_report[2:0][1] +.sym 11614 $false .sym 11615 $false .sym 11616 $false -.sym 11617 $abc$51270$n1119 +.sym 11617 $abc$19660$n46 .sym 11618 CLK$2$2 -.sym 11619 $abc$51270$n35$2 -.sym 11620 $abc$51270$n1168 -.sym 11621 $abc$51270$n773 -.sym 11622 $abc$51270$n775 -.sym 11623 $abc$51270$n772 -.sym 11624 $abc$51270$n799 -.sym 11625 $abc$51270$n771 -.sym 11626 $abc$51270$n774 -.sym 11627 uart_double_ff -.sym 11694 UART.tx_activity -.sym 11695 last_uart_active -.sym 11696 $false -.sym 11697 $false -.sym 11700 $abc$51270$n743 -.sym 11701 $abc$51270$n771 -.sym 11702 $abc$51270$n776 -.sym 11703 $abc$51270$n773 -.sym 11706 UART_WR -.sym 11707 $abc$51270$n747 -.sym 11708 $abc$51270$n763 -.sym 11709 $abc$51270$n761 -.sym 11712 I2C_TRANS -.sym 11713 $abc$51270$n748 -.sym 11714 $abc$51270$n753 -.sym 11715 $false -.sym 11718 $2\UART_WR[0:0] -.sym 11719 $abc$51270$n687 -.sym 11720 $false -.sym 11721 $false -.sym 11724 last_uart_active -.sym 11725 UART.tx_activity -.sym 11726 $2\uart_double_ff[0:0] -.sym 11727 $abc$51270$n19 -.sym 11730 $abc$51270$n743 -.sym 11731 $abc$51270$n771 -.sym 11732 $abc$51270$n917 -.sym 11733 $abc$51270$n785 -.sym 11736 $2\UART_WR[0:0] -.sym 11737 $false +.sym 11619 $false +.sym 11620 $abc$19660$n1089 +.sym 11621 $abc$19660$n155 +.sym 11622 $abc$19660$n703 +.sym 11623 $abc$19660$n702 +.sym 11625 $abc$19660$n1118 +.sym 11626 $abc$19660$n688 +.sym 11627 $abc$19660$n695 +.sym 11718 KEYBOARD.IS_RAM_INIT$2 +.sym 11719 $abc$19660$n46 +.sym 11720 $abc$19660$n722_1 +.sym 11721 $abc$19660$n690 +.sym 11724 $false +.sym 11725 $false +.sym 11726 KEYBOARD.row_counter[0] +.sym 11727 $false +.sym 11736 KEYBOARD.row_counter[1] +.sym 11737 KEYBOARD.row_counter[0] .sym 11738 $false .sym 11739 $false -.sym 11740 $abc$51270$n796 -.sym 11741 CLK$2$2 -.sym 11742 $abc$51270$n35$2 -.sym 11745 $abc$51270$n1871 -.sym 11746 $abc$51270$n1874 -.sym 11747 $abc$51270$n1454 -.sym 11748 $abc$51270$n785 -.sym 11750 ring_wr[2] -.sym 11817 $2\ring_wr[3:0][1] -.sym 11818 $2\ring_wr[3:0][3] +.sym 11743 $abc$19660$n209 +.sym 11744 $abc$19660$n207 +.sym 11745 $abc$19660$n705 +.sym 11747 $abc$19660$n1088_1 +.sym 11748 $abc$19660$n757_1 +.sym 11817 $abc$19660$n1365 +.sym 11818 $abc$19660$n737 .sym 11819 $false .sym 11820 $false -.sym 11823 wr_cnt[3] -.sym 11824 ring_wr[2] -.sym 11825 $false +.sym 11823 $abc$19660$n565 +.sym 11824 $abc$19660$n555 +.sym 11825 $abc$19660$n567 .sym 11826 $false -.sym 11829 wr_cnt[3] -.sym 11830 ring_wr[1] -.sym 11831 ring_wr[3] -.sym 11832 ring_wr[2] -.sym 11835 $2\ring_wr[3:0][1] -.sym 11836 $2\ring_wr[3:0][3] -.sym 11837 $false -.sym 11838 $false -.sym 11841 int_tmr[0] -.sym 11842 $abc$51270$n771 -.sym 11843 $false -.sym 11844 $false -.sym 11847 $abc$51270$n1219 -.sym 11848 $abc$51270$n1220 -.sym 11849 ring_wr[1] -.sym 11850 ring_wr[3] -.sym 11853 $abc$51270$n383 -.sym 11854 $abc$51270$n394 -.sym 11855 $abc$51270$n396 -.sym 11856 $false -.sym 11859 $true$2 -.sym 11860 $false -.sym 11861 $false -.sym 11862 $false -.sym 11863 $abc$51270$n917 -.sym 11864 CLK$2$2 -.sym 11865 $false -.sym 11867 $2\ring_wr[3:0][3] -.sym 11868 $2\ring_wr[3:0][2] -.sym 11869 $2\ring_wr[3:0][1] -.sym 11870 ring_wr[3] -.sym 11871 ring_wr[0] -.sym 11872 ring_wr[1] -.sym 11940 wr_cnt[1] -.sym 11941 ring_wr[0] +.sym 11866 $3\int_tmr[14:0][0] +.sym 11867 $abc$19660$n913 +.sym 11868 $3\int_tmr[14:0][14] +.sym 11869 $3\int_tmr[14:0][1] +.sym 11870 $abc$19660$n188 +.sym 11871 int_tmr[14] +.sym 11872 int_tmr[0] +.sym 11873 int_tmr[1] +.sym 11940 $abc$19660$n643 +.sym 11941 int_tmr[6] .sym 11942 $false .sym 11943 $false -.sym 11946 $abc$51270$n1201 -.sym 11947 $2\ring_wr[3:0][0] -.sym 11948 $abc$51270$n690 -.sym 11949 $abc$51270$n22 -.sym 11952 wr_cnt[1] -.sym 11953 ring_wr[0] -.sym 11954 wr_cnt[2] -.sym 11955 ring_wr[1] -.sym 11958 $abc$51270$n1197 -.sym 11959 init_ram_cnt[1] -.sym 11960 init_ram_cnt[2] -.sym 11961 $abc$51270$n22 -.sym 11964 $abc$51270$n1204 -.sym 11965 $2\ring_wr[3:0][1] -.sym 11966 $abc$51270$n690 -.sym 11967 $abc$51270$n22 -.sym 11970 init_ram_cnt[1] -.sym 11971 $abc$51270$n1197 -.sym 11972 $abc$51270$n22 -.sym 11973 $abc$51270$n1200_1 -.sym 11982 $abc$51270$n1203 -.sym 11983 $abc$51270$n1205_1 -.sym 11984 $false +.sym 11946 $abc$19660$n643 +.sym 11947 int_tmr[2] +.sym 11948 $false +.sym 11949 $false +.sym 11952 $abc$19660$n643 +.sym 11953 int_tmr[8] +.sym 11954 $false +.sym 11955 $false +.sym 11958 $abc$19660$n643 +.sym 11959 int_tmr[4] +.sym 11960 $false +.sym 11961 $false +.sym 11964 $abc$19660$n932 +.sym 11965 int_tmr[6] +.sym 11966 $3\int_tmr[14:0][14] +.sym 11967 $false +.sym 11970 $abc$19660$n938 +.sym 11971 int_tmr[8] +.sym 11972 $3\int_tmr[14:0][14] +.sym 11973 $false +.sym 11976 $abc$19660$n926 +.sym 11977 int_tmr[4] +.sym 11978 $3\int_tmr[14:0][14] +.sym 11979 $false +.sym 11982 $abc$19660$n920 +.sym 11983 int_tmr[2] +.sym 11984 $3\int_tmr[14:0][14] .sym 11985 $false -.sym 11986 $abc$51270$n950$2 +.sym 11986 $abc$19660$n41$2 .sym 11987 CLK$2$2 -.sym 11988 $false -.sym 12063 init_ram_cnt[2] -.sym 12064 init_ram_cnt[4] -.sym 12065 init_ram_cnt[6] -.sym 12066 init_ram_cnt[3] -.sym 12069 init_ram_cnt[1] +.sym 11988 $abc$19660$n19$2 +.sym 11989 $3\int_tmr[14:0][9] +.sym 11990 $3\int_tmr[14:0][7] +.sym 11991 $3\int_tmr[14:0][5] +.sym 11992 $3\int_tmr[14:0][10] +.sym 11993 int_tmr[10] +.sym 11994 int_tmr[9] +.sym 11995 int_tmr[7] +.sym 11996 int_tmr[5] +.sym 12025 $true +.sym 12062 $3\int_tmr[14:0][0]$2 +.sym 12063 $false +.sym 12064 $3\int_tmr[14:0][0] +.sym 12065 $false +.sym 12066 $false +.sym 12068 $auto$alumacc.cc:474:replace_alu$5210.C[2] .sym 12070 $false -.sym 12071 $false -.sym 12072 $false -.sym 12075 init_ram_cnt[0] -.sym 12076 init_ram_cnt[1] -.sym 12077 $abc$51270$n731 -.sym 12078 $false -.sym 12081 init_ram_cnt[0] +.sym 12071 $3\int_tmr[14:0][1] +.sym 12074 $auto$alumacc.cc:474:replace_alu$5210.C[3] +.sym 12075 $false +.sym 12076 $false +.sym 12077 $3\int_tmr[14:0][2] +.sym 12078 $auto$alumacc.cc:474:replace_alu$5210.C[2] +.sym 12080 $auto$alumacc.cc:474:replace_alu$5210.C[4] +.sym 12081 $false .sym 12082 $false -.sym 12083 $false -.sym 12084 $false -.sym 12087 init_ram_cnt[6] +.sym 12083 $3\int_tmr[14:0][3] +.sym 12084 $auto$alumacc.cc:474:replace_alu$5210.C[3] +.sym 12086 $auto$alumacc.cc:474:replace_alu$5210.C[5] +.sym 12087 $false .sym 12088 $false -.sym 12089 $false -.sym 12090 $false +.sym 12089 $3\int_tmr[14:0][4] +.sym 12090 $auto$alumacc.cc:474:replace_alu$5210.C[4] +.sym 12092 $auto$alumacc.cc:474:replace_alu$5210.C[6] .sym 12093 $false .sym 12094 $false -.sym 12095 int_tmr[0] -.sym 12096 $false -.sym 12099 init_ram_cnt[4] +.sym 12095 $3\int_tmr[14:0][5] +.sym 12096 $auto$alumacc.cc:474:replace_alu$5210.C[5] +.sym 12098 $auto$alumacc.cc:474:replace_alu$5210.C[7] +.sym 12099 $false .sym 12100 $false -.sym 12101 $false -.sym 12102 $false -.sym 12105 init_ram_cnt[3] +.sym 12101 $3\int_tmr[14:0][6] +.sym 12102 $auto$alumacc.cc:474:replace_alu$5210.C[6] +.sym 12104 $auto$alumacc.cc:474:replace_alu$5210.C[8] +.sym 12105 $false .sym 12106 $false -.sym 12107 $false -.sym 12108 $false -.sym 12112 $abc$51270$n1197 -.sym 12113 $abc$51270$n729 -.sym 12116 $abc$51270$n184 -.sym 12117 $abc$51270$n23 -.sym 12118 $abc$51270$n191 -.sym 12119 $abc$51270$n187 -.sym 12148 $true -.sym 12185 init_ram_cnt[1]$2 +.sym 12107 $3\int_tmr[14:0][7] +.sym 12108 $auto$alumacc.cc:474:replace_alu$5210.C[7] +.sym 12112 KEYBOARD.ram_adr[4] +.sym 12113 KEYBOARD.ram_adr[8] +.sym 12114 KEYBOARD.ram_adr[7] +.sym 12115 KEYBOARD.ram_adr[0] +.sym 12117 KEYBOARD.ram_adr[2] +.sym 12118 KEYBOARD.ram_adr[3] +.sym 12119 KEYBOARD.ram_adr[1] +.sym 12148 $auto$alumacc.cc:474:replace_alu$5210.C[8] +.sym 12185 $auto$alumacc.cc:474:replace_alu$5210.C[9] .sym 12186 $false -.sym 12187 init_ram_cnt[1] -.sym 12188 $false -.sym 12189 $false -.sym 12191 $auto$alumacc.cc:474:replace_alu$9545.C[3] -.sym 12193 init_ram_cnt[2] -.sym 12194 $true$2 -.sym 12197 $auto$alumacc.cc:474:replace_alu$9545.C[4] -.sym 12199 init_ram_cnt[3] -.sym 12200 $false -.sym 12203 $auto$alumacc.cc:474:replace_alu$9545.C[5] -.sym 12205 init_ram_cnt[4] -.sym 12206 $true$2 -.sym 12209 $auto$alumacc.cc:474:replace_alu$9545.C[6] -.sym 12211 init_ram_cnt[5] -.sym 12212 $false -.sym 12215 $auto$alumacc.cc:474:replace_alu$9545.C[7] -.sym 12217 init_ram_cnt[6] -.sym 12218 $true$2 -.sym 12221 $abc$51270$n22$2 -.sym 12223 init_ram_cnt[7] -.sym 12224 $false -.sym 12231 $abc$51270$n22$2 -.sym 12309 $abc$51270$n1404 -.sym 12335 $abc$51270$n711 -.sym 12336 $abc$51270$n33 -.sym 12337 $abc$51270$n710 -.sym 12339 KEYBOARD.report[6][4] -.sym 12340 KEYBOARD.report[6][6] -.sym 12341 KEYBOARD.report[6][3] -.sym 12342 KEYBOARD.report[6][1] -.sym 12410 KEYBOARD.kbd_code_hid[4] -.sym 12411 KEYBOARD.report[6][4] -.sym 12412 KEYBOARD.report[6][0] -.sym 12413 KEYBOARD.kbd_code_hid[0] -.sym 12416 KEYBOARD.kbd_code_hid[1] -.sym 12417 KEYBOARD.report[6][1] -.sym 12418 KEYBOARD.kbd_code_hid[6] -.sym 12419 KEYBOARD.report[6][6] -.sym 12422 KEYBOARD.kbd_code_hid[3] -.sym 12423 KEYBOARD.report[6][3] -.sym 12424 KEYBOARD.kbd_code_hid[7] -.sym 12425 KEYBOARD.report[6][7] -.sym 12428 $abc$51270$n947 -.sym 12429 $abc$51270$n948 -.sym 12430 $abc$51270$n949 -.sym 12431 $abc$51270$n950_1 -.sym 12434 $abc$51270$n1001 -.sym 12435 $abc$51270$n1418$2 +.sym 12187 $false +.sym 12188 $3\int_tmr[14:0][8] +.sym 12189 $auto$alumacc.cc:474:replace_alu$5210.C[8] +.sym 12191 $auto$alumacc.cc:474:replace_alu$5210.C[10] +.sym 12192 $false +.sym 12193 $false +.sym 12194 $3\int_tmr[14:0][9] +.sym 12195 $auto$alumacc.cc:474:replace_alu$5210.C[9] +.sym 12197 $auto$alumacc.cc:474:replace_alu$5210.C[11] +.sym 12198 $false +.sym 12199 $false +.sym 12200 $3\int_tmr[14:0][10] +.sym 12201 $auto$alumacc.cc:474:replace_alu$5210.C[10] +.sym 12203 $auto$alumacc.cc:474:replace_alu$5210.C[12] +.sym 12204 $false +.sym 12205 $false +.sym 12206 $3\int_tmr[14:0][11] +.sym 12207 $auto$alumacc.cc:474:replace_alu$5210.C[11] +.sym 12209 $auto$alumacc.cc:474:replace_alu$5210.C[13] +.sym 12210 $false +.sym 12211 $false +.sym 12212 $3\int_tmr[14:0][12] +.sym 12213 $auto$alumacc.cc:474:replace_alu$5210.C[12] +.sym 12215 $auto$alumacc.cc:474:replace_alu$5210.C[14] +.sym 12216 $false +.sym 12217 $false +.sym 12218 $3\int_tmr[14:0][13] +.sym 12219 $auto$alumacc.cc:474:replace_alu$5210.C[13] +.sym 12222 $false +.sym 12223 $false +.sym 12224 $3\int_tmr[14:0][14] +.sym 12225 $auto$alumacc.cc:474:replace_alu$5210.C[14] +.sym 12228 $abc$19660$n950 +.sym 12229 int_tmr[12] +.sym 12230 $3\int_tmr[14:0][14] +.sym 12231 $false +.sym 12232 $abc$19660$n41$2 +.sym 12233 CLK$2$2 +.sym 12234 $abc$19660$n19$2 +.sym 12309 $abc$19660$n198 +.sym 12335 $abc$19660$n19 +.sym 12410 $abc$19660$n1359 +.sym 12411 $false +.sym 12412 $false +.sym 12413 $false +.sym 12422 KEYBOARD.COLS_SHADOW[7] +.sym 12423 KBD_COLUMNS[7]$2 +.sym 12424 $abc$19660$n727 +.sym 12425 $false +.sym 12428 $abc$19660$n1356 +.sym 12429 $false +.sym 12430 $false +.sym 12431 $false +.sym 12434 $abc$19660$n1353 +.sym 12435 $false .sym 12436 $false .sym 12437 $false -.sym 12440 KEYBOARD.kbd_code_hid[5] +.sym 12440 $abc$19660$n1365 .sym 12441 $false .sym 12442 $false .sym 12443 $false -.sym 12446 KEYBOARD.kbd_code_hid[7] -.sym 12447 $false -.sym 12448 $false +.sym 12446 KEYBOARD.COLS_SHADOW[4] +.sym 12447 KBD_COLUMNS[4]$2 +.sym 12448 $abc$19660$n727 .sym 12449 $false -.sym 12452 KEYBOARD.kbd_code_hid[0] -.sym 12453 $false -.sym 12454 $false -.sym 12455 $false -.sym 12456 $abc$51270$n1313 +.sym 12456 $abc$19660$n196 .sym 12457 CLK$2$2 -.sym 12458 $abc$51270$n27 +.sym 12458 $false .sym 12461 KBD_COLUMNS[4]$2 -.sym 12463 $abc$51270$n899 -.sym 12464 $abc$51270$n924 -.sym 12465 $abc$51270$n952_1 -.sym 12466 $abc$51270$n923 -.sym 12467 $abc$51270$n1258 -.sym 12468 $abc$51270$n1313 -.sym 12469 $abc$51270$n1457_1 -.sym 12470 KEYBOARD.isr -.sym 12573 KEYBOARD.report[6][0] -.sym 12574 KEYBOARD.report[6][1] -.sym 12575 KEYBOARD.report[6][2] -.sym 12576 KEYBOARD.report[6][3] -.sym 12579 I2C.FLT_SDA.out -.sym 12580 I2C.SDAF -.sym 12581 $abc$51270$n1011 +.sym 12464 I2C.i2c_state_machine +.sym 12573 KEYBOARD.COLS_SHADOW[2] +.sym 12574 KBD_COLUMNS[2]$2 +.sym 12575 $abc$19660$n727 +.sym 12576 $false +.sym 12579 KEYBOARD.COLS_SHADOW[5] +.sym 12580 KBD_COLUMNS[5]$2 +.sym 12581 $abc$19660$n727 .sym 12582 $false -.sym 12585 $abc$51270$n2334 -.sym 12586 $abc$51270$n1012 -.sym 12587 $false +.sym 12585 KEYBOARD.COLS_SHADOW[3] +.sym 12586 KBD_COLUMNS[3]$2 +.sym 12587 $abc$19660$n727 .sym 12588 $false -.sym 12591 $abc$51270$n954 -.sym 12592 $abc$51270$n955 -.sym 12593 $false -.sym 12594 $false -.sym 12597 KEYBOARD.kbd_code_hid[2] -.sym 12598 KEYBOARD.report[6][2] -.sym 12599 KEYBOARD.kbd_code_hid[5] -.sym 12600 KEYBOARD.report[6][5] -.sym 12603 $abc$51270$n2333 -.sym 12604 $abc$51270$n1629 -.sym 12605 I2C.FLT_SDA.out -.sym 12606 I2C.SDAF -.sym 12609 KEYBOARD.report[6][4] -.sym 12610 KEYBOARD.report[6][5] -.sym 12611 KEYBOARD.report[6][6] -.sym 12612 KEYBOARD.report[6][7] -.sym 12615 KEYBOARD.kbd_code_hid[2] -.sym 12616 $false +.sym 12609 I2C.i2c_start_latency +.sym 12610 I2C.i2c_state_machine +.sym 12611 $abc$19660$n1436 +.sym 12612 I2C.FLT_SCL.RESET$2 +.sym 12615 I2C.i2c_state_machine +.sym 12616 I2C.i2c_start_latency .sym 12617 $false .sym 12618 $false -.sym 12619 $abc$51270$n1313 -.sym 12620 CLK$2$2 -.sym 12621 $abc$51270$n27 -.sym 12622 $abc$51270$n1857 -.sym 12623 $abc$51270$n2315 -.sym 12624 $abc$51270$n915 -.sym 12625 $abc$51270$n907 -.sym 12626 wr_cnt[1] -.sym 12627 wr_cnt[0] -.sym 12628 wr_cnt[2] -.sym 12629 wr_cnt[3] -.sym 12696 $abc$51270$n1123 -.sym 12697 KEYBOARD.report[3][4] -.sym 12698 $false -.sym 12699 $false -.sym 12702 $abc$51270$n977 -.sym 12703 $abc$51270$n973 -.sym 12704 $abc$51270$n976 -.sym 12705 $abc$51270$n1418$2 -.sym 12708 KEYBOARD.kbd_code_hid[5] -.sym 12709 KEYBOARD.report[3][5] -.sym 12710 KEYBOARD.kbd_code_hid[6] -.sym 12711 KEYBOARD.report[3][6] -.sym 12714 KEYBOARD.kbd_code_hid[3] -.sym 12715 KEYBOARD.report[3][3] -.sym 12716 $false -.sym 12717 $false -.sym 12720 KEYBOARD.report[3][4] -.sym 12721 KEYBOARD.report[3][5] -.sym 12722 KEYBOARD.report[3][6] -.sym 12723 KEYBOARD.report[3][7] -.sym 12726 $abc$51270$n974_1 -.sym 12727 KEYBOARD.kbd_code_hid[4] -.sym 12728 KEYBOARD.report[3][4] -.sym 12729 $abc$51270$n975 -.sym 12732 KEYBOARD.report[6][6] -.sym 12733 $abc$51270$n1124 -.sym 12734 $abc$51270$n1123 -.sym 12735 KEYBOARD.report[3][6] -.sym 12738 $abc$51270$n2317 -.sym 12739 $false -.sym 12740 $false +.sym 12622 $abc$19660$n780 +.sym 12623 $abc$19660$n997 +.sym 12624 $abc$19660$n1436 +.sym 12625 $abc$19660$n1438 +.sym 12626 $abc$19660$n660 +.sym 12627 $abc$19660$n994 +.sym 12628 $abc$19660$n1440 +.sym 12629 $abc$19660$n778_1 +.sym 12702 $abc$19660$n780 +.sym 12703 $abc$19660$n1013 +.sym 12704 $abc$19660$n1010 +.sym 12705 $abc$19660$n1440 +.sym 12708 $abc$19660$n1013 +.sym 12709 $abc$19660$n660 +.sym 12710 $abc$19660$n1010 +.sym 12711 $abc$19660$n1438 +.sym 12720 I2C.FLT_SDA.out +.sym 12721 $false +.sym 12722 $false +.sym 12723 $false +.sym 12726 $abc$19660$n776_1 +.sym 12727 $abc$19660$n1005 +.sym 12728 $abc$19660$n778_1 +.sym 12729 $abc$19660$n997 +.sym 12732 UART_WR +.sym 12733 $false +.sym 12734 $false +.sym 12735 $false +.sym 12738 I2C.i2c_state_machine +.sym 12739 $abc$19660$n994 +.sym 12740 $abc$19660$n1105 .sym 12741 $false -.sym 12742 $abc$51270$n1354 +.sym 12742 I2C.FLT_SCL.RESET$2 .sym 12743 CLK$2$2 -.sym 12744 $abc$51270$n35$2 -.sym 12745 $abc$51270$n1006 -.sym 12746 $abc$51270$n981 -.sym 12747 $abc$51270$n980 -.sym 12748 $abc$51270$n908 -.sym 12749 $abc$51270$n983 -.sym 12750 $abc$51270$n982 -.sym 12751 KEYBOARD.report[2][6] -.sym 12752 KEYBOARD.report[2][7] -.sym 12819 $abc$51270$n1123 -.sym 12820 KEYBOARD.report[3][3] -.sym 12821 $abc$51270$n1113 -.sym 12822 KEYBOARD.report[5][3] -.sym 12825 $abc$51270$n1115 -.sym 12826 KEYBOARD.report[2][7] -.sym 12827 KEYBOARD.report[6][7] -.sym 12828 $abc$51270$n1124 -.sym 12831 KEYBOARD.report[2][0] -.sym 12832 KEYBOARD.report[2][1] -.sym 12833 KEYBOARD.report[2][2] -.sym 12834 KEYBOARD.report[2][3] -.sym 12837 KEYBOARD.kbd_code_hid[1] -.sym 12838 KEYBOARD.report[2][1] -.sym 12839 KEYBOARD.kbd_code_hid[2] -.sym 12840 KEYBOARD.report[2][2] -.sym 12843 KEYBOARD.report[6][3] -.sym 12844 $abc$51270$n1124 -.sym 12845 $abc$51270$n1153 +.sym 12744 $false +.sym 12745 $abc$19660$n996 +.sym 12746 $abc$19660$n995 +.sym 12747 $abc$19660$n1006 +.sym 12748 $abc$19660$n1105 +.sym 12749 $abc$19660$n1003 +.sym 12750 $abc$19660$n992_1 +.sym 12751 $abc$19660$n1004 +.sym 12752 $abc$19660$n1103 +.sym 12819 $abc$19660$n993 +.sym 12820 I2C.FLT_SCL.out +.sym 12821 $abc$19660$n10 +.sym 12822 $abc$19660$n212 +.sym 12825 KEYBOARD.IS_RAM_INIT$2 +.sym 12826 $abc$19660$n46 +.sym 12827 $abc$19660$n763_1 +.sym 12828 $false +.sym 12831 $abc$19660$n1110_1 +.sym 12832 $abc$19660$n1025 +.sym 12833 $abc$19660$n1004 +.sym 12834 $abc$19660$n778_1 +.sym 12837 I2C.FLT_SCL.out +.sym 12838 I2C.FLT_SDA.out +.sym 12839 $abc$19660$n8 +.sym 12840 I2C.wr +.sym 12843 $abc$19660$n997 +.sym 12844 $abc$19660$n776_1 +.sym 12845 $abc$19660$n1005 .sym 12846 $false -.sym 12849 KEYBOARD.report[6][1] -.sym 12850 $abc$51270$n1124 -.sym 12851 $abc$51270$n1113 -.sym 12852 KEYBOARD.report[5][1] -.sym 12855 $abc$51270$n2312 -.sym 12856 $false -.sym 12857 $false +.sym 12855 $abc$19660$n997 +.sym 12856 $abc$19660$n993 +.sym 12857 $abc$19660$n1001 .sym 12858 $false -.sym 12861 $abc$51270$n2311 +.sym 12861 I2C.SCLF .sym 12862 $false .sym 12863 $false .sym 12864 $false -.sym 12865 $abc$51270$n1365 +.sym 12865 $abc$19660$n329 .sym 12866 CLK$2$2 -.sym 12867 $abc$51270$n35$2 -.sym 12868 $abc$51270$n921_1 -.sym 12869 $abc$51270$n1460_1 -.sym 12870 $abc$51270$n1461 -.sym 12871 $abc$51270$n1415 -.sym 12872 $abc$51270$n920 -.sym 12873 $abc$51270$n1459 -.sym 12874 $abc$51270$n966 -.sym 12875 KEYBOARD.report[4][6] -.sym 12942 $abc$51270$n1124 -.sym 12943 KEYBOARD.report[6][4] -.sym 12944 $false -.sym 12945 $false -.sym 12948 KEYBOARD.report[4][4] -.sym 12949 $abc$51270$n1121 -.sym 12950 $abc$51270$n1120 -.sym 12951 $abc$51270$n1163_1 -.sym 12954 KEYBOARD.report[2][1] -.sym 12955 $abc$51270$n1115 -.sym 12956 $abc$51270$n1136 -.sym 12957 $false -.sym 12960 $abc$51270$n1121 -.sym 12961 KEYBOARD.report[4][6] -.sym 12962 $abc$51270$n1181 -.sym 12963 $abc$51270$n1183 -.sym 12966 KEYBOARD.report[3][7] -.sym 12967 $abc$51270$n1123 -.sym 12968 KEYBOARD.report[4][7] -.sym 12969 $abc$51270$n1121 -.sym 12972 $abc$51270$n1115 -.sym 12973 KEYBOARD.report[2][6] -.sym 12974 $abc$51270$n1113 -.sym 12975 KEYBOARD.report[5][6] -.sym 12978 $abc$51270$n1165 -.sym 12979 $abc$51270$n1166 -.sym 12980 $abc$51270$n1164 -.sym 12981 $abc$51270$n1167 -.sym 12984 $abc$51270$n2318 -.sym 12985 $false -.sym 12986 $false -.sym 12987 $false -.sym 12988 $abc$51270$n1325 -.sym 12989 CLK$2$2 -.sym 12990 $abc$51270$n35$2 -.sym 12991 $abc$51270$n2318 -.sym 12992 $abc$51270$n912 -.sym 12993 $abc$51270$n911 -.sym 12994 $abc$51270$n902 -.sym 12995 $abc$51270$n903 -.sym 12996 $abc$51270$n913 -.sym 12997 KEYBOARD.report[2][4] -.sym 12998 KEYBOARD.report[2][3] -.sym 13065 $abc$51270$n1117_1 -.sym 13066 KEYBOARD.report[1][6] -.sym 13067 $false +.sym 12867 $abc$19660$n19$2 +.sym 12868 $abc$19660$n1012 +.sym 12869 $abc$19660$n1001 +.sym 12870 $abc$19660$n513 +.sym 12871 $abc$19660$n1020 +.sym 12872 $abc$19660$n1104 +.sym 12873 $abc$19660$n519 +.sym 12874 $abc$19660$n782_1 +.sym 12875 $abc$19660$n990 +.sym 12942 $abc$19660$n635 +.sym 12943 $abc$19660$n661 +.sym 12944 $abc$19660$n667 +.sym 12945 $abc$19660$n1603 +.sym 12948 $false +.sym 12949 $false +.sym 12950 $false +.sym 12951 $abc$19660$n1603 +.sym 12954 $abc$19660$n1011 +.sym 12955 $abc$19660$n782_1 +.sym 12956 $abc$19660$n1005 +.sym 12957 $abc$19660$n1012 +.sym 12960 $abc$19660$n993 +.sym 12961 $abc$19660$n1001 +.sym 12962 $abc$19660$n776_1 +.sym 12963 $abc$19660$n778_1 +.sym 12966 $abc$19660$n635 +.sym 12967 $abc$19660$n667 +.sym 12968 $abc$19660$n661 +.sym 12969 $abc$19660$n1603 +.sym 12972 $abc$19660$n10 +.sym 12973 I2C.FLT_SCL.out +.sym 12974 $abc$19660$n776_1 +.sym 12975 $false +.sym 12978 $abc$19660$n10 +.sym 12979 I2C.FLT_SCL.out +.sym 12980 $abc$19660$n776_1 +.sym 12981 $false +.sym 12984 $abc$19660$n775 +.sym 12985 $abc$19660$n1014 +.sym 12986 $abc$19660$n212 +.sym 12987 $abc$19660$n778_1 +.sym 12991 $abc$19660$n1442 +.sym 12992 $abc$19660$n998_1 +.sym 12993 $abc$19660$n999 +.sym 12994 $abc$19660$n993 +.sym 12995 UART_TX_DATA[3] +.sym 12996 UART_TX_DATA[0] +.sym 12997 UART_TX_DATA[2] +.sym 12998 UART_TX_DATA[1] +.sym 13065 $abc$19660$n1012 +.sym 13066 $abc$19660$n1011 +.sym 13067 $abc$19660$n1111 .sym 13068 $false -.sym 13071 KEYBOARD.report[4][3] -.sym 13072 $abc$51270$n1121 -.sym 13073 $abc$51270$n1117_1 -.sym 13074 KEYBOARD.report[1][3] -.sym 13077 $abc$51270$n1180 -.sym 13078 $abc$51270$n1469 -.sym 13079 $abc$51270$n1185 -.sym 13080 $abc$51270$n1120 -.sym 13083 $abc$51270$n1118 -.sym 13084 KEYBOARD.report[0][4] -.sym 13085 $abc$51270$n1115 -.sym 13086 KEYBOARD.report[2][4] -.sym 13089 KEYBOARD.report[1][6] -.sym 13090 KEYBOARD.report[5][6] -.sym 13091 wr_cnt[2] -.sym 13092 $abc$51270$n1127 -.sym 13095 KEYBOARD.report[2][3] -.sym 13096 $abc$51270$n1115 -.sym 13097 $abc$51270$n1152_1 -.sym 13098 $abc$51270$n1154 -.sym 13101 $abc$51270$n1501 -.sym 13102 $abc$51270$n1473 -.sym 13103 $abc$51270$n1127 -.sym 13104 $abc$51270$n1120 -.sym 13107 $abc$51270$n2314 -.sym 13108 $false -.sym 13109 $false -.sym 13110 $false -.sym 13111 $abc$51270$n1325 +.sym 13077 I2C.FLT_SCL.out +.sym 13078 $false +.sym 13079 $false +.sym 13080 $false +.sym 13083 I2C.received_byte[0] +.sym 13084 $abc$19660$n1008 +.sym 13085 $abc$19660$n778_1 +.sym 13086 $abc$19660$n776_1 +.sym 13089 $abc$19660$n635 +.sym 13090 $abc$19660$n1013 +.sym 13091 $abc$19660$n1010 +.sym 13092 $abc$19660$n1680 +.sym 13095 $abc$19660$n1013 +.sym 13096 $abc$19660$n661 +.sym 13097 $abc$19660$n1010 +.sym 13098 $abc$19660$n1442 +.sym 13101 $abc$19660$n1014 +.sym 13102 $abc$19660$n1011 +.sym 13103 $abc$19660$n993 +.sym 13104 $abc$19660$n1020 +.sym 13111 I2C.FLT_SCL.RESET$2 .sym 13112 CLK$2$2 -.sym 13113 $abc$51270$n35$2 -.sym 13114 $abc$51270$n1479 -.sym 13115 $abc$51270$n1506 -.sym 13116 $abc$51270$n880 -.sym 13117 $abc$51270$n1478_1 -.sym 13118 $abc$51270$n1507 -.sym 13119 $abc$51270$n1505 -.sym 13120 $abc$51270$n796_1 -.sym 13121 I2C_HID_DESC.last_rd_request -.sym 13188 $abc$51270$n269 -.sym 13189 wr_cnt[1] +.sym 13113 $false +.sym 13114 $abc$19660$n581 +.sym 13115 $abc$19660$n1076 +.sym 13116 $abc$19660$n539 +.sym 13117 $abc$19660$n560 +.sym 13118 $abc$19660$n1065_1 +.sym 13119 $abc$19660$n600 +.sym 13120 I2C_COUNTER[3] +.sym 13188 $abc$19660$n527_1 +.sym 13189 $abc$19660$n576 .sym 13190 $false .sym 13191 $false -.sym 13194 KEYBOARD.report[0][3] -.sym 13195 $abc$51270$n1118 -.sym 13196 $abc$51270$n1120 -.sym 13197 $abc$51270$n1151 -.sym 13200 $false -.sym 13201 wr_cnt[0] -.sym 13202 $false -.sym 13203 $false -.sym 13206 KEYBOARD.report[0][3] -.sym 13207 KEYBOARD.report[1][3] -.sym 13208 $abc$51270$n1128 -.sym 13209 $abc$51270$n1120 -.sym 13212 KEYBOARD.kbd_code_hid[2] -.sym 13213 KEYBOARD.kbd_code_hid[1] -.sym 13214 KEYBOARD.kbd_code_hid[0] -.sym 13215 $false -.sym 13218 wr_cnt[2] -.sym 13219 $abc$51270$n269 -.sym 13220 wr_cnt[1] -.sym 13221 $false -.sym 13224 KEYBOARD.report[0][3] -.sym 13225 $abc$51270$n27 -.sym 13226 $abc$51270$n1352 -.sym 13227 $false -.sym 13230 KEYBOARD.report[0][0] -.sym 13231 $abc$51270$n27 -.sym 13232 $abc$51270$n912 +.sym 13194 $abc$19660$n559 +.sym 13195 $abc$19660$n527_1 +.sym 13196 $abc$19660$n576 +.sym 13197 $abc$19660$n581 +.sym 13200 $abc$19660$n1672 +.sym 13201 $abc$19660$n1645 +.sym 13202 $abc$19660$n560 +.sym 13203 $abc$19660$n600 +.sym 13206 $abc$19660$n1677 +.sym 13207 $abc$19660$n520 +.sym 13208 $false +.sym 13209 $false +.sym 13212 $abc$19660$n560 +.sym 13213 $abc$19660$n600 +.sym 13214 $abc$19660$n1672 +.sym 13215 $abc$19660$n1645 +.sym 13218 $abc$19660$n1065_1 +.sym 13219 $abc$19660$n581 +.sym 13220 $abc$19660$n524 +.sym 13221 $abc$19660$n1671 +.sym 13224 $abc$19660$n1114_1 +.sym 13225 $abc$19660$n1113 +.sym 13226 $abc$19660$n1115 +.sym 13227 $abc$19660$n599 +.sym 13230 $abc$19660$n1672 +.sym 13231 $abc$19660$n560 +.sym 13232 $false .sym 13233 $false -.sym 13234 $abc$51270$n1381 -.sym 13235 CLK$2$2 -.sym 13236 $abc$51270$n35$2 -.sym 13237 $abc$51270$n1420 -.sym 13238 UART_TX_DATA[7] -.sym 13239 UART_TX_DATA[3] -.sym 13241 UART_TX_DATA[1] -.sym 13242 UART_TX_DATA[4] -.sym 13243 UART_TX_DATA[0] -.sym 13244 UART_TX_DATA[5] -.sym 13311 UART_TX_DATA[3] -.sym 13312 UART_TX_DATA[1] -.sym 13313 $abc$51270$n680 +.sym 13239 $abc$19660$n1000 +.sym 13241 $abc$19660$n556 +.sym 13242 $abc$19660$n543 +.sym 13243 I2C_COUNTER[2] +.sym 13244 I2C_COUNTER[5] +.sym 13311 $abc$19660$n1677 +.sym 13312 $abc$19660$n524 +.sym 13313 $abc$19660$n520 .sym 13314 $false -.sym 13317 REPORT_DATA.r_data[4] -.sym 13318 I2C_TX_DESC[4] -.sym 13319 I2C_OUT_DESC_MASK[4] +.sym 13317 $abc$19660$n1116 +.sym 13318 $abc$19660$n608 +.sym 13319 $false .sym 13320 $false -.sym 13323 KEYBOARD.kbd_code_hid[0] -.sym 13324 KEYBOARD.kbd_code_hid[1] -.sym 13325 KEYBOARD.kbd_code_hid[2] +.sym 13323 $abc$19660$n608 +.sym 13324 $abc$19660$n523 +.sym 13325 $false .sym 13326 $false -.sym 13329 $abc$51270$n27 -.sym 13330 KEYBOARD.kbd_code_hid[0] -.sym 13331 $false +.sym 13329 $abc$19660$n527_1 +.sym 13330 $abc$19660$n559 +.sym 13331 $abc$19660$n576 .sym 13332 $false -.sym 13335 UART_TX_DATA[7] -.sym 13336 UART_TX_DATA[5] -.sym 13337 $abc$51270$n680 +.sym 13335 $abc$19660$n1671 +.sym 13336 $abc$19660$n581 +.sym 13337 $false .sym 13338 $false -.sym 13341 UART_TX_DATA[2] -.sym 13342 UART_TX_DATA[0] -.sym 13343 $abc$51270$n680 +.sym 13341 $abc$19660$n674 +.sym 13342 $abc$19660$n523 +.sym 13343 $false .sym 13344 $false -.sym 13347 KEYBOARD.kbd_code_hid[1] -.sym 13348 KEYBOARD.kbd_code_hid[2] -.sym 13349 KEYBOARD.kbd_code_hid[0] -.sym 13350 $false -.sym 13353 I2C.byte_counter[3] -.sym 13354 $false +.sym 13347 $abc$19660$n597 +.sym 13348 $abc$19660$n612 +.sym 13349 $abc$19660$n609 +.sym 13350 $abc$19660$n610 +.sym 13353 $abc$19660$n524 +.sym 13354 $abc$19660$n1116 .sym 13355 $false .sym 13356 $false -.sym 13357 $abc$51270$n1420 -.sym 13358 CLK$2$2 -.sym 13359 $abc$51270$n35$2 -.sym 13360 $abc$51270$n1046_1 -.sym 13361 $abc$51270$n937 -.sym 13362 $abc$51270$n1054 -.sym 13365 KEYBOARD.ROWS_EN[14] -.sym 13366 KEYBOARD.ROWS_EN[13] -.sym 13434 $2\uart_double_ff[0:0] -.sym 13435 I2C.wr -.sym 13436 last_wr -.sym 13437 $abc$51270$n769 -.sym 13458 REPORT_DATA.r_data[0] -.sym 13459 I2C_HID_DESC.VAL[0] -.sym 13460 I2C_OUT_DESC_MASK[0] +.sym 13360 $abc$19660$n522 +.sym 13361 $abc$19660$n649 +.sym 13362 $abc$19660$n615 +.sym 13363 $abc$19660$n646 +.sym 13364 $2\I2C_OUTPUT_TYPE[2:0][0] +.sym 13365 $abc$19660$n652 +.sym 13366 $abc$19660$n523_1 +.sym 13367 I2C_HID_DESC.DESC_TYPE +.sym 13434 $abc$19660$n523 +.sym 13435 $abc$19660$n524 +.sym 13436 $abc$19660$n1116 +.sym 13437 $false +.sym 13440 $abc$19660$n613 +.sym 13441 $abc$19660$n998 +.sym 13442 $abc$19660$n524_1 +.sym 13443 $false +.sym 13446 $22\i2c_input_data_type[3:0][2] +.sym 13447 $abc$19660$n520 +.sym 13448 $false +.sym 13449 $false +.sym 13452 $false +.sym 13453 $22\i2c_input_data_type[3:0][0] +.sym 13454 $false +.sym 13455 $false +.sym 13458 $abc$19660$n1677 +.sym 13459 $abc$19660$n520 +.sym 13460 $false .sym 13461 $false -.sym 13464 last_wr -.sym 13465 I2C.wr -.sym 13466 $false +.sym 13464 $abc$19660$n523 +.sym 13465 $22\i2c_input_data_type[3:0][0] +.sym 13466 $abc$19660$n524_1 .sym 13467 $false -.sym 13470 I2C.wr -.sym 13471 last_wr -.sym 13472 $false -.sym 13473 $false -.sym 13476 I2C.wr -.sym 13477 $false +.sym 13470 I2C_COUNTER[0] +.sym 13471 I2C_COUNTER[3] +.sym 13472 I2C_COUNTER[1] +.sym 13473 $abc$19660$n539 +.sym 13476 $abc$19660$n674 +.sym 13477 $abc$19660$n1677 .sym 13478 $false .sym 13479 $false -.sym 13480 $abc$51270$n1149 -.sym 13481 CLK$2$2 -.sym 13482 $false -.sym 13483 $abc$51270$n837 -.sym 13484 $abc$51270$n836 -.sym 13485 $abc$51270$n828 -.sym 13486 $abc$51270$n847 -.sym 13487 $abc$51270$n827 -.sym 13488 $abc$51270$n830 -.sym 13489 $abc$51270$n1079 -.sym 13490 $abc$51270$n860 -.sym 13557 $abc$51270$n796_1 -.sym 13558 $abc$51270$n757 -.sym 13559 $abc$51270$n780 -.sym 13560 $abc$51270$n795 -.sym 13563 $abc$51270$n769 -.sym 13564 I2C.FLT_SCL.RESET -.sym 13565 $false -.sym 13566 $false -.sym 13569 $abc$51270$n829 -.sym 13570 $abc$51270$n848 -.sym 13571 I2C_OUTPUT_TYPE[1] +.sym 13483 $abc$19660$n521 +.sym 13484 $abc$19660$n654 +.sym 13489 $abc$19660$n711 +.sym 13490 LED1$2 +.sym 13557 $abc$19660$n672 +.sym 13558 $abc$19660$n849 +.sym 13559 $abc$19660$n523 +.sym 13560 $abc$19660$n46 +.sym 13563 IS_RAM_INIT +.sym 13564 $abc$19660$n651 +.sym 13565 $abc$19660$n671 +.sym 13566 I2C.FLT_SCL.RESET$2 +.sym 13569 $22\i2c_input_data_type[3:0][0] +.sym 13570 $abc$19660$n651 +.sym 13571 $false .sym 13572 $false -.sym 13575 $abc$51270$n19 -.sym 13576 $abc$51270$n769 -.sym 13577 $abc$51270$n759 +.sym 13575 $false +.sym 13576 $false +.sym 13577 $false .sym 13578 $false -.sym 13581 i2c_input_data_type[0] -.sym 13582 i2c_input_data_type[1] -.sym 13583 i2c_input_data_type[3] -.sym 13584 $abc$51270$n848 -.sym 13587 $abc$51270$n796_1 -.sym 13588 $abc$51270$n757 -.sym 13589 $abc$51270$n795 -.sym 13590 $false -.sym 13593 $abc$51270$n1079 -.sym 13594 $abc$51270$n1099 -.sym 13595 $abc$51270$n860 -.sym 13596 $abc$51270$n1096 -.sym 13599 $abc$51270$n729 -.sym 13600 $abc$51270$n690 -.sym 13601 $false +.sym 13581 I2C.is_read +.sym 13582 $abc$19660$n644 +.sym 13583 $false +.sym 13584 $false +.sym 13599 $22\i2c_input_data_type[3:0][0] +.sym 13600 $abc$19660$n523 +.sym 13601 $abc$19660$n672 .sym 13602 $false -.sym 13603 $abc$51270$n945 -.sym 13604 CLK$2$2 -.sym 13605 $abc$51270$n23$2 -.sym 13606 $abc$51270$n728 -.sym 13607 $abc$51270$n754 -.sym 13608 $abc$51270$n737 -.sym 13609 $abc$51270$n690 -.sym 13610 $abc$51270$n1163 -.sym 13611 $abc$51270$n756 -.sym 13612 $abc$51270$n733 -.sym 13613 last_isr -.sym 13680 $abc$51270$n772 -.sym 13681 $2\uart_double_ff[0:0] -.sym 13682 $abc$51270$n842_1 -.sym 13683 $false -.sym 13686 $abc$51270$n780 -.sym 13687 $abc$51270$n779 -.sym 13688 $abc$51270$n758 -.sym 13689 I2C.FLT_SCL.RESET -.sym 13692 $abc$51270$n729 -.sym 13693 $abc$51270$n22 +.sym 13606 $abc$19660$n966 +.sym 13607 $abc$19660$n965_1 +.sym 13608 $abc$19660$n1100_1 +.sym 13609 $abc$19660$n761 +.sym 13610 $abc$19660$n697 +.sym 13611 $abc$19660$n971_1 +.sym 13612 $abc$19660$n972 +.sym 13613 $abc$19660$n960 +.sym 13680 KEYBOARD.kbd_code_hid[2] +.sym 13681 KEYBOARD.kbd_code_hid[1] +.sym 13682 KEYBOARD.kbd_code_hid[0] +.sym 13683 KEYBOARD.REPORT.r_data[3] +.sym 13686 KEYBOARD.kbd_code_hid[3] +.sym 13687 KEYBOARD.kbd_code_hid[4] +.sym 13688 KEYBOARD.kbd_code_hid[5] +.sym 13689 KEYBOARD.kbd_code_hid[7] +.sym 13692 $abc$19660$n196 +.sym 13693 $abc$19660$n684_1 .sym 13694 $false .sym 13695 $false -.sym 13698 $abc$51270$n756 -.sym 13699 $abc$51270$n764 -.sym 13700 $abc$51270$n757 -.sym 13701 $false -.sym 13704 $abc$51270$n758 -.sym 13705 $abc$51270$n728 -.sym 13706 $abc$51270$n755 +.sym 13698 KEYBOARD.IS_RAM_INIT$2 +.sym 13699 KEYBOARD.isr_internal +.sym 13700 IS_RAM_INIT +.sym 13701 I2C.FLT_SCL.RESET$2 +.sym 13704 KEYBOARD.kbd_code_hid[6] +.sym 13705 $abc$19660$n698 +.sym 13706 $abc$19660$n697 .sym 13707 $false -.sym 13710 $abc$51270$n756 -.sym 13711 $abc$51270$n764 -.sym 13712 $abc$51270$n776 +.sym 13710 $0\temp_output_report[2:0][1] +.sym 13711 $false +.sym 13712 $false .sym 13713 $false -.sym 13716 $abc$51270$n22 -.sym 13717 $abc$51270$n729 -.sym 13718 I2C.FLT_SCL.RESET +.sym 13716 $0\temp_output_report[2:0][2] +.sym 13717 $false +.sym 13718 $false .sym 13719 $false -.sym 13722 $abc$51270$n761 -.sym 13723 $abc$51270$n1149 -.sym 13724 $abc$51270$n755 -.sym 13725 $abc$51270$n779 -.sym 13729 $abc$51270$n791 -.sym 13730 $abc$51270$n769 -.sym 13731 $abc$51270$n788 -.sym 13732 $abc$51270$n735 -.sym 13733 $abc$51270$n734 -.sym 13734 $abc$51270$n736 -.sym 13735 $abc$51270$n759 -.sym 13736 LED1$2 -.sym 13803 $abc$51270$n771 -.sym 13804 $abc$51270$n1149 -.sym 13805 $abc$51270$n917 -.sym 13806 $abc$51270$n776 -.sym 13809 $abc$51270$n759 -.sym 13810 $abc$51270$n917 -.sym 13811 $abc$51270$n774 +.sym 13722 $0\temp_output_report[2:0][0] +.sym 13723 $false +.sym 13724 $false +.sym 13725 $false +.sym 13726 $abc$19660$n72 +.sym 13727 CLK$2$2 +.sym 13728 $abc$19660$n19$2 +.sym 13729 $abc$19660$n719_1 +.sym 13730 $abc$19660$n755_1 +.sym 13731 $abc$19660$n1117 +.sym 13732 $abc$19660$n1124 +.sym 13733 $abc$19660$n177 +.sym 13734 $abc$19660$n1123 +.sym 13735 $abc$19660$n706 +.sym 13736 $abc$19660$n704 +.sym 13803 I2C.FLT_SCL.RESET$2 +.sym 13804 $abc$19660$n1088_1 +.sym 13805 $abc$19660$n755_1 +.sym 13806 $abc$19660$n703 +.sym 13809 $abc$19660$n688 +.sym 13810 $abc$19660$n1118 +.sym 13811 $abc$19660$n703 .sym 13812 $false -.sym 13815 $abc$51270$n739 -.sym 13816 UART.tx_activity -.sym 13817 uart_double_ff -.sym 13818 last_uart_active -.sym 13821 $abc$51270$n739 -.sym 13822 $abc$51270$n769 -.sym 13823 $false -.sym 13824 $false -.sym 13827 $abc$51270$n771 -.sym 13828 $abc$51270$n778 -.sym 13829 $abc$51270$n773 -.sym 13830 $false -.sym 13833 $abc$51270$n772 -.sym 13834 $abc$51270$n744 -.sym 13835 $false -.sym 13836 $false -.sym 13839 $abc$51270$n769 -.sym 13840 $abc$51270$n762 -.sym 13841 $abc$51270$n775 -.sym 13842 $false -.sym 13845 $2\uart_double_ff[0:0] -.sym 13846 $false +.sym 13815 $abc$19660$n705 +.sym 13816 $abc$19660$n696 +.sym 13817 $abc$19660$n704 +.sym 13818 $abc$19660$n706 +.sym 13821 $abc$19660$n690 +.sym 13822 IS_RAM_INIT +.sym 13823 KEYBOARD.IS_RAM_INIT$2 +.sym 13824 KEYBOARD.report_wr_en +.sym 13833 $abc$19660$n699 +.sym 13834 $abc$19660$n695 +.sym 13835 $abc$19660$n1117 +.sym 13836 $abc$19660$n702 +.sym 13839 KEYBOARD.IS_RAM_INIT$2 +.sym 13840 $abc$19660$n46 +.sym 13841 KEYBOARD.report_wr_en +.sym 13842 $abc$19660$n689 +.sym 13845 $abc$19660$n696 +.sym 13846 KEYBOARD.isr_internal .sym 13847 $false .sym 13848 $false -.sym 13849 $abc$51270$n1168 -.sym 13850 CLK$2$2 -.sym 13851 $abc$51270$n35$2 -.sym 13852 $abc$51270$n761 -.sym 13853 $abc$51270$n687 -.sym 13854 $abc$51270$n917 -.sym 13855 $abc$51270$n974 -.sym 13856 $abc$51270$n762 -.sym 13857 $abc$51270$n767 -.sym 13858 $abc$51270$n838 -.sym 13859 report_data_radr[0] -.sym 13888 $true -.sym 13925 ring_wr[0]$2 -.sym 13926 $false -.sym 13927 ring_wr[0] +.sym 13852 $abc$19660$n689 +.sym 13858 $abc$19660$n641 +.sym 13926 $abc$19660$n757_1 +.sym 13927 $abc$19660$n46 .sym 13928 $false .sym 13929 $false -.sym 13931 $auto$alumacc.cc:474:replace_alu$9579.C[2] -.sym 13933 $false -.sym 13934 ring_wr[1] -.sym 13937 $auto$alumacc.cc:474:replace_alu$9579.C[3] -.sym 13938 $false -.sym 13939 $false -.sym 13940 ring_wr[2] -.sym 13941 $auto$alumacc.cc:474:replace_alu$9579.C[2] -.sym 13943 $auto$alumacc.cc:474:replace_alu$9579.C[4] -.sym 13944 $false -.sym 13945 $false -.sym 13946 ring_wr[3] -.sym 13947 $auto$alumacc.cc:474:replace_alu$9579.C[3] -.sym 13950 $false -.sym 13951 $false -.sym 13952 $false -.sym 13953 $auto$alumacc.cc:474:replace_alu$9579.C[4] -.sym 13956 $abc$51270$n759 -.sym 13957 $abc$51270$n768 -.sym 13958 $abc$51270$n776 +.sym 13932 $abc$19660$n705 +.sym 13933 $abc$19660$n209 +.sym 13934 $abc$19660$n41$2 +.sym 13935 $false +.sym 13938 KEYBOARD.IS_RAM_INIT$2 +.sym 13939 KEYBOARD.report_wr_en +.sym 13940 $abc$19660$n689 +.sym 13941 $false +.sym 13950 KEYBOARD.isr_internal +.sym 13951 KEYBOARD.report_wr_en +.sym 13952 $abc$19660$n757_1 +.sym 13953 IS_RAM_INIT +.sym 13956 $abc$19660$n690 +.sym 13957 KEYBOARD.IS_RAM_INIT$2 +.sym 13958 $false .sym 13959 $false -.sym 13968 $2\ring_wr[3:0][2] -.sym 13969 $false -.sym 13970 $false -.sym 13971 $false -.sym 13972 $abc$51270$n921 -.sym 13973 CLK$2$2 -.sym 13974 $abc$51270$n35$2 -.sym 13975 $abc$51270$n740 -.sym 13976 $abc$51270$n1089 -.sym 13977 $abc$51270$n1865 -.sym 13978 $2\ring_wr[3:0][0] -.sym 13979 $abc$51270$n741 -.sym 13980 $abc$51270$n742 -.sym 13981 $abc$51270$n1091 -.sym 13982 $abc$51270$n1088 -.sym 14055 $abc$51270$n1874 -.sym 14056 ring_wr[3] -.sym 14057 $abc$51270$n1091 +.sym 13977 $abc$19660$n41 +.sym 14049 $abc$19660$n643 +.sym 14050 int_tmr[0] +.sym 14051 $false +.sym 14052 $false +.sym 14055 $false +.sym 14056 $false +.sym 14057 $3\int_tmr[14:0][0] .sym 14058 $false -.sym 14061 $abc$51270$n1871 -.sym 14062 ring_wr[2] -.sym 14063 $abc$51270$n1091 +.sym 14061 $abc$19660$n643 +.sym 14062 int_tmr[14] +.sym 14063 $false .sym 14064 $false -.sym 14067 $abc$51270$n1091 -.sym 14068 ring_wr[0] -.sym 14069 ring_wr[1] +.sym 14067 $abc$19660$n643 +.sym 14068 int_tmr[1] +.sym 14069 $false .sym 14070 $false -.sym 14073 $2\ring_wr[3:0][3] -.sym 14074 $false -.sym 14075 $false -.sym 14076 $false -.sym 14079 $2\ring_wr[3:0][0] -.sym 14080 $false +.sym 14073 $abc$19660$n763_1 +.sym 14074 KEYBOARD.IS_RAM_INIT$2 +.sym 14075 IS_RAM_INIT +.sym 14076 I2C.FLT_SCL.RESET$2 +.sym 14079 $3\int_tmr[14:0][14] +.sym 14080 $abc$19660$n956 .sym 14081 $false .sym 14082 $false -.sym 14085 $2\ring_wr[3:0][1] -.sym 14086 $false -.sym 14087 $false +.sym 14085 $abc$19660$n913 +.sym 14086 int_tmr[0] +.sym 14087 $3\int_tmr[14:0][14] .sym 14088 $false -.sym 14095 $abc$51270$n921 +.sym 14091 int_tmr[14] +.sym 14092 int_tmr[0] +.sym 14093 $abc$19660$n643 +.sym 14094 int_tmr[1] +.sym 14095 $abc$19660$n41$2 .sym 14096 CLK$2$2 -.sym 14097 $abc$51270$n35$2 -.sym 14098 $abc$51270$n2413 -.sym 14099 report_data_radr[7] -.sym 14100 report_data_radr[5] -.sym 14101 report_data_radr[6] -.sym 14102 report_data_radr[4] -.sym 14104 report_data_radr[3] -.sym 14105 report_data_radr[2] -.sym 14134 $true -.sym 14171 $abc$51270$n194$2 -.sym 14172 $false -.sym 14173 $abc$51270$n194 +.sym 14097 $abc$19660$n19$2 +.sym 14100 $abc$19660$n617 +.sym 14101 $abc$19660$n618 +.sym 14102 $abc$19660$n620 +.sym 14103 $abc$19660$n621 +.sym 14104 $abc$19660$n623 +.sym 14105 $abc$19660$n1296 +.sym 14172 $abc$19660$n643 +.sym 14173 int_tmr[9] .sym 14174 $false .sym 14175 $false -.sym 14177 $auto$alumacc.cc:474:replace_alu$9534.C[2] -.sym 14179 $true$2 -.sym 14180 $abc$51270$n1918 -.sym 14183 $auto$alumacc.cc:474:replace_alu$9534.C[3] -.sym 14185 $false -.sym 14186 $abc$51270$n191 -.sym 14189 $auto$alumacc.cc:474:replace_alu$9534.C[4] -.sym 14191 $true$2 -.sym 14192 $abc$51270$n2475 -.sym 14195 $auto$alumacc.cc:474:replace_alu$9534.C[5] -.sym 14197 $false -.sym 14198 $abc$51270$n188 -.sym 14201 $auto$alumacc.cc:474:replace_alu$9534.C[6] -.sym 14203 $false -.sym 14204 $abc$51270$n187 -.sym 14207 $auto$alumacc.cc:474:replace_alu$9534.C[7] -.sym 14209 $false -.sym 14210 $abc$51270$n185 -.sym 14213 $abc$51270$n2423 -.sym 14215 $false -.sym 14216 $abc$51270$n184 -.sym 14223 $abc$51270$n1788 -.sym 14224 $abc$51270$n1791 -.sym 14225 $abc$51270$n1794 -.sym 14226 $abc$51270$n1797 -.sym 14227 $abc$51270$n1800 -.sym 14228 $abc$51270$n1803 -.sym 14295 init_ram_cnt[7] -.sym 14296 init_ram_cnt[5] -.sym 14297 $abc$51270$n730 -.sym 14298 $abc$51270$n2423 -.sym 14301 $abc$51270$n730 -.sym 14302 init_ram_cnt[7] -.sym 14303 init_ram_cnt[5] +.sym 14178 $abc$19660$n643 +.sym 14179 int_tmr[7] +.sym 14180 $false +.sym 14181 $false +.sym 14184 $abc$19660$n643 +.sym 14185 int_tmr[5] +.sym 14186 $false +.sym 14187 $false +.sym 14190 $abc$19660$n643 +.sym 14191 int_tmr[10] +.sym 14192 $false +.sym 14193 $false +.sym 14196 $abc$19660$n944 +.sym 14197 int_tmr[10] +.sym 14198 $3\int_tmr[14:0][14] +.sym 14199 $false +.sym 14202 $abc$19660$n941 +.sym 14203 int_tmr[9] +.sym 14204 $3\int_tmr[14:0][14] +.sym 14205 $false +.sym 14208 $abc$19660$n935 +.sym 14209 int_tmr[7] +.sym 14210 $3\int_tmr[14:0][14] +.sym 14211 $false +.sym 14214 $abc$19660$n929 +.sym 14215 int_tmr[5] +.sym 14216 $3\int_tmr[14:0][14] +.sym 14217 $false +.sym 14218 $abc$19660$n41$2 +.sym 14219 CLK$2$2 +.sym 14220 $abc$19660$n19$2 +.sym 14221 $abc$19660$n629 +.sym 14223 $abc$19660$n933_1 +.sym 14224 $abc$19660$n932_1 +.sym 14225 $3\int_tmr[14:0][12] +.sym 14226 $abc$19660$n614 +.sym 14227 $abc$19660$n1311 +.sym 14228 $abc$19660$n934_1 +.sym 14295 KEYBOARD.IS_RAM_INIT$2 +.sym 14296 $abc$19660$n620 +.sym 14297 $false +.sym 14298 $false +.sym 14301 KEYBOARD.IS_RAM_INIT$2 +.sym 14302 $abc$19660$n629 +.sym 14303 $false .sym 14304 $false -.sym 14319 init_ram_cnt[7] -.sym 14320 $false -.sym 14321 $false -.sym 14322 $false -.sym 14325 $abc$51270$n22 -.sym 14326 $false -.sym 14327 $false +.sym 14307 KEYBOARD.IS_RAM_INIT$2 +.sym 14308 $abc$19660$n1296 +.sym 14309 $false +.sym 14310 $false +.sym 14313 $abc$19660$n1285 +.sym 14314 $abc$19660$n614 +.sym 14315 KEYBOARD.IS_RAM_INIT$2 +.sym 14316 $false +.sym 14325 $abc$19660$n1290 +.sym 14326 $abc$19660$n617 +.sym 14327 KEYBOARD.IS_RAM_INIT$2 .sym 14328 $false -.sym 14331 init_ram_cnt[2] -.sym 14332 $false -.sym 14333 $false +.sym 14331 $abc$19660$n618 +.sym 14332 $abc$19660$n16 +.sym 14333 KEYBOARD.IS_RAM_INIT$2 .sym 14334 $false -.sym 14337 init_ram_cnt[5] -.sym 14338 $false -.sym 14339 $false -.sym 14340 $false -.sym 14388 $abc$51270$n23 -.sym 14418 $abc$51270$n33 -.sym 14446 $abc$51270$n706 -.sym 14447 $abc$51270$n942 -.sym 14448 KEYBOARD.COLS_SHADOW[5] -.sym 14449 KEYBOARD.COLS_SHADOW[4] -.sym 14519 $abc$51270$n394 -.sym 14520 $abc$51270$n383 -.sym 14521 $abc$51270$n396 +.sym 14337 $abc$19660$n1287 +.sym 14338 KEYBOARD.ram_adr[1] +.sym 14339 KEYBOARD.ram_adr[0] +.sym 14340 KEYBOARD.IS_RAM_INIT$2 +.sym 14341 $abc$19660$n188 +.sym 14342 CLK$2$2 +.sym 14343 $abc$19660$n19$2 +.sym 14388 KEYBOARD.IS_RAM_INIT +.sym 14418 $abc$19660$n19 +.sym 14450 $true$2 +.sym 14519 I2C.FLT_SCL.RESET$2 +.sym 14520 $false +.sym 14521 $false .sym 14522 $false -.sym 14525 KEYBOARD.init_ram_cnt[8] -.sym 14526 $false -.sym 14527 $false -.sym 14528 $false -.sym 14531 KEYBOARD.COLS_SHADOW[5] -.sym 14532 $abc$51270$n711 -.sym 14533 $false -.sym 14534 $false -.sym 14543 KEYBOARD.kbd_code_hid[4] -.sym 14544 $false -.sym 14545 $false -.sym 14546 $false -.sym 14549 KEYBOARD.kbd_code_hid[6] -.sym 14550 $false -.sym 14551 $false -.sym 14552 $false -.sym 14555 KEYBOARD.kbd_code_hid[3] -.sym 14556 $false -.sym 14557 $false -.sym 14558 $false -.sym 14561 KEYBOARD.kbd_code_hid[1] -.sym 14562 $false -.sym 14563 $false -.sym 14564 $false -.sym 14565 $abc$51270$n1313 -.sym 14566 CLK$2$2 -.sym 14567 $abc$51270$n27 .sym 14570 KBD_COLUMNS[5]$2 -.sym 14572 $abc$51270$n938 -.sym 14573 $abc$51270$n985 -.sym 14574 $abc$51270$n910 -.sym 14575 $abc$51270$n900 -.sym 14576 $abc$51270$n925 -.sym 14577 $abc$51270$n935 -.sym 14578 $abc$51270$n898 -.sym 14579 $abc$51270$n968_1 -.sym 14682 $abc$51270$n27 -.sym 14683 $abc$51270$n915 -.sym 14684 $abc$51270$n910 -.sym 14685 $false -.sym 14688 $abc$51270$n926 -.sym 14689 $abc$51270$n925 -.sym 14690 $false +.sym 14573 I2C.received_byte[5] +.sym 14688 $abc$19660$n1003 +.sym 14689 $abc$19660$n1004 +.sym 14690 $abc$19660$n778_1 .sym 14691 $false -.sym 14694 $abc$51270$n953 -.sym 14695 $abc$51270$n1418$2 -.sym 14696 $abc$51270$n926 -.sym 14697 $false -.sym 14700 $abc$51270$n924 -.sym 14701 $abc$51270$n930 -.sym 14702 $abc$51270$n27 -.sym 14703 $false -.sym 14706 $abc$51270$n1418$2 -.sym 14707 $abc$51270$n923 -.sym 14708 $abc$51270$n935 -.sym 14709 $abc$51270$n898 -.sym 14712 $abc$51270$n920 -.sym 14713 $abc$51270$n899 -.sym 14714 $abc$51270$n1457_1 -.sym 14715 $abc$51270$n935 -.sym 14718 $abc$51270$n952_1 -.sym 14719 $abc$51270$n946 -.sym 14720 $abc$51270$n27 -.sym 14721 $abc$51270$n910 -.sym 14724 $abc$51270$n27 -.sym 14725 $abc$51270$n953 -.sym 14726 $abc$51270$n924 -.sym 14727 $abc$51270$n911 -.sym 14728 $abc$51270$n1415 +.sym 14728 $true .sym 14729 CLK$2$2 -.sym 14730 $abc$51270$n35$2 -.sym 14733 $abc$51270$n1860 -.sym 14734 $abc$51270$n1862 -.sym 14735 $abc$51270$n958 -.sym 14736 $abc$51270$n1325 -.sym 14737 $abc$51270$n959 -.sym 14738 $abc$51270$n1354 -.sym 14805 $false -.sym 14806 $false -.sym 14807 wr_cnt[0] +.sym 14730 $abc$19660$n19$2 +.sym 14733 $auto$alumacc.cc:474:replace_alu$5219.C[2] +.sym 14734 $auto$alumacc.cc:474:replace_alu$5219.C[3] +.sym 14735 $abc$19660$n1603 +.sym 14736 $abc$19660$n1604 +.sym 14737 $abc$19660$n1679 +.sym 14738 $abc$19660$n1678 +.sym 14805 I2C.i2c_bit_counter[0] +.sym 14806 I2C.i2c_bit_counter[1] +.sym 14807 $abc$19660$n1436 .sym 14808 $false -.sym 14811 $abc$51270$n27 -.sym 14812 KEYBOARD.kbd_code_hid[4] +.sym 14811 I2C.is_adress +.sym 14812 $abc$19660$n1436 .sym 14813 $false .sym 14814 $false -.sym 14817 $abc$51270$n916 -.sym 14818 $abc$51270$n917_1 -.sym 14819 $false +.sym 14817 I2C.FLT_SDA.out +.sym 14818 $abc$19660$n8 +.sym 14819 I2C.FLT_SCL.out .sym 14820 $false -.sym 14823 $abc$51270$n908 -.sym 14824 $abc$51270$n909 +.sym 14823 $abc$19660$n1436 +.sym 14824 I2C.i2c_bit_counter[0] .sym 14825 $false .sym 14826 $false -.sym 14829 $abc$51270$n690 -.sym 14830 $abc$51270$n796_1 -.sym 14831 wr_cnt[0] -.sym 14832 wr_cnt[1] -.sym 14835 $abc$51270$n796_1 -.sym 14836 $abc$51270$n1857 -.sym 14837 $abc$51270$n690 -.sym 14838 $false -.sym 14841 $abc$51270$n690 -.sym 14842 $abc$51270$n796_1 -.sym 14843 $abc$51270$n1860 +.sym 14829 $false +.sym 14830 $abc$19660$n1438 +.sym 14831 $false +.sym 14832 $false +.sym 14835 I2C.FLT_SCL.out +.sym 14836 I2C.FLT_SDA.out +.sym 14837 $abc$19660$n8 +.sym 14838 I2C.SDA_DIR +.sym 14841 $abc$19660$n1436 +.sym 14842 I2C.i2c_bit_counter[1] +.sym 14843 $false .sym 14844 $false -.sym 14847 $abc$51270$n690 -.sym 14848 $abc$51270$n796_1 -.sym 14849 $abc$51270$n1862 -.sym 14850 $false -.sym 14851 $abc$51270$n937 -.sym 14852 CLK$2$2 -.sym 14853 $abc$51270$n35$2 -.sym 14854 $abc$51270$n936 -.sym 14855 $abc$51270$n1190 -.sym 14856 $abc$51270$n1365 -.sym 14857 $abc$51270$n970 -.sym 14858 $abc$51270$n937_1 -.sym 14859 $abc$51270$n979 -.sym 14860 $abc$51270$n960 -.sym 14861 I2C.received_byte[1] -.sym 14928 $abc$51270$n1461 -.sym 14929 $abc$51270$n930 -.sym 14930 $abc$51270$n946 -.sym 14931 $abc$51270$n980 -.sym 14934 KEYBOARD.kbd_code_hid[3] -.sym 14935 KEYBOARD.report[2][3] -.sym 14936 KEYBOARD.kbd_code_hid[7] -.sym 14937 KEYBOARD.report[2][7] -.sym 14940 $abc$51270$n981 -.sym 14941 $abc$51270$n982 -.sym 14942 $abc$51270$n983 -.sym 14943 $abc$51270$n984 -.sym 14946 KEYBOARD.report[2][4] -.sym 14947 KEYBOARD.report[2][5] -.sym 14948 KEYBOARD.report[2][6] -.sym 14949 KEYBOARD.report[2][7] -.sym 14952 KEYBOARD.kbd_code_hid[4] -.sym 14953 KEYBOARD.report[2][4] -.sym 14954 KEYBOARD.kbd_code_hid[5] -.sym 14955 KEYBOARD.report[2][5] -.sym 14958 KEYBOARD.kbd_code_hid[0] -.sym 14959 KEYBOARD.report[2][0] -.sym 14960 KEYBOARD.kbd_code_hid[6] -.sym 14961 KEYBOARD.report[2][6] -.sym 14964 $abc$51270$n2317 -.sym 14965 $false -.sym 14966 $false +.sym 14847 I2C.FLT_SDA.out +.sym 14848 $abc$19660$n8 +.sym 14849 I2C.FLT_SCL.out +.sym 14850 I2C.i2c_state_machine +.sym 14857 $abc$19660$n661 +.sym 14858 $abc$19660$n635 +.sym 14860 $abc$19660$n1444 +.sym 14928 $abc$19660$n997 +.sym 14929 $abc$19660$n998_1 +.sym 14930 $false +.sym 14931 $false +.sym 14934 $abc$19660$n996 +.sym 14935 $abc$19660$n992_1 +.sym 14936 $abc$19660$n778_1 +.sym 14937 $abc$19660$n212 +.sym 14940 I2C.FLT_SCL.out +.sym 14941 $abc$19660$n8 +.sym 14942 I2C.SDA_DIR +.sym 14943 I2C.FLT_SDA.out +.sym 14946 $abc$19660$n776_1 +.sym 14947 $abc$19660$n778_1 +.sym 14948 $abc$19660$n1104 +.sym 14949 $abc$19660$n995 +.sym 14952 $abc$19660$n776_1 +.sym 14953 $abc$19660$n992_1 +.sym 14954 $abc$19660$n996 +.sym 14955 $abc$19660$n212 +.sym 14958 $abc$19660$n993 +.sym 14959 I2C.FLT_SCL.out +.sym 14960 $abc$19660$n10 +.sym 14961 $false +.sym 14964 $abc$19660$n1005 +.sym 14965 $abc$19660$n1006 +.sym 14966 I2C.is_read .sym 14967 $false -.sym 14970 $abc$51270$n2318 -.sym 14971 $false -.sym 14972 $false -.sym 14973 $false -.sym 14974 $abc$51270$n1365 -.sym 14975 CLK$2$2 -.sym 14976 $abc$51270$n35$2 -.sym 14977 $abc$51270$n1265 -.sym 14978 $abc$51270$n901 -.sym 14979 $abc$51270$n995 -.sym 14980 I2C_TRANS -.sym 14981 $abc$51270$n871_1 -.sym 14982 $abc$51270$n869 -.sym 14983 $abc$51270$n1374 -.sym 14984 I2C.is_read -.sym 15051 KEYBOARD.report[4][4] -.sym 15052 KEYBOARD.report[4][5] -.sym 15053 KEYBOARD.report[4][6] -.sym 15054 KEYBOARD.report[4][7] -.sym 15057 KEYBOARD.kbd_code_hid[1] -.sym 15058 KEYBOARD.report[4][1] -.sym 15059 KEYBOARD.kbd_code_hid[6] -.sym 15060 KEYBOARD.report[4][6] -.sym 15063 $abc$51270$n1459 -.sym 15064 $abc$51270$n1460_1 -.sym 15065 $abc$51270$n963 -.sym 15066 $abc$51270$n966 -.sym 15069 $abc$51270$n1495 -.sym 15070 $abc$51270$n1006 -.sym 15071 $abc$51270$n972 -.sym 15072 $abc$51270$n1257 -.sym 15075 $abc$51270$n921_1 -.sym 15076 $abc$51270$n922 -.sym 15077 $false -.sym 15078 $false -.sym 15081 KEYBOARD.kbd_code_hid[3] -.sym 15082 KEYBOARD.report[4][3] -.sym 15083 KEYBOARD.kbd_code_hid[4] -.sym 15084 KEYBOARD.report[4][4] -.sym 15087 KEYBOARD.kbd_code_hid[5] -.sym 15088 KEYBOARD.report[4][5] -.sym 15089 KEYBOARD.kbd_code_hid[7] -.sym 15090 KEYBOARD.report[4][7] -.sym 15093 $abc$51270$n2317 -.sym 15094 $false -.sym 15095 $false +.sym 14970 $abc$19660$n1001 +.sym 14971 $abc$19660$n992_1 +.sym 14972 $abc$19660$n776_1 +.sym 14973 $abc$19660$n994 +.sym 14977 $abc$19660$n518 +.sym 14979 $abc$19660$n516 +.sym 14980 UART_TX_DATA[4] +.sym 14981 UART_TX_DATA[6] +.sym 14982 UART_TX_DATA[7] +.sym 14984 UART_TX_DATA[5] +.sym 15051 $abc$19660$n990 +.sym 15052 $abc$19660$n776_1 +.sym 15053 $false +.sym 15054 $false +.sym 15057 I2C.FLT_SCL.out +.sym 15058 $abc$19660$n10 +.sym 15059 $abc$19660$n212 +.sym 15060 $false +.sym 15063 UART_TX_DATA[2] +.sym 15064 UART_TX_DATA[0] +.sym 15065 $abc$19660$n514 +.sym 15066 $false +.sym 15069 $abc$19660$n1001 +.sym 15070 $abc$19660$n776_1 +.sym 15071 $abc$19660$n778_1 +.sym 15072 $abc$19660$n995 +.sym 15075 $abc$19660$n1102 +.sym 15076 $abc$19660$n1103 +.sym 15077 $abc$19660$n776_1 +.sym 15078 $abc$19660$n990 +.sym 15081 UART_TX_DATA[3] +.sym 15082 UART_TX_DATA[1] +.sym 15083 $abc$19660$n514 +.sym 15084 $false +.sym 15087 $abc$19660$n212 +.sym 15088 I2C.FLT_SCL.out +.sym 15089 $abc$19660$n10 +.sym 15090 $abc$19660$n778_1 +.sym 15093 I2C.FLT_SCL.out +.sym 15094 $abc$19660$n10 +.sym 15095 $abc$19660$n212 .sym 15096 $false -.sym 15097 $abc$51270$n1325 -.sym 15098 CLK$2$2 -.sym 15099 $abc$51270$n35$2 -.sym 15100 $abc$51270$n1495 -.sym 15101 $abc$51270$n2193 -.sym 15102 $abc$51270$n1320 -.sym 15103 $abc$51270$n1381 -.sym 15104 $abc$51270$n918 -.sym 15105 $abc$51270$n971 -.sym 15106 $abc$51270$n919 -.sym 15107 KEYBOARD.report[1][3] -.sym 15174 $abc$51270$n27 -.sym 15175 KEYBOARD.kbd_code_hid[7] +.sym 15101 $abc$19660$n1107 +.sym 15102 $abc$19660$n1102 +.sym 15103 $abc$19660$n1106 +.sym 15104 $abc$19660$n1108 +.sym 15105 $abc$19660$n1109 +.sym 15106 $abc$19660$n47 +.sym 15107 I2C_COUNTER[4] +.sym 15174 $abc$19660$n1436 +.sym 15175 I2C.i2c_bit_counter[2] .sym 15176 $false .sym 15177 $false -.sym 15180 KEYBOARD.kbd_code_hid[0] -.sym 15181 KEYBOARD.kbd_code_hid[1] -.sym 15182 KEYBOARD.kbd_code_hid[2] -.sym 15183 $false -.sym 15186 KEYBOARD.kbd_code_hid[6] -.sym 15187 $abc$51270$n913 -.sym 15188 $abc$51270$n912 -.sym 15189 $false -.sym 15192 KEYBOARD.kbd_code_hid[3] -.sym 15193 KEYBOARD.kbd_code_hid[4] -.sym 15194 $abc$51270$n903 +.sym 15180 I2C.received_byte[2] +.sym 15181 I2C.received_byte[7] +.sym 15182 $abc$19660$n999 +.sym 15183 I2C.received_byte[6] +.sym 15186 I2C.received_byte[4] +.sym 15187 I2C.received_byte[1] +.sym 15188 I2C.received_byte[5] +.sym 15189 I2C.received_byte[3] +.sym 15192 $abc$19660$n1436 +.sym 15193 I2C.is_ack +.sym 15194 $false .sym 15195 $false -.sym 15198 KEYBOARD.kbd_code_hid[5] -.sym 15199 KEYBOARD.kbd_code_hid[6] -.sym 15200 KEYBOARD.kbd_code_hid[7] +.sym 15198 I2C.received_byte[3] +.sym 15199 $abc$19660$n873 +.sym 15200 I2C.is_read .sym 15201 $false -.sym 15204 KEYBOARD.kbd_code_hid[3] -.sym 15205 KEYBOARD.kbd_code_hid[4] -.sym 15206 KEYBOARD.kbd_code_hid[5] -.sym 15207 KEYBOARD.kbd_code_hid[7] -.sym 15210 $abc$51270$n2315 -.sym 15211 $false -.sym 15212 $false +.sym 15204 I2C.received_byte[0] +.sym 15205 $abc$19660$n867 +.sym 15206 I2C.is_read +.sym 15207 $false +.sym 15210 I2C.received_byte[2] +.sym 15211 $abc$19660$n871 +.sym 15212 I2C.is_read .sym 15213 $false -.sym 15216 $abc$51270$n2314 -.sym 15217 $false -.sym 15218 $false +.sym 15216 I2C.received_byte[1] +.sym 15217 $abc$19660$n869 +.sym 15218 I2C.is_read .sym 15219 $false -.sym 15220 $abc$51270$n1365 +.sym 15220 $abc$19660$n47 .sym 15221 CLK$2$2 -.sym 15222 $abc$51270$n35$2 -.sym 15223 $abc$51270$n1252 -.sym 15224 $abc$51270$n1283 -.sym 15225 $abc$51270$n1225 -.sym 15226 $abc$51270$n1257 -.sym 15227 $abc$51270$n1200 -.sym 15228 $abc$51270$n1282 -.sym 15229 $abc$51270$n1497 -.sym 15230 I2C.received_byte[3] -.sym 15297 $abc$51270$n1050 -.sym 15298 $abc$51270$n1058 -.sym 15299 $abc$51270$n307 -.sym 15300 $abc$51270$n880 -.sym 15303 $abc$51270$n1048 -.sym 15304 $abc$51270$n1044 -.sym 15305 $abc$51270$n307 -.sym 15306 $abc$51270$n1505 -.sym 15309 $abc$51270$n875 -.sym 15310 $abc$51270$n305 -.sym 15311 $false -.sym 15312 $false -.sym 15315 $abc$51270$n1046_1 -.sym 15316 $abc$51270$n1054 -.sym 15317 $abc$51270$n307 -.sym 15318 $abc$51270$n877 -.sym 15321 $abc$51270$n1506 -.sym 15322 $abc$51270$n305 -.sym 15323 $abc$51270$n1478_1 -.sym 15324 $abc$51270$n1479 -.sym 15327 $abc$51270$n1056 -.sym 15328 $abc$51270$n1052 -.sym 15329 $abc$51270$n307 -.sym 15330 $abc$51270$n875 -.sym 15333 wr_cnt[0] -.sym 15334 wr_cnt[2] -.sym 15335 wr_cnt[1] -.sym 15336 wr_cnt[3] -.sym 15339 I2C.wr -.sym 15340 $false -.sym 15341 $false -.sym 15342 $false -.sym 15343 $true +.sym 15222 $abc$19660$n52$2 +.sym 15223 $abc$19660$n1675 +.sym 15224 $abc$19660$n574 +.sym 15225 $abc$19660$n562 +.sym 15226 $abc$19660$n1673 +.sym 15227 $abc$19660$n1672 +.sym 15228 $abc$19660$n1671 +.sym 15229 $abc$19660$n564 +.sym 15230 $abc$19660$n565_1 +.sym 15297 I2C_COUNTER[0] +.sym 15298 $abc$19660$n1076 +.sym 15299 $abc$19660$n562 +.sym 15300 $false +.sym 15303 $false +.sym 15304 $false +.sym 15305 I2C_COUNTER[0] +.sym 15306 $false +.sym 15309 I2C_COUNTER[2] +.sym 15310 I2C_COUNTER[4] +.sym 15311 I2C_COUNTER[5] +.sym 15312 I2C_COUNTER[6] +.sym 15315 $abc$19660$n1673 +.sym 15316 $abc$19660$n565_1 +.sym 15317 $false +.sym 15318 $false +.sym 15321 $abc$19660$n1673 +.sym 15322 $abc$19660$n565_1 +.sym 15323 $abc$19660$n1672 +.sym 15324 $false +.sym 15327 $abc$19660$n1671 +.sym 15328 $abc$19660$n581 +.sym 15329 $false +.sym 15330 $false +.sym 15333 $abc$19660$n1673 +.sym 15334 $abc$19660$n52$2 +.sym 15335 $false +.sym 15336 $false +.sym 15343 $abc$19660$n41$2 .sym 15344 CLK$2$2 -.sym 15345 $abc$51270$n35$2 -.sym 15346 $abc$51270$n694 -.sym 15347 $abc$51270$n1254 -.sym 15349 $abc$51270$n1418 -.sym 15350 $abc$51270$n695 -.sym 15351 $abc$51270$n1253 -.sym 15352 $abc$51270$n1255 -.sym 15353 I2C.received_byte[7] -.sym 15420 I2C_HID_DESC.last_rd_request -.sym 15421 I2C.wr -.sym 15422 I2C.FLT_SCL.RESET +.sym 15345 $abc$19660$n19$2 +.sym 15352 $abc$19660$n1651 +.sym 15353 I2C_COUNTER[6] +.sym 15382 $true +.sym 15419 $22\i2c_input_data_type[3:0][0]$2 +.sym 15420 $false +.sym 15421 $22\i2c_input_data_type[3:0][0] +.sym 15422 $false .sym 15423 $false -.sym 15426 I2C.received_byte[7] -.sym 15427 $abc$51270$n1058 -.sym 15428 I2C.is_read -.sym 15429 $false -.sym 15432 I2C.received_byte[3] -.sym 15433 $abc$51270$n1050 -.sym 15434 I2C.is_read -.sym 15435 $false -.sym 15444 I2C.received_byte[1] -.sym 15445 $abc$51270$n1046_1 -.sym 15446 I2C.is_read +.sym 15425 $auto$alumacc.cc:474:replace_alu$5216.C[2] +.sym 15427 $22\i2c_input_data_type[3:0][1] +.sym 15428 $true$2 +.sym 15432 $false +.sym 15433 $22\i2c_input_data_type[3:0][2] +.sym 15434 $false +.sym 15435 $auto$alumacc.cc:474:replace_alu$5216.C[2] +.sym 15444 I2C.is_read +.sym 15445 $abc$19660$n557 +.sym 15446 $false .sym 15447 $false -.sym 15450 I2C.received_byte[4] -.sym 15451 $abc$51270$n1052 -.sym 15452 I2C.is_read -.sym 15453 $false -.sym 15456 I2C.received_byte[0] -.sym 15457 $abc$51270$n1044 -.sym 15458 I2C.is_read +.sym 15450 I2C_COUNTER[4] +.sym 15451 I2C_COUNTER[5] +.sym 15452 I2C_COUNTER[6] +.sym 15453 I2C_COUNTER[2] +.sym 15456 $abc$19660$n1672 +.sym 15457 $abc$19660$n52$2 +.sym 15458 $false .sym 15459 $false -.sym 15462 I2C.received_byte[5] -.sym 15463 $abc$51270$n1054 -.sym 15464 I2C.is_read +.sym 15462 $abc$19660$n1675 +.sym 15463 $abc$19660$n52$2 +.sym 15464 $false .sym 15465 $false -.sym 15466 $abc$51270$n799 +.sym 15466 $abc$19660$n41$2 .sym 15467 CLK$2$2 -.sym 15468 $abc$51270$n19 -.sym 15469 $abc$51270$n1497_1 -.sym 15470 $abc$51270$n861 -.sym 15471 $abc$51270$n814 -.sym 15472 $abc$51270$n1498 -.sym 15473 $abc$51270$n1499_1 -.sym 15474 i2c_input_data_type[1] -.sym 15475 i2c_input_data_type[0] -.sym 15476 i2c_input_data_type[2] -.sym 15543 REPORT_DATA.r_data[1] -.sym 15544 I2C_HID_DESC.VAL[1] -.sym 15545 I2C_OUT_DESC_MASK[1] -.sym 15546 $false -.sym 15549 $abc$51270$n769 -.sym 15550 $abc$51270$n754 -.sym 15551 $false -.sym 15552 $false -.sym 15555 REPORT_DATA.r_data[5] -.sym 15556 I2C_TX_DESC[5] -.sym 15557 I2C_OUT_DESC_MASK[5] +.sym 15468 $abc$19660$n19$2 +.sym 15469 $abc$19660$n643 +.sym 15471 $abc$19660$n635_1 +.sym 15472 $abc$19660$n634 +.sym 15473 $abc$19660$n653 +.sym 15474 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 15475 I2C_OUTPUT_TYPE[1] +.sym 15476 I2C_OUTPUT_TYPE[2] +.sym 15543 $abc$19660$n615 +.sym 15544 $abc$19660$n523_1 +.sym 15545 $abc$19660$n617_1 +.sym 15546 I2C.is_read +.sym 15549 $abc$19660$n616 +.sym 15550 I2C_HID_DESC.DESC_TYPE +.sym 15551 $abc$19660$n613 +.sym 15552 $abc$19660$n524_1 +.sym 15555 $abc$19660$n616 +.sym 15556 I2C_OUTPUT_TYPE[1] +.sym 15557 $false .sym 15558 $false -.sym 15573 $abc$51270$n2581 -.sym 15574 $false -.sym 15575 $false -.sym 15576 $false -.sym 15579 $abc$51270$n2580 -.sym 15580 $false +.sym 15561 $abc$19660$n651 +.sym 15562 $abc$19660$n652 +.sym 15563 $2\I2C_OUTPUT_TYPE[2:0][0] +.sym 15564 $abc$19660$n653 +.sym 15567 $abc$19660$n649 +.sym 15568 $abc$19660$n648 +.sym 15569 $abc$19660$n651 +.sym 15570 $abc$19660$n650 +.sym 15573 $abc$19660$n616 +.sym 15574 I2C_OUTPUT_TYPE[2] +.sym 15575 $abc$19660$n1000 +.sym 15576 $abc$19660$n523_1 +.sym 15579 $abc$19660$n613 +.sym 15580 $abc$19660$n524_1 .sym 15581 $false .sym 15582 $false -.sym 15589 $abc$51270$n1404$2 +.sym 15585 $2\I2C_OUTPUT_TYPE[2:0][0] +.sym 15586 $false +.sym 15587 $false +.sym 15588 $false +.sym 15589 $abc$19660$n41$2 .sym 15590 CLK$2$2 -.sym 15591 $abc$51270$n31 -.sym 15592 $abc$51270$n839 -.sym 15593 $abc$51270$n1112 -.sym 15594 $abc$51270$n1455 -.sym 15595 $abc$51270$n1086 -.sym 15596 $abc$51270$n21 -.sym 15597 $abc$51270$n693 -.sym 15598 $abc$51270$n840 -.sym 15599 i2c_input_data_type[3] -.sym 15666 I2C.is_read -.sym 15667 $abc$51270$n917 -.sym 15668 I2C.FLT_SCL.RESET -.sym 15669 $abc$51270$n776 -.sym 15672 I2C.is_read -.sym 15673 $abc$51270$n759 -.sym 15674 $abc$51270$n837 +.sym 15591 $abc$19660$n19$2 +.sym 15592 $abc$19660$n969_1 +.sym 15593 $abc$19660$n707 +.sym 15594 $abc$19660$n708 +.sym 15595 $abc$19660$n975_1 +.sym 15596 $abc$19660$n968 +.sym 15597 $abc$19660$n710 +.sym 15598 $abc$19660$n709 +.sym 15599 $abc$19660$n974 +.sym 15666 I2C_OUTPUT_TYPE[1] +.sym 15667 $abc$19660$n522 +.sym 15668 $abc$19660$n644 +.sym 15669 $abc$19660$n619 +.sym 15672 $abc$19660$n643 +.sym 15673 LED1$2 +.sym 15674 $false .sym 15675 $false -.sym 15678 I2C.received_byte[1] -.sym 15679 I2C.received_byte[0] -.sym 15680 $abc$51270$n829 -.sym 15681 $false -.sym 15684 $abc$51270$n848 -.sym 15685 i2c_input_data_type[0] -.sym 15686 $false -.sym 15687 $false -.sym 15690 $abc$51270$n729 -.sym 15691 $abc$51270$n828 -.sym 15692 $abc$51270$n809 -.sym 15693 $abc$51270$n830 -.sym 15696 I2C.is_read -.sym 15697 I2C.byte_counter[1] -.sym 15698 I2C.FLT_SCL.RESET -.sym 15699 I2C.byte_counter[0] -.sym 15702 $abc$51270$n848 -.sym 15703 i2c_input_data_type[1] -.sym 15704 $false -.sym 15705 $false -.sym 15708 $abc$51270$n848 -.sym 15709 i2c_input_data_type[2] -.sym 15710 $false -.sym 15711 $false -.sym 15715 $abc$51270$n835 -.sym 15716 $abc$51270$n834 -.sym 15717 $abc$51270$n812 -.sym 15718 $abc$51270$n829 -.sym 15719 $abc$51270$n832 -.sym 15720 $abc$51270$n831 -.sym 15721 $abc$51270$n833 -.sym 15722 $abc$51270$n850 -.sym 15789 $abc$51270$n729 -.sym 15790 I2C.FLT_SCL.RESET -.sym 15791 $false +.sym 15702 KEYBOARD.kbd_code_hid[3] +.sym 15703 KEYBOARD.REPORT.r_data[3] +.sym 15704 KEYBOARD.REPORT.r_data[1] +.sym 15705 KEYBOARD.kbd_code_hid[1] +.sym 15708 $abc$19660$n646 +.sym 15709 $abc$19660$n521 +.sym 15710 $abc$19660$n654 +.sym 15711 I2C.FLT_SCL.RESET$2 +.sym 15712 $abc$19660$n41$2 +.sym 15713 CLK$2$2 +.sym 15714 $false +.sym 15715 KEYBOARD.report_data_wr[6] +.sym 15716 KEYBOARD.report_data_wr[7] +.sym 15717 KEYBOARD.report_data_wr[2] +.sym 15718 KEYBOARD.report_data_wr[5] +.sym 15719 KEYBOARD.report_data_wr[4] +.sym 15721 KEYBOARD.report_data_wr[0] +.sym 15789 KEYBOARD.kbd_code_hid[0] +.sym 15790 KEYBOARD.kbd_code_hid[1] +.sym 15791 KEYBOARD.kbd_code_hid[2] .sym 15792 $false -.sym 15795 $abc$51270$n756 -.sym 15796 $abc$51270$n764 -.sym 15797 $abc$51270$n755 -.sym 15798 $false -.sym 15801 KEYBOARD.isr -.sym 15802 last_isr -.sym 15803 $abc$51270$n738 -.sym 15804 $false -.sym 15807 last_isr -.sym 15808 KEYBOARD.isr -.sym 15809 $false +.sym 15795 KEYBOARD.REPORT.r_data[4] +.sym 15796 KEYBOARD.is_pressed +.sym 15797 $abc$19660$n966 +.sym 15798 $abc$19660$n699 +.sym 15801 KEYBOARD.kbd_code_hid[0] +.sym 15802 KEYBOARD.kbd_code_hid[1] +.sym 15803 KEYBOARD.kbd_code_hid[2] +.sym 15804 $abc$19660$n699 +.sym 15807 $abc$19660$n696 +.sym 15808 KEYBOARD.IS_RAM_INIT$2 +.sym 15809 KEYBOARD.report_wr_en .sym 15810 $false -.sym 15813 I2C.FLT_SCL.RESET -.sym 15814 KEYBOARD.isr -.sym 15815 last_isr -.sym 15816 $abc$51270$n755 -.sym 15819 KEYBOARD.isr -.sym 15820 last_isr -.sym 15821 $false -.sym 15822 $false -.sym 15825 $abc$51270$n734 -.sym 15826 $abc$51270$n757 -.sym 15827 $abc$51270$n759 -.sym 15828 $abc$51270$n754 -.sym 15831 KEYBOARD.isr -.sym 15832 $false -.sym 15833 $false -.sym 15834 $false -.sym 15835 $abc$51270$n1163 -.sym 15836 CLK$2$2 -.sym 15837 $abc$51270$n35$2 -.sym 15838 $abc$51270$n789 -.sym 15839 $abc$51270$n765 -.sym 15840 $abc$51270$n799_1 -.sym 15841 $abc$51270$n968 -.sym 15842 $abc$51270$n1117 -.sym 15843 $abc$51270$n934 -.sym 15844 $abc$51270$n790 -.sym 15845 COM_DCD$2 -.sym 15912 $abc$51270$n737 -.sym 15913 $abc$51270$n739 -.sym 15914 $abc$51270$n22 +.sym 15813 KEYBOARD.kbd_code_hid[0] +.sym 15814 KEYBOARD.kbd_code_hid[1] +.sym 15815 KEYBOARD.kbd_code_hid[2] +.sym 15816 $false +.sym 15819 KEYBOARD.REPORT.r_data[6] +.sym 15820 KEYBOARD.is_pressed +.sym 15821 $abc$19660$n972 +.sym 15822 $abc$19660$n699 +.sym 15825 KEYBOARD.kbd_code_hid[0] +.sym 15826 KEYBOARD.kbd_code_hid[1] +.sym 15827 KEYBOARD.kbd_code_hid[2] +.sym 15828 $false +.sym 15831 KEYBOARD.REPORT.r_data[0] +.sym 15832 KEYBOARD.is_pressed +.sym 15833 $abc$19660$n697 +.sym 15834 $abc$19660$n699 +.sym 15838 $abc$19660$n937_1 +.sym 15839 $abc$19660$n204 +.sym 15840 $abc$19660$n717 +.sym 15841 $abc$19660$n1098 +.sym 15842 $abc$19660$n716 +.sym 15844 $abc$19660$n1122 +.sym 15845 $abc$19660$n718_1 +.sym 15912 $abc$19660$n707 +.sym 15913 $abc$19660$n701 +.sym 15914 I2C.FLT_SCL.RESET$2 .sym 15915 $false -.sym 15918 $abc$51270$n729 -.sym 15919 $abc$51270$n737 -.sym 15920 I2C.FLT_SCL.RESET -.sym 15921 $abc$51270$n22 -.sym 15924 $abc$51270$n765 -.sym 15925 $abc$51270$n768 -.sym 15926 $abc$51270$n1448 -.sym 15927 $abc$51270$n733 -.sym 15930 $abc$51270$n743 -.sym 15931 UART_WR -.sym 15932 $abc$51270$n736 -.sym 15933 $abc$51270$n740 -.sym 15936 $abc$51270$n764 -.sym 15937 $abc$51270$n735 -.sym 15938 $abc$51270$n744 +.sym 15918 $abc$19660$n704 +.sym 15919 $abc$19660$n719_1 +.sym 15920 $abc$19660$n716 +.sym 15921 $false +.sym 15924 $abc$19660$n716 +.sym 15925 KEYBOARD.is_pressed +.sym 15926 $abc$19660$n719_1 +.sym 15927 $abc$19660$n701 +.sym 15930 $abc$19660$n689 +.sym 15931 $abc$19660$n761 +.sym 15932 $abc$19660$n1123 +.sym 15933 $abc$19660$n699 +.sym 15936 $abc$19660$n721_1 +.sym 15937 $abc$19660$n1124 +.sym 15938 $abc$19660$n1089 .sym 15939 $false -.sym 15942 $abc$51270$n739 -.sym 15943 $abc$51270$n737 -.sym 15944 $false -.sym 15945 $false -.sym 15948 $abc$51270$n728 -.sym 15949 $abc$51270$n737 -.sym 15950 $abc$51270$n739 -.sym 15951 $abc$51270$n22 -.sym 15954 $abc$51270$n690 -.sym 15955 $abc$51270$n687 -.sym 15956 I2C.FLT_SCL.RESET -.sym 15957 $false -.sym 15958 $abc$51270$n788 -.sym 15959 CLK$2$2 -.sym 15960 $false -.sym 15961 $abc$51270$n1392 -.sym 15963 $abc$51270$n1152 -.sym 15964 $abc$51270$n2581 -.sym 15965 $abc$51270$n2580 -.sym 15966 $2\uart_double_ff[0:0] -.sym 15968 last_trans -.sym 16035 $abc$51270$n764 -.sym 16036 $abc$51270$n736 -.sym 16037 $abc$51270$n762 +.sym 15942 $abc$19660$n1122 +.sym 15943 I2C.FLT_SCL.RESET$2 +.sym 15944 $abc$19660$n695 +.sym 15945 $abc$19660$n702 +.sym 15948 $abc$19660$n701 +.sym 15949 I2C.FLT_SCL.RESET$2 +.sym 15950 $abc$19660$n707 +.sym 15951 $false +.sym 15954 $abc$19660$n699 +.sym 15955 $abc$19660$n695 +.sym 15956 $abc$19660$n702 +.sym 15957 KEYBOARD.is_pressed +.sym 15961 $abc$19660$n637 +.sym 15962 $abc$19660$n639 +.sym 15963 $abc$19660$n623_1 +.sym 15964 $abc$19660$n619 +.sym 15965 $abc$19660$n622 +.sym 15966 $abc$19660$n620_1 +.sym 15967 $abc$19660$n636 +.sym 15968 $abc$19660$n624 +.sym 16035 $abc$19660$n690 +.sym 16036 $abc$19660$n46 +.sym 16037 KEYBOARD.isr_internal .sym 16038 $false -.sym 16041 I2C_TRANS -.sym 16042 last_trans -.sym 16043 $false -.sym 16044 $false -.sym 16047 $abc$51270$n728 -.sym 16048 $abc$51270$n736 -.sym 16049 $abc$51270$n767 -.sym 16050 $false -.sym 16053 $abc$51270$n759 -.sym 16054 $abc$51270$n753 -.sym 16055 I2C.is_read -.sym 16056 $false -.sym 16059 I2C_TRANS -.sym 16060 last_trans -.sym 16061 $abc$51270$n19 -.sym 16062 $false -.sym 16065 $abc$51270$n687 -.sym 16066 $abc$51270$n19 -.sym 16067 $abc$51270$n22 -.sym 16068 $false -.sym 16071 $abc$51270$n19 -.sym 16072 $abc$51270$n769 -.sym 16073 $abc$51270$n761 +.sym 16071 I2C_TRANS +.sym 16072 $3\int_tmr[14:0][14] +.sym 16073 $false .sym 16074 $false -.sym 16077 $false -.sym 16078 $false -.sym 16079 report_data_radr[0] -.sym 16080 $false -.sym 16081 $abc$51270$n974 -.sym 16082 CLK$2$2 -.sym 16083 $abc$51270$n21 -.sym 16084 $abc$51270$n2532 -.sym 16085 $10\ring_rd[3:0][2] -.sym 16087 $10\ring_rd[3:0][3] -.sym 16088 $10\ring_rd[3:0][0] -.sym 16089 $abc$51270$n2411 -.sym 16090 $10\ring_rd[3:0][1] -.sym 16091 report_data_radr[1] -.sym 16158 ring_rd[2] -.sym 16159 ring_wr[2] -.sym 16160 $abc$51270$n741 -.sym 16161 $abc$51270$n742 -.sym 16164 ring_rd[0] -.sym 16165 $abc$51270$n1865 -.sym 16166 ring_rd[2] -.sym 16167 $abc$51270$n1871 -.sym 16170 $false -.sym 16171 $false -.sym 16172 ring_wr[0] +.sym 16086 $abc$19660$n1097 +.sym 16087 $abc$19660$n1100 +.sym 16088 $2\ring_rd[3:0][2] +.sym 16089 $2\ring_rd[3:0][3] +.sym 16090 ring_rd[3] +.sym 16091 ring_rd[2] +.sym 16170 I2C.FLT_SCL.RESET$2 +.sym 16171 IS_RAM_INIT +.sym 16172 $false .sym 16173 $false -.sym 16176 $abc$51270$n741 -.sym 16177 $abc$51270$n1088 -.sym 16178 ring_wr[0] -.sym 16179 $abc$51270$n1865 -.sym 16182 ring_rd[1] -.sym 16183 ring_wr[1] -.sym 16184 $false -.sym 16185 $false -.sym 16188 ring_rd[0] -.sym 16189 ring_wr[0] -.sym 16190 ring_rd[3] -.sym 16191 ring_wr[3] -.sym 16194 $abc$51270$n741 -.sym 16195 ring_wr[0] -.sym 16196 $abc$51270$n1088 -.sym 16197 $false -.sym 16200 $abc$51270$n1454 -.sym 16201 ring_rd[3] -.sym 16202 $abc$51270$n1874 -.sym 16203 $abc$51270$n1089 -.sym 16208 $abc$51270$n1796 -.sym 16209 $abc$51270$n1799 -.sym 16210 $abc$51270$n1802 -.sym 16211 ring_rd[1] -.sym 16212 ring_rd[3] -.sym 16213 ring_rd[2] -.sym 16214 ring_rd[0] -.sym 16281 $10\ring_rd[3:0][0] -.sym 16282 $10\ring_rd[3:0][2] +.sym 16207 $2\ring_rd[3:0][0] +.sym 16208 $abc$19660$n1091 +.sym 16212 ring_rd[1] +.sym 16213 ring_rd[0] +.sym 16243 $true +.sym 16280 KEYBOARD.ram_adr[0]$2 +.sym 16281 $false +.sym 16282 KEYBOARD.ram_adr[0] .sym 16283 $false .sym 16284 $false -.sym 16287 $abc$51270$n1803 -.sym 16288 $abc$51270$n1802 -.sym 16289 $abc$51270$n21 -.sym 16290 $false -.sym 16293 $abc$51270$n1797 -.sym 16294 $abc$51270$n1796 -.sym 16295 $abc$51270$n21 -.sym 16296 $false -.sym 16299 $abc$51270$n1800 -.sym 16300 $abc$51270$n1799 -.sym 16301 $abc$51270$n21 -.sym 16302 $false -.sym 16305 $abc$51270$n1794 -.sym 16306 $abc$51270$n2413 -.sym 16307 $abc$51270$n2411 -.sym 16308 $abc$51270$n21 -.sym 16317 $abc$51270$n1791 -.sym 16318 $10\ring_rd[3:0][0] -.sym 16319 $10\ring_rd[3:0][2] -.sym 16320 $abc$51270$n21 -.sym 16323 $abc$51270$n1788 -.sym 16324 $10\ring_rd[3:0][1] -.sym 16325 $abc$51270$n21 -.sym 16326 $false -.sym 16327 $abc$51270$n974 -.sym 16328 CLK$2$2 -.sym 16329 $false -.sym 16332 $abc$51270$n1781 -.sym 16333 $abc$51270$n1784 -.sym 16335 KEYBOARD.ROWS_EN[6] -.sym 16366 $true -.sym 16403 report_data_radr[0]$2 +.sym 16286 $auto$alumacc.cc:474:replace_alu$5237.C[2] +.sym 16288 $false +.sym 16289 KEYBOARD.ram_adr[1] +.sym 16292 $auto$alumacc.cc:474:replace_alu$5237.C[3] +.sym 16293 $false +.sym 16294 $false +.sym 16295 KEYBOARD.ram_adr[2] +.sym 16296 $auto$alumacc.cc:474:replace_alu$5237.C[2] +.sym 16298 $auto$alumacc.cc:474:replace_alu$5237.C[4] +.sym 16299 $false +.sym 16300 $false +.sym 16301 KEYBOARD.ram_adr[3] +.sym 16302 $auto$alumacc.cc:474:replace_alu$5237.C[3] +.sym 16304 $auto$alumacc.cc:474:replace_alu$5237.C[5] +.sym 16305 $false +.sym 16306 $false +.sym 16307 KEYBOARD.ram_adr[4] +.sym 16308 $auto$alumacc.cc:474:replace_alu$5237.C[4] +.sym 16310 $auto$alumacc.cc:474:replace_alu$5237.C[6] +.sym 16311 $false +.sym 16312 $false +.sym 16313 KEYBOARD.ram_adr[5] +.sym 16314 $auto$alumacc.cc:474:replace_alu$5237.C[5] +.sym 16316 $auto$alumacc.cc:474:replace_alu$5237.C[7] +.sym 16317 $false +.sym 16318 $false +.sym 16319 KEYBOARD.ram_adr[6] +.sym 16320 $auto$alumacc.cc:474:replace_alu$5237.C[6] +.sym 16322 $auto$alumacc.cc:474:replace_alu$5237.C[8] +.sym 16323 $false +.sym 16324 $false +.sym 16325 KEYBOARD.ram_adr[7] +.sym 16326 $auto$alumacc.cc:474:replace_alu$5237.C[7] +.sym 16333 KEYBOARD.ram_adr[5] +.sym 16336 KEYBOARD.ram_adr[6] .sym 16404 $false -.sym 16405 report_data_radr[0] -.sym 16406 $false -.sym 16407 $false -.sym 16409 $auto$alumacc.cc:474:replace_alu$9588.C[2] -.sym 16411 $false -.sym 16412 report_data_radr[1] -.sym 16415 $auto$alumacc.cc:474:replace_alu$9588.C[3] -.sym 16416 $false -.sym 16417 $false -.sym 16418 report_data_radr[2] -.sym 16419 $auto$alumacc.cc:474:replace_alu$9588.C[2] -.sym 16421 $auto$alumacc.cc:474:replace_alu$9588.C[4] -.sym 16422 $false -.sym 16423 $false -.sym 16424 report_data_radr[3] -.sym 16425 $auto$alumacc.cc:474:replace_alu$9588.C[3] -.sym 16427 $auto$alumacc.cc:474:replace_alu$9588.C[5] -.sym 16428 $false -.sym 16429 $false -.sym 16430 report_data_radr[4] -.sym 16431 $auto$alumacc.cc:474:replace_alu$9588.C[4] -.sym 16433 $auto$alumacc.cc:474:replace_alu$9588.C[6] +.sym 16405 $false +.sym 16406 KEYBOARD.ram_adr[8] +.sym 16407 $auto$alumacc.cc:474:replace_alu$5237.C[8] +.sym 16416 $abc$19660$n621 +.sym 16417 $abc$19660$n623 +.sym 16418 $abc$19660$n629 +.sym 16419 $abc$19660$n1296 +.sym 16422 KEYBOARD.ram_adr[1] +.sym 16423 KEYBOARD.ram_adr[0] +.sym 16424 $abc$19660$n933_1 +.sym 16425 $abc$19660$n934_1 +.sym 16428 $abc$19660$n643 +.sym 16429 int_tmr[12] +.sym 16430 $false +.sym 16431 $false .sym 16434 $false .sym 16435 $false -.sym 16436 report_data_radr[5] -.sym 16437 $auto$alumacc.cc:474:replace_alu$9588.C[5] -.sym 16439 $auto$alumacc.cc:474:replace_alu$9588.C[7] -.sym 16440 $false -.sym 16441 $false -.sym 16442 report_data_radr[6] -.sym 16443 $auto$alumacc.cc:474:replace_alu$9588.C[6] -.sym 16446 $false -.sym 16447 $false -.sym 16448 report_data_radr[7] -.sym 16449 $auto$alumacc.cc:474:replace_alu$9588.C[7] -.sym 16497 $abc$51270$n950 -.sym 16553 KEYBOARD.COLS_SHADOW[7] -.sym 16640 $abc$51270$n394 -.sym 16641 $abc$51270$n396 -.sym 16642 $abc$51270$n383 -.sym 16643 KEYBOARD.COLS_SHADOW[4] -.sym 16646 $abc$51270$n1418$2 -.sym 16647 $abc$51270$n902 -.sym 16648 $false -.sym 16649 $false -.sym 16652 KEYBOARD.COLS_SHADOW[5] -.sym 16653 KBD_COLUMNS[5]$2 -.sym 16654 $abc$51270$n700 -.sym 16655 $false -.sym 16658 KEYBOARD.COLS_SHADOW[4] -.sym 16659 KBD_COLUMNS[4]$2 -.sym 16660 $abc$51270$n700 -.sym 16661 $false -.sym 16674 $abc$51270$n1402 -.sym 16675 CLK$2$2 -.sym 16676 $false +.sym 16436 KEYBOARD.ram_adr[0] +.sym 16437 $false +.sym 16440 $abc$19660$n932_1 +.sym 16441 KEYBOARD.IS_RAM_INIT$2 +.sym 16442 $false +.sym 16443 $false +.sym 16446 $abc$19660$n614 +.sym 16447 $abc$19660$n617 +.sym 16448 $abc$19660$n618 +.sym 16449 $abc$19660$n620 +.sym 16497 $abc$19660$n41 +.sym 16664 $false +.sym 16665 $false +.sym 16666 $false +.sym 16667 $false .sym 16677 KBD_COLUMNS[6]$2 .sym 16679 KBD_COLUMNS[7]$2 -.sym 16681 $abc$51270$n1487 -.sym 16683 $abc$51270$n1246 -.sym 16684 $abc$51270$n873 -.sym 16685 $abc$51270$n1228 -.sym 16686 $abc$51270$n1485 -.sym 16687 $abc$51270$n1263 -.sym 16688 I2C.i2c_start_latency -.sym 16791 $abc$51270$n27 -.sym 16792 $abc$51270$n1418$2 -.sym 16793 $abc$51270$n907 -.sym 16794 $false -.sym 16797 $abc$51270$n27 -.sym 16798 $abc$51270$n900 -.sym 16799 $abc$51270$n910 -.sym 16800 $abc$51270$n968_1 -.sym 16803 $abc$51270$n911 -.sym 16804 $abc$51270$n1418$2 -.sym 16805 $false -.sym 16806 $false -.sym 16809 $abc$51270$n907 -.sym 16810 $abc$51270$n901 -.sym 16811 $false -.sym 16812 $false -.sym 16815 $abc$51270$n920 -.sym 16816 $abc$51270$n915 -.sym 16817 $abc$51270$n900 -.sym 16818 $false -.sym 16821 $abc$51270$n936 -.sym 16822 $abc$51270$n938 -.sym 16823 $abc$51270$n940 -.sym 16824 $abc$51270$n942 -.sym 16827 $abc$51270$n920 -.sym 16828 $abc$51270$n899 -.sym 16829 $abc$51270$n918 -.sym 16830 $false -.sym 16833 $abc$51270$n918 -.sym 16834 $abc$51270$n942 -.sym 16835 $false -.sym 16836 $false -.sym 16840 $abc$51270$n1270 -.sym 16841 $abc$51270$n1256 -.sym 16842 $abc$51270$n1258_1 -.sym 16843 $abc$51270$n1269 -.sym 16844 $abc$51270$n307 -.sym 16845 $abc$51270$n2014 -.sym 16846 $abc$51270$n319 -.sym 16847 I2C.i2c_state_machine +.sym 16683 KEYBOARD.ROWS_EN[13] +.sym 16686 KEYBOARD.ROWS_EN[15] +.sym 16797 I2C.FLT_SDA.out +.sym 16798 $false +.sym 16799 $false +.sym 16800 $false +.sym 16837 $abc$19660$n271 +.sym 16838 CLK$2$2 +.sym 16839 $false +.sym 16844 $abc$19660$n212 +.sym 16845 $abc$19660$n797_1 +.sym 16846 $abc$19660$n301 +.sym 16847 $abc$19660$n21 .sym 16876 $true -.sym 16913 wr_cnt[0]$2 +.sym 16913 $abc$19660$n1438$2 .sym 16914 $false -.sym 16915 wr_cnt[0] +.sym 16915 $abc$19660$n1438 .sym 16916 $false .sym 16917 $false -.sym 16919 $auto$alumacc.cc:474:replace_alu$9582.C[2] -.sym 16921 $false -.sym 16922 wr_cnt[1] -.sym 16925 $auto$alumacc.cc:474:replace_alu$9582.C[3] -.sym 16926 $false -.sym 16927 $false -.sym 16928 wr_cnt[2] -.sym 16929 $auto$alumacc.cc:474:replace_alu$9582.C[2] -.sym 16932 $false -.sym 16933 $false -.sym 16934 wr_cnt[3] -.sym 16935 $auto$alumacc.cc:474:replace_alu$9582.C[3] -.sym 16938 $abc$51270$n936 -.sym 16939 $abc$51270$n938 -.sym 16940 $false -.sym 16941 $false -.sym 16944 $abc$51270$n940 -.sym 16945 $abc$51270$n959 -.sym 16946 $abc$51270$n958 +.sym 16919 $auto$alumacc.cc:474:replace_alu$5219.C[2]$2 +.sym 16921 $abc$19660$n1440 +.sym 16922 $true$2 +.sym 16925 $auto$alumacc.cc:474:replace_alu$5219.C[3]$2 +.sym 16927 $abc$19660$n1442 +.sym 16928 $true$2 +.sym 16929 $auto$alumacc.cc:474:replace_alu$5219.C[2]$2 +.sym 16931 $abc$19660$n1603$2 +.sym 16933 $abc$19660$n1444 +.sym 16934 $true$2 +.sym 16935 $auto$alumacc.cc:474:replace_alu$5219.C[3]$2 +.sym 16941 $abc$19660$n1603$2 +.sym 16944 $abc$19660$n1440 +.sym 16945 $false +.sym 16946 $false .sym 16947 $false -.sym 16950 $abc$51270$n920 -.sym 16951 $abc$51270$n899 -.sym 16952 $abc$51270$n960 -.sym 16953 $abc$51270$n968_1 -.sym 16956 $abc$51270$n970 -.sym 16957 $abc$51270$n899 -.sym 16958 $abc$51270$n958 -.sym 16959 $abc$51270$n968_1 -.sym 16963 $abc$51270$n2012 -.sym 16964 $abc$51270$n1257_1 -.sym 16965 $abc$51270$n1294 -.sym 16966 $abc$51270$n882 -.sym 16967 $abc$51270$n867_1 -.sym 16968 I2C.i2c_bit_counter[2] -.sym 16969 I2C.i2c_bit_counter[0] -.sym 16970 I2C.i2c_bit_counter[1] -.sym 17037 $abc$51270$n27 -.sym 17038 $abc$51270$n937_1 -.sym 17039 $abc$51270$n904 -.sym 17040 $false -.sym 17043 $abc$51270$n867_1 -.sym 17044 $abc$51270$n877 -.sym 17045 $false -.sym 17046 $false -.sym 17049 $abc$51270$n979 -.sym 17050 $abc$51270$n936 -.sym 17051 $abc$51270$n985 -.sym 17052 $false -.sym 17055 $abc$51270$n971 -.sym 17056 $abc$51270$n972 +.sym 16950 $abc$19660$n1442 +.sym 16951 $false +.sym 16952 $false +.sym 16953 $false +.sym 16956 $abc$19660$n1438 +.sym 16957 $false +.sym 16958 $false +.sym 16959 $false +.sym 16963 $abc$19660$n12 +.sym 17055 $false +.sym 17056 $abc$19660$n1442 .sym 17057 $false -.sym 17058 $false -.sym 17061 $abc$51270$n902 -.sym 17062 $abc$51270$n1418$2 +.sym 17058 $auto$alumacc.cc:474:replace_alu$5219.C[2] +.sym 17061 $false +.sym 17062 $abc$19660$n1444 .sym 17063 $false -.sym 17064 $false -.sym 17067 $abc$51270$n980 -.sym 17068 $abc$51270$n937_1 -.sym 17069 $abc$51270$n971 -.sym 17070 $false -.sym 17073 $abc$51270$n1461 -.sym 17074 $abc$51270$n27 -.sym 17075 $abc$51270$n937_1 +.sym 17064 $auto$alumacc.cc:474:replace_alu$5219.C[3] +.sym 17073 $abc$19660$n1680 +.sym 17074 $false +.sym 17075 $false .sym 17076 $false -.sym 17079 I2C.FLT_SDA.out -.sym 17080 $false -.sym 17081 $false -.sym 17082 $false -.sym 17083 $abc$51270$n1190 -.sym 17084 CLK$2$2 -.sym 17085 $false -.sym 17086 $abc$51270$n1483_1 -.sym 17087 $abc$51270$n1490 -.sym 17088 $abc$51270$n1482 -.sym 17089 $abc$51270$n1489 -.sym 17090 $abc$51270$n1301 -.sym 17091 $abc$51270$n1295 -.sym 17092 I2C.wr -.sym 17093 I2C.is_ack -.sym 17160 $abc$51270$n1253 -.sym 17161 $abc$51270$n1256 -.sym 17162 $abc$51270$n871_1 -.sym 17163 I2C.received_byte[0] -.sym 17166 $abc$51270$n902 -.sym 17167 $abc$51270$n904 -.sym 17168 $false -.sym 17169 $false -.sym 17172 $abc$51270$n27 -.sym 17173 $abc$51270$n901 -.sym 17174 $false +.sym 17086 $abc$19660$n1625 +.sym 17087 $abc$19660$n512 +.sym 17088 $abc$19660$n517 +.sym 17091 $abc$19660$n514 +.sym 17093 $abc$19660$n1596 +.sym 17160 UART_TX_DATA[7] +.sym 17161 UART_TX_DATA[5] +.sym 17162 $abc$19660$n514 +.sym 17163 $false +.sym 17172 UART_TX_DATA[6] +.sym 17173 UART_TX_DATA[4] +.sym 17174 $abc$19660$n514 .sym 17175 $false -.sym 17178 I2C.i2c_state_machine -.sym 17179 I2C.i2c_start_latency -.sym 17180 $false +.sym 17178 I2C.received_byte[4] +.sym 17179 $abc$19660$n875_1 +.sym 17180 I2C.is_read .sym 17181 $false -.sym 17184 I2C.i2c_state_machine -.sym 17185 $abc$51270$n2006 -.sym 17186 $abc$51270$n872 +.sym 17184 I2C.received_byte[6] +.sym 17185 $abc$19660$n879 +.sym 17186 I2C.is_read .sym 17187 $false -.sym 17190 I2C.i2c_start_latency -.sym 17191 I2C.i2c_state_machine -.sym 17192 $abc$51270$n2006 -.sym 17193 I2C.is_read -.sym 17196 $abc$51270$n995 -.sym 17197 $abc$51270$n1495 -.sym 17198 $abc$51270$n1418$2 -.sym 17199 $abc$51270$n968_1 -.sym 17202 $abc$51270$n1265 -.sym 17203 $abc$51270$n869 -.sym 17204 $false +.sym 17190 I2C.received_byte[7] +.sym 17191 $abc$19660$n881 +.sym 17192 I2C.is_read +.sym 17193 $false +.sym 17202 I2C.received_byte[5] +.sym 17203 $abc$19660$n877 +.sym 17204 I2C.is_read .sym 17205 $false -.sym 17206 $true +.sym 17206 $abc$19660$n47 .sym 17207 CLK$2$2 -.sym 17208 $false -.sym 17209 $abc$51270$n305 -.sym 17210 $abc$51270$n1500 -.sym 17211 $abc$51270$n1281 -.sym 17212 $abc$51270$n2393 -.sym 17213 $abc$51270$n2470 -.sym 17214 $abc$51270$n2473 -.sym 17215 I2C.received_byte[2] -.sym 17283 $abc$51270$n902 -.sym 17284 $abc$51270$n1494_1 -.sym 17285 $abc$51270$n971 -.sym 17286 $false -.sym 17289 $false -.sym 17290 $false -.sym 17291 KEYBOARD.row_counter[0] -.sym 17292 $false -.sym 17295 I2C.FLT_SCL.RESET -.sym 17296 $abc$51270$n896_1 -.sym 17297 $false +.sym 17208 $abc$19660$n52$2 +.sym 17211 $abc$19660$n1080 +.sym 17212 $abc$19660$n1082 +.sym 17213 $abc$19660$n1084 +.sym 17214 $abc$19660$n1086 +.sym 17215 $abc$19660$n1088 +.sym 17216 $abc$19660$n573 +.sym 17289 $abc$19660$n871 +.sym 17290 $abc$19660$n867 +.sym 17291 $abc$19660$n660 +.sym 17292 $abc$19660$n1106 +.sym 17295 $abc$19660$n1107 +.sym 17296 $abc$19660$n1109 +.sym 17297 $abc$19660$n661 .sym 17298 $false -.sym 17301 $abc$51270$n902 -.sym 17302 $abc$51270$n919 -.sym 17303 I2C.FLT_SCL.RESET -.sym 17304 $false -.sym 17307 $abc$51270$n919 -.sym 17308 I2C.FLT_SCL.RESET -.sym 17309 $false -.sym 17310 $false -.sym 17313 $abc$51270$n911 -.sym 17314 $abc$51270$n27 -.sym 17315 $false -.sym 17316 $false -.sym 17319 $abc$51270$n911 -.sym 17320 $abc$51270$n896_1 -.sym 17321 KEYBOARD.init_ram_cnt[8] -.sym 17322 $false -.sym 17325 $abc$51270$n2314 -.sym 17326 $false +.sym 17301 $abc$19660$n873 +.sym 17302 $abc$19660$n869 +.sym 17303 $abc$19660$n660 +.sym 17304 $abc$19660$n780 +.sym 17307 $abc$19660$n881 +.sym 17308 $abc$19660$n877 +.sym 17309 $abc$19660$n660 +.sym 17310 $abc$19660$n780 +.sym 17313 $abc$19660$n879 +.sym 17314 $abc$19660$n875_1 +.sym 17315 $abc$19660$n660 +.sym 17316 $abc$19660$n1108 +.sym 17319 I2C.wr +.sym 17320 last_wr +.sym 17321 $abc$19660$n52$2 +.sym 17322 $abc$19660$n46 +.sym 17325 $abc$19660$n1674 +.sym 17326 $abc$19660$n52$2 .sym 17327 $false .sym 17328 $false -.sym 17329 $abc$51270$n1374 +.sym 17329 $abc$19660$n41$2 .sym 17330 CLK$2$2 -.sym 17331 $abc$51270$n35$2 -.sym 17339 $abc$51270$n2431 -.sym 17406 $abc$51270$n1253 -.sym 17407 $abc$51270$n1254 -.sym 17408 $false -.sym 17409 $false -.sym 17412 I2C.byte_counter[2] -.sym 17413 I2C.byte_counter[4] -.sym 17414 I2C.byte_counter[5] -.sym 17415 I2C.byte_counter[7] -.sym 17418 $abc$51270$n882 -.sym 17419 $abc$51270$n880 -.sym 17420 $false +.sym 17331 $abc$19660$n19$2 +.sym 17332 $abc$19660$n1674 +.sym 17333 $abc$19660$n567_1 +.sym 17334 $abc$19660$n571 +.sym 17335 $abc$19660$n1676 +.sym 17336 $abc$19660$n570 +.sym 17337 $abc$19660$n563 +.sym 17338 $abc$19660$n557 +.sym 17339 UART_WR +.sym 17406 $abc$19660$n564 +.sym 17407 $abc$19660$n563 +.sym 17408 $abc$19660$n573 +.sym 17409 $abc$19660$n574 +.sym 17412 last_wr +.sym 17413 I2C.wr +.sym 17414 I2C_COUNTER[5] +.sym 17415 $false +.sym 17418 $abc$19660$n564 +.sym 17419 $abc$19660$n563 +.sym 17420 $abc$19660$n557 .sym 17421 $false -.sym 17424 $abc$51270$n896_1 -.sym 17425 KEYBOARD.init_ram_cnt[8] -.sym 17426 I2C.FLT_SCL.RESET +.sym 17424 I2C_COUNTER[3] +.sym 17425 $abc$19660$n1082 +.sym 17426 $abc$19660$n562 .sym 17427 $false -.sym 17430 $abc$51270$n867_1 -.sym 17431 $abc$51270$n880 -.sym 17432 $false +.sym 17430 I2C_COUNTER[2] +.sym 17431 $abc$19660$n1080 +.sym 17432 $abc$19660$n562 .sym 17433 $false -.sym 17436 $abc$51270$n1497 -.sym 17437 $abc$51270$n1283 -.sym 17438 I2C.byte_counter[0] -.sym 17439 I2C.byte_counter[1] -.sym 17442 $abc$51270$n2006 -.sym 17443 I2C.byte_counter[3] -.sym 17444 $false -.sym 17445 $false -.sym 17448 I2C.FLT_SDA.out -.sym 17449 $false -.sym 17450 $false +.sym 17436 I2C_COUNTER[0] +.sym 17437 $abc$19660$n562 +.sym 17438 I2C_COUNTER[1] +.sym 17439 $false +.sym 17442 I2C_COUNTER[0] +.sym 17443 I2C_COUNTER[2] +.sym 17444 I2C_COUNTER[3] +.sym 17445 I2C_COUNTER[1] +.sym 17448 $abc$19660$n1676 +.sym 17449 $abc$19660$n1674 +.sym 17450 $abc$19660$n1675 .sym 17451 $false -.sym 17452 $abc$51270$n1200 -.sym 17453 CLK$2$2 -.sym 17454 $false -.sym 17455 $abc$51270$n822 -.sym 17456 $abc$51270$n809 -.sym 17457 $2\I2C_INPUT_LEN[7:0][1] -.sym 17458 $abc$51270$n1076 -.sym 17459 $abc$51270$n1493 -.sym 17460 $abc$51270$n1077 -.sym 17461 $abc$51270$n821 -.sym 17529 I2C.byte_counter[3] -.sym 17530 I2C.byte_counter[2] -.sym 17531 $abc$51270$n695 +.sym 17455 $abc$19660$n881 +.sym 17456 $abc$19660$n873 +.sym 17457 $abc$19660$n875_1 +.sym 17458 $abc$19660$n877 +.sym 17459 I2C_OUT_DESC_MASK[4] +.sym 17460 I2C_OUT_DESC_MASK[5] +.sym 17461 I2C_OUT_DESC_MASK[3] +.sym 17462 I2C_OUT_DESC_MASK[7] +.sym 17491 $true +.sym 17528 $abc$19660$n1671$2 +.sym 17529 $false +.sym 17530 $abc$19660$n1671 +.sym 17531 $false .sym 17532 $false -.sym 17535 I2C.received_byte[1] -.sym 17536 I2C.received_byte[7] -.sym 17537 $abc$51270$n1255 -.sym 17538 I2C.received_byte[6] -.sym 17547 I2C.FLT_SCL.RESET -.sym 17548 $abc$51270$n896_1 -.sym 17549 KEYBOARD.init_ram_cnt[8] -.sym 17550 $false -.sym 17553 I2C.byte_counter[4] -.sym 17554 I2C.byte_counter[5] -.sym 17555 I2C.byte_counter[6] -.sym 17556 I2C.byte_counter[7] -.sym 17559 I2C.byte_counter[0] -.sym 17560 I2C.byte_counter[1] -.sym 17561 $abc$51270$n694 -.sym 17562 $false -.sym 17565 I2C.received_byte[4] -.sym 17566 I2C.received_byte[2] -.sym 17567 I2C.received_byte[5] -.sym 17568 I2C.received_byte[3] -.sym 17571 I2C.FLT_SDA.out -.sym 17572 $false +.sym 17534 $auto$alumacc.cc:474:replace_alu$5188.C[3] +.sym 17536 $false +.sym 17537 $abc$19660$n1672 +.sym 17540 $auto$alumacc.cc:474:replace_alu$5188.C[4] +.sym 17542 $false +.sym 17543 $abc$19660$n1673 +.sym 17546 $auto$alumacc.cc:474:replace_alu$5188.C[5] +.sym 17548 $false +.sym 17549 $abc$19660$n1674 +.sym 17552 $auto$alumacc.cc:474:replace_alu$5188.C[6] +.sym 17554 $false +.sym 17555 $abc$19660$n1675 +.sym 17558 $abc$19660$n1651$2 +.sym 17560 $false +.sym 17561 $abc$19660$n1676 +.sym 17568 $abc$19660$n1651$2 +.sym 17571 $abc$19660$n1676 +.sym 17572 $abc$19660$n52$2 .sym 17573 $false .sym 17574 $false -.sym 17575 $abc$51270$n1225 +.sym 17575 $abc$19660$n41$2 .sym 17576 CLK$2$2 -.sym 17577 $false -.sym 17578 $abc$51270$n846 -.sym 17579 $abc$51270$n1080 -.sym 17580 $abc$51270$n1083 -.sym 17581 $abc$51270$n823 -.sym 17582 $abc$51270$n1073 -.sym 17583 $abc$51270$n1081 -.sym 17584 $abc$51270$n1228_1 -.sym 17585 $abc$51270$n859 -.sym 17652 $abc$51270$n809 -.sym 17653 I2C.byte_counter[0] -.sym 17654 I2C.received_byte[2] -.sym 17655 I2C.received_byte[1] -.sym 17658 I2C.is_read -.sym 17659 $abc$51270$n917 -.sym 17660 $false -.sym 17661 $false -.sym 17664 i2c_input_data_type[3] -.sym 17665 i2c_input_data_type[1] -.sym 17666 i2c_input_data_type[2] +.sym 17577 $abc$19660$n19$2 +.sym 17578 $abc$19660$n644 +.sym 17582 $abc$19660$n17 +.sym 17583 $abc$19660$n867 +.sym 17584 $abc$19660$n52 +.sym 17585 $abc$19660$n879 +.sym 17652 $abc$19660$n1651 +.sym 17653 $abc$19660$n635_1 +.sym 17654 I2C.is_read +.sym 17655 $abc$19660$n644 +.sym 17664 I2C_HID_DESC.DESC_TYPE +.sym 17665 I2C_OUTPUT_TYPE[2] +.sym 17666 I2C_OUTPUT_TYPE[1] .sym 17667 $false -.sym 17670 $abc$51270$n814 -.sym 17671 $abc$51270$n809 -.sym 17672 $abc$51270$n1496_1 -.sym 17673 $abc$51270$n1497_1 -.sym 17676 $abc$51270$n809 -.sym 17677 $abc$51270$n694 -.sym 17678 $abc$51270$n1498 -.sym 17679 I2C.byte_counter[1] -.sym 17682 $2\uart_double_ff[0:0] -.sym 17683 $abc$51270$n1079 -.sym 17684 $abc$51270$n1080 -.sym 17685 $abc$51270$n739 -.sym 17688 $2\uart_double_ff[0:0] -.sym 17689 $abc$51270$n847 -.sym 17690 $abc$51270$n1073 -.sym 17691 $abc$51270$n739 -.sym 17694 $2\uart_double_ff[0:0] -.sym 17695 $abc$51270$n860 -.sym 17696 $abc$51270$n1083 -.sym 17697 $abc$51270$n739 -.sym 17698 $abc$51270$n1112 +.sym 17670 $abc$19660$n558 +.sym 17671 $abc$19660$n635_1 +.sym 17672 $abc$19660$n557 +.sym 17673 I2C.is_read +.sym 17676 $abc$19660$n644 +.sym 17677 I2C_OUTPUT_TYPE[2] +.sym 17678 $false +.sym 17679 $false +.sym 17682 $abc$19660$n522 +.sym 17683 $abc$19660$n652 +.sym 17684 $false +.sym 17685 $false +.sym 17688 I2C_OUTPUT_TYPE[1] +.sym 17689 $abc$19660$n522 +.sym 17690 $abc$19660$n644 +.sym 17691 $false +.sym 17694 $abc$19660$n652 +.sym 17695 $abc$19660$n651 +.sym 17696 $abc$19660$n653 +.sym 17697 $false +.sym 17698 $abc$19660$n41$2 .sym 17699 CLK$2$2 -.sym 17700 $false -.sym 17701 $abc$51270$n813 -.sym 17702 $abc$51270$n222 -.sym 17703 $abc$51270$n1451 -.sym 17704 $abc$51270$n1046 -.sym 17705 $abc$51270$n1450 -.sym 17706 temp_output_report[2] -.sym 17707 temp_output_report[1] -.sym 17708 temp_output_report[0] -.sym 17775 $abc$51270$n840 -.sym 17776 $abc$51270$n809 -.sym 17777 $abc$51270$n693 -.sym 17778 $abc$51270$n790 -.sym 17781 $abc$51270$n1455 -.sym 17782 $abc$51270$n836 -.sym 17783 $abc$51270$n838 -.sym 17784 $abc$51270$n839 -.sym 17787 $abc$51270$n827 -.sym 17788 $abc$51270$n831 -.sym 17789 $abc$51270$n1499_1 -.sym 17790 $abc$51270$n791 -.sym 17793 $2\uart_double_ff[0:0] -.sym 17794 $abc$51270$n739 -.sym 17795 $abc$51270$n848 -.sym 17796 i2c_input_data_type[3] -.sym 17799 I2C.byte_counter[0] -.sym 17800 $abc$51270$n693 -.sym 17801 $false -.sym 17802 $false -.sym 17805 $abc$51270$n694 -.sym 17806 I2C.byte_counter[1] -.sym 17807 $false -.sym 17808 $false -.sym 17811 $abc$51270$n833 -.sym 17812 I2C.byte_counter[0] -.sym 17813 I2C.byte_counter[1] -.sym 17814 $false -.sym 17817 I2C.received_byte[3] -.sym 17818 $abc$51270$n1074 -.sym 17819 $abc$51270$n739 -.sym 17820 $abc$51270$n1086 -.sym 17821 $abc$51270$n1112 -.sym 17822 CLK$2$2 -.sym 17823 $false -.sym 17824 $abc$51270$n848 -.sym 17825 $abc$51270$n857 -.sym 17826 $abc$51270$n858 -.sym 17827 $abc$51270$n851 -.sym 17828 $abc$51270$n854 -.sym 17829 $abc$51270$n855 -.sym 17830 $abc$51270$n849 -.sym 17831 LED4$2 -.sym 17898 I2C.received_byte[1] -.sym 17899 I2C.received_byte[0] -.sym 17900 i2c_input_data_type[0] -.sym 17901 $false -.sym 17904 $abc$51270$n835 -.sym 17905 I2C.received_byte[3] -.sym 17906 I2C.received_byte[2] -.sym 17907 $abc$51270$n823 -.sym 17910 i2c_input_data_type[3] -.sym 17911 i2c_input_data_type[1] -.sym 17912 i2c_input_data_type[0] -.sym 17913 i2c_input_data_type[2] -.sym 17916 i2c_input_data_type[0] -.sym 17917 $abc$51270$n814 -.sym 17918 $false -.sym 17919 $false -.sym 17922 I2C.received_byte[0] -.sym 17923 $abc$51270$n823 -.sym 17924 $abc$51270$n822 -.sym 17925 $abc$51270$n833 -.sym 17928 $abc$51270$n814 -.sym 17929 $abc$51270$n834 -.sym 17930 $abc$51270$n832 -.sym 17931 $false -.sym 17934 i2c_input_data_type[3] -.sym 17935 i2c_input_data_type[1] -.sym 17936 i2c_input_data_type[0] -.sym 17937 i2c_input_data_type[2] -.sym 17940 $abc$51270$n851 -.sym 17941 $abc$51270$n812 -.sym 17942 $abc$51270$n2425 -.sym 17943 $false -.sym 17947 $abc$51270$n225 -.sym 17948 $abc$51270$n2455 -.sym 17949 $abc$51270$n224 -.sym 17950 $abc$51270$n230 -.sym 17951 $abc$51270$n228 -.sym 17952 KEYBOARD.ROWS_EN[10] -.sym 17953 KEYBOARD.ROWS_EN[11] -.sym 17954 KEYBOARD.ROWS_EN[15] -.sym 18021 $abc$51270$n790 -.sym 18022 $abc$51270$n753 -.sym 18023 $abc$51270$n759 +.sym 17700 $abc$19660$n19$2 +.sym 17701 COM_DCD$2 +.sym 17703 I2C_HID_DESC.CLK +.sym 17707 ring_wr[2] +.sym 17775 KEYBOARD.kbd_code_hid[1] +.sym 17776 KEYBOARD.kbd_code_hid[0] +.sym 17777 KEYBOARD.kbd_code_hid[2] +.sym 17778 $false +.sym 17781 $abc$19660$n708 +.sym 17782 $abc$19660$n709 +.sym 17783 $abc$19660$n710 +.sym 17784 $abc$19660$n711 +.sym 17787 KEYBOARD.REPORT.r_data[0] +.sym 17788 KEYBOARD.kbd_code_hid[0] +.sym 17789 KEYBOARD.REPORT.r_data[2] +.sym 17790 KEYBOARD.kbd_code_hid[2] +.sym 17793 KEYBOARD.kbd_code_hid[0] +.sym 17794 KEYBOARD.kbd_code_hid[1] +.sym 17795 KEYBOARD.kbd_code_hid[2] +.sym 17796 $false +.sym 17799 KEYBOARD.REPORT.r_data[5] +.sym 17800 KEYBOARD.is_pressed +.sym 17801 $abc$19660$n969_1 +.sym 17802 $abc$19660$n699 +.sym 17805 KEYBOARD.kbd_code_hid[4] +.sym 17806 KEYBOARD.REPORT.r_data[4] +.sym 17807 KEYBOARD.REPORT.r_data[7] +.sym 17808 KEYBOARD.kbd_code_hid[7] +.sym 17811 KEYBOARD.REPORT.r_data[5] +.sym 17812 KEYBOARD.kbd_code_hid[5] +.sym 17813 KEYBOARD.REPORT.r_data[6] +.sym 17814 KEYBOARD.kbd_code_hid[6] +.sym 17817 KEYBOARD.REPORT.r_data[7] +.sym 17818 KEYBOARD.is_pressed +.sym 17819 $abc$19660$n975_1 +.sym 17820 $abc$19660$n699 +.sym 17828 KEYBOARD.report_wr_en +.sym 17898 $abc$19660$n699 +.sym 17899 KEYBOARD.is_pressed +.sym 17900 KEYBOARD.kbd_code_hid[6] +.sym 17901 $abc$19660$n971_1 +.sym 17904 $abc$19660$n699 +.sym 17905 KEYBOARD.is_pressed +.sym 17906 KEYBOARD.kbd_code_hid[7] +.sym 17907 $abc$19660$n974 +.sym 17910 KEYBOARD.REPORT.r_data[2] +.sym 17911 $abc$19660$n699 +.sym 17912 KEYBOARD.is_pressed +.sym 17913 $abc$19660$n1100_1 +.sym 17916 $abc$19660$n699 +.sym 17917 KEYBOARD.is_pressed +.sym 17918 KEYBOARD.kbd_code_hid[5] +.sym 17919 $abc$19660$n968 +.sym 17922 KEYBOARD.is_pressed +.sym 17923 KEYBOARD.kbd_code_hid[4] +.sym 17924 $abc$19660$n965_1 +.sym 17925 $false +.sym 17934 $abc$19660$n699 +.sym 17935 KEYBOARD.is_pressed +.sym 17936 KEYBOARD.kbd_code_hid[0] +.sym 17937 $abc$19660$n960 +.sym 17944 $abc$19660$n155 +.sym 17945 CLK$2$2 +.sym 17946 KEYBOARD.IS_RAM_INIT$2 +.sym 17947 $abc$19660$n914_1 +.sym 17948 $abc$19660$n37 +.sym 17949 $abc$19660$n660_1 +.sym 17950 $abc$19660$n891 +.sym 17951 $abc$19660$n940_1 +.sym 17952 $abc$19660$n1734 +.sym 17953 $abc$19660$n701 +.sym 17954 report_wr_en +.sym 18021 KEYBOARD.is_pressed +.sym 18022 $abc$19660$n707 +.sym 18023 $abc$19660$n701 .sym 18024 $false -.sym 18027 $abc$51270$n2422 -.sym 18028 I2C.is_read -.sym 18029 $abc$51270$n753 -.sym 18030 $abc$51270$n917 -.sym 18033 $abc$51270$n21 -.sym 18034 report_data_radr[0] -.sym 18035 $false -.sym 18036 $false -.sym 18039 $abc$51270$n772 -.sym 18040 $abc$51270$n799_1 -.sym 18041 $abc$51270$n778 -.sym 18042 $abc$51270$n789 -.sym 18045 $abc$51270$n772 -.sym 18046 $abc$51270$n776 +.sym 18027 $abc$19660$n721_1 +.sym 18028 $abc$19660$n191$2 +.sym 18029 $false +.sym 18030 $false +.sym 18033 KEYBOARD.REPORT.r_data[4] +.sym 18034 KEYBOARD.REPORT.r_data[5] +.sym 18035 KEYBOARD.REPORT.r_data[6] +.sym 18036 KEYBOARD.REPORT.r_data[7] +.sym 18039 $abc$19660$n716 +.sym 18040 $abc$19660$n701 +.sym 18041 $abc$19660$n707 +.sym 18042 KEYBOARD.is_pressed +.sym 18045 $abc$19660$n717 +.sym 18046 $abc$19660$n718_1 .sym 18047 $false .sym 18048 $false -.sym 18051 I2C.FLT_SCL.RESET -.sym 18052 $abc$51270$n21 -.sym 18053 $abc$51270$n789 -.sym 18054 $abc$51270$n1117 -.sym 18057 I2C.is_read -.sym 18058 $abc$51270$n791 -.sym 18059 $abc$51270$n728 -.sym 18060 $false -.sym 18063 LED1$2 -.sym 18064 $false -.sym 18065 $false -.sym 18066 $false -.sym 18077 $abc$51270$n1075 -.sym 18144 $abc$51270$n1320 -.sym 18145 $false +.sym 18057 KEYBOARD.is_pressed +.sym 18058 $abc$19660$n707 +.sym 18059 KEYBOARD.report_adress_rd[3] +.sym 18060 $abc$19660$n701 +.sym 18063 KEYBOARD.REPORT.r_data[0] +.sym 18064 KEYBOARD.REPORT.r_data[1] +.sym 18065 KEYBOARD.REPORT.r_data[2] +.sym 18066 KEYBOARD.REPORT.r_data[3] +.sym 18070 $2\ring_wr[3:0][3] +.sym 18073 $2\ring_wr[3:0][1] +.sym 18074 $abc$19660$n631 +.sym 18075 ring_wr[0] +.sym 18076 ring_wr[1] +.sym 18077 ring_wr[3] +.sym 18144 $2\ring_rd[3:0][0] +.sym 18145 $2\ring_wr[3:0][0] .sym 18146 $false .sym 18147 $false -.sym 18156 $abc$51270$n759 -.sym 18157 $abc$51270$n838 -.sym 18158 $abc$51270$n776 -.sym 18159 $false -.sym 18162 $abc$51270$n2193 -.sym 18163 KEYBOARD.row_counter[0] -.sym 18164 KEYBOARD.row_counter[1] -.sym 18165 $abc$51270$n2199 -.sym 18168 KEYBOARD.row_counter[0] -.sym 18169 KEYBOARD.row_counter[1] -.sym 18170 $abc$51270$n2193 -.sym 18171 $abc$51270$n2199 -.sym 18174 last_trans -.sym 18175 I2C_TRANS -.sym 18176 $false +.sym 18150 $2\ring_rd[3:0][3] +.sym 18151 $2\ring_wr[3:0][3] +.sym 18152 $false +.sym 18153 $false +.sym 18156 $2\ring_wr[3:0][3] +.sym 18157 ring_rd[3] +.sym 18158 $abc$19660$n624 +.sym 18159 $abc$19660$n631 +.sym 18162 $abc$19660$n639 +.sym 18163 $abc$19660$n637 +.sym 18164 $abc$19660$n620_1 +.sym 18165 $abc$19660$n641 +.sym 18168 $abc$19660$n623_1 +.sym 18169 $abc$19660$n634 +.sym 18170 $false +.sym 18171 $false +.sym 18174 $2\ring_rd[3:0][2] +.sym 18175 $2\ring_wr[3:0][2] +.sym 18176 $abc$19660$n636 .sym 18177 $false -.sym 18186 I2C_TRANS -.sym 18187 $false -.sym 18188 $false -.sym 18189 $false -.sym 18190 $abc$51270$n1152 -.sym 18191 CLK$2$2 -.sym 18192 $abc$51270$n35$2 -.sym 18193 $abc$51270$n2582 -.sym 18194 $abc$51270$n2578 -.sym 18195 $abc$51270$n2576 -.sym 18198 $abc$51270$n2579 -.sym 18199 $abc$51270$n2575 -.sym 18267 $10\ring_rd[3:0][1] -.sym 18268 $10\ring_rd[3:0][3] +.sym 18180 $abc$19660$n622 +.sym 18181 ring_rd[0] +.sym 18182 $abc$19660$n631 +.sym 18183 $false +.sym 18186 $2\ring_wr[3:0][2] +.sym 18187 ring_rd[2] +.sym 18188 ring_rd[0] +.sym 18189 $2\ring_wr[3:0][0] +.sym 18193 $abc$19660$n1727 +.sym 18194 $2\ring_wr[3:0][0] +.sym 18195 $abc$19660$n626 +.sym 18196 $abc$19660$n629_1 +.sym 18197 $abc$19660$n627 +.sym 18198 $abc$19660$n1218 +.sym 18199 $2\ring_wr[3:0][2] +.sym 18200 $abc$19660$n628 +.sym 18229 $true +.sym 18266 ring_rd[0]$2 +.sym 18267 $false +.sym 18268 ring_rd[0] .sym 18269 $false .sym 18270 $false -.sym 18273 $abc$51270$n1781 -.sym 18274 ring_rd[2] -.sym 18275 $abc$51270$n740 -.sym 18276 $false -.sym 18285 $abc$51270$n1784 -.sym 18286 ring_rd[3] -.sym 18287 $abc$51270$n740 -.sym 18288 $false -.sym 18291 $abc$51270$n1775 -.sym 18292 ring_rd[0] -.sym 18293 $abc$51270$n740 +.sym 18272 $auto$alumacc.cc:474:replace_alu$5204.C[2] +.sym 18274 $false +.sym 18275 ring_rd[1] +.sym 18278 $auto$alumacc.cc:474:replace_alu$5204.C[3] +.sym 18279 $false +.sym 18280 $false +.sym 18281 ring_rd[2] +.sym 18282 $auto$alumacc.cc:474:replace_alu$5204.C[2] +.sym 18285 $false +.sym 18286 $false +.sym 18287 ring_rd[3] +.sym 18288 $auto$alumacc.cc:474:replace_alu$5204.C[3] +.sym 18291 ring_rd[2] +.sym 18292 $abc$19660$n1097 +.sym 18293 $abc$19660$n622 .sym 18294 $false -.sym 18297 $10\ring_rd[3:0][1] -.sym 18298 $10\ring_rd[3:0][3] -.sym 18299 $false +.sym 18297 ring_rd[3] +.sym 18298 $abc$19660$n1100 +.sym 18299 $abc$19660$n622 .sym 18300 $false -.sym 18303 $abc$51270$n740 -.sym 18304 ring_rd[0] -.sym 18305 ring_rd[1] +.sym 18303 $2\ring_rd[3:0][3] +.sym 18304 $false +.sym 18305 $false .sym 18306 $false -.sym 18309 report_data_radr[1] -.sym 18310 $10\ring_rd[3:0][0] -.sym 18311 $abc$51270$n21 +.sym 18309 $2\ring_rd[3:0][2] +.sym 18310 $false +.sym 18311 $false .sym 18312 $false -.sym 18313 $abc$51270$n968 +.sym 18313 $abc$19660$n41$2 .sym 18314 CLK$2$2 -.sym 18315 $false -.sym 18316 $abc$51270$n1609 -.sym 18319 KEYBOARD.ROWS_EN[2] -.sym 18320 KEYBOARD.ROWS_EN[5] -.sym 18322 KEYBOARD.ROWS_EN[3] -.sym 18352 $false -.sym 18389 $auto$maccmap.cc:240:synth$10473.C[5] -.sym 18391 $abc$51270$n2411 -.sym 18392 $abc$51270$n2413 -.sym 18395 $auto$maccmap.cc:240:synth$10473.C[6] +.sym 18315 $abc$19660$n19$2 +.sym 18318 $abc$19660$n1224 +.sym 18319 $abc$19660$n1227 +.sym 18320 $abc$19660$n344 +.sym 18390 ring_rd[0] +.sym 18391 $abc$19660$n1091 +.sym 18392 $abc$19660$n622 +.sym 18393 $false .sym 18396 $false -.sym 18397 $10\ring_rd[3:0][2] -.sym 18398 $abc$51270$n2532 -.sym 18399 $auto$maccmap.cc:240:synth$10473.C[5] -.sym 18401 $auto$maccmap.cc:240:synth$10473.C[7] -.sym 18402 $false -.sym 18403 $10\ring_rd[3:0][3] -.sym 18404 $false -.sym 18405 $auto$maccmap.cc:240:synth$10473.C[6] -.sym 18408 $false -.sym 18409 $false -.sym 18410 $false -.sym 18411 $auto$maccmap.cc:240:synth$10473.C[7] -.sym 18414 $10\ring_rd[3:0][1] -.sym 18415 $false -.sym 18416 $false -.sym 18417 $false -.sym 18420 $10\ring_rd[3:0][3] -.sym 18421 $false -.sym 18422 $false +.sym 18397 $false +.sym 18398 ring_rd[0] +.sym 18399 $false +.sym 18420 $abc$19660$n622 +.sym 18421 ring_rd[0] +.sym 18422 ring_rd[1] .sym 18423 $false -.sym 18426 $10\ring_rd[3:0][2] +.sym 18426 $2\ring_rd[3:0][0] .sym 18427 $false .sym 18428 $false .sym 18429 $false -.sym 18432 $10\ring_rd[3:0][0] -.sym 18433 $false -.sym 18434 $false -.sym 18435 $false -.sym 18436 $abc$51270$n934 +.sym 18436 $abc$19660$n41$2 .sym 18437 CLK$2$2 -.sym 18438 $abc$51270$n35$2 -.sym 18440 KEYBOARD.ROWS_EN[7] -.sym 18444 KEYBOARD.ROWS_EN[1] -.sym 18445 KEYBOARD.ROWS_EN[4] -.sym 18446 KEYBOARD.ROWS_EN[0] -.sym 18475 $true -.sym 18512 ring_rd[0]$2 -.sym 18513 $false -.sym 18514 ring_rd[0] -.sym 18515 $false -.sym 18516 $false -.sym 18518 $auto$alumacc.cc:474:replace_alu$9585.C[2] -.sym 18520 $false -.sym 18521 ring_rd[1] -.sym 18524 $auto$alumacc.cc:474:replace_alu$9585.C[3] -.sym 18525 $false -.sym 18526 $false -.sym 18527 ring_rd[2] -.sym 18528 $auto$alumacc.cc:474:replace_alu$9585.C[2] -.sym 18531 $false -.sym 18532 $false -.sym 18533 ring_rd[3] -.sym 18534 $auto$alumacc.cc:474:replace_alu$9585.C[3] -.sym 18543 $abc$51270$n2581 -.sym 18544 $false -.sym 18545 $false -.sym 18546 $false -.sym 18559 $abc$51270$n1404$2 +.sym 18438 $abc$19660$n19$2 +.sym 18531 KEYBOARD.IS_RAM_INIT$2 +.sym 18532 $abc$19660$n621 +.sym 18533 $false +.sym 18534 $false +.sym 18549 KEYBOARD.IS_RAM_INIT$2 +.sym 18550 $abc$19660$n623 +.sym 18551 $false +.sym 18552 $false +.sym 18559 $abc$19660$n188 .sym 18560 CLK$2$2 -.sym 18561 $abc$51270$n30 -.sym 18736 KEYBOARD.COLS_SHADOW[7] -.sym 18737 KBD_COLUMNS[7]$2 -.sym 18738 $abc$51270$n700 -.sym 18739 $false -.sym 18782 $abc$51270$n1402 -.sym 18783 CLK$2$2 -.sym 18784 $false -.sym 18899 $abc$51270$n1269 -.sym 18900 $abc$51270$n1263 -.sym 18901 $abc$51270$n869 -.sym 18902 $false -.sym 18911 I2C.FLT_SCL.out -.sym 18912 $abc$51270$n16 +.sym 18561 $abc$19660$n19$2 +.sym 18911 $abc$19660$n1732 +.sym 18912 $false .sym 18913 $false .sym 18914 $false -.sym 18917 $abc$51270$n1178 -.sym 18918 $abc$51270$n16 -.sym 18919 I2C.FLT_SCL.out -.sym 18920 $false -.sym 18923 I2C.i2c_start_latency -.sym 18924 I2C.i2c_state_machine -.sym 18925 $abc$51270$n2006 -.sym 18926 $false -.sym 18929 $abc$51270$n1178 -.sym 18930 $abc$51270$n1246 -.sym 18931 $abc$51270$n873 -.sym 18932 $abc$51270$n869 -.sym 18935 $abc$51270$n1257_1 -.sym 18936 I2C.FLT_SCL.out -.sym 18937 $abc$51270$n16 -.sym 18938 $abc$51270$n1178 -.sym 18941 $abc$51270$n2006 -.sym 18942 $false -.sym 18943 $false -.sym 18944 $false -.sym 18945 $abc$51270$n1228 +.sym 18929 $abc$19660$n1734 +.sym 18930 $false +.sym 18931 $false +.sym 18932 $false +.sym 18945 $abc$19660$n198$2 .sym 18946 CLK$2$2 -.sym 18947 $false -.sym 19022 $abc$51270$n1178 -.sym 19023 $abc$51270$n1246 -.sym 19024 $abc$51270$n869 +.sym 18947 $abc$19660$n17 +.sym 18984 $true +.sym 19021 $abc$19660$n1678$2 +.sym 19022 $false +.sym 19023 $abc$19660$n1678 +.sym 19024 $false .sym 19025 $false -.sym 19028 $abc$51270$n1257_1 -.sym 19029 $abc$51270$n1258_1 -.sym 19030 $false -.sym 19031 $false -.sym 19034 $abc$51270$n1246 -.sym 19035 $abc$51270$n1178 -.sym 19036 $false -.sym 19037 $false -.sym 19040 $abc$51270$n1257_1 -.sym 19041 $abc$51270$n1258_1 -.sym 19042 $false -.sym 19043 $false -.sym 19046 $false -.sym 19047 $abc$51270$n2012 -.sym 19048 $false -.sym 19049 $auto$alumacc.cc:474:replace_alu$9620.C[2] -.sym 19052 $abc$51270$n2461 -.sym 19053 $false -.sym 19054 $false +.sym 19027 $auto$alumacc.cc:474:replace_alu$5159.C[2] +.sym 19029 $false +.sym 19030 $abc$19660$n1604 +.sym 19033 $auto$alumacc.cc:474:replace_alu$5159.C[3] +.sym 19035 $false +.sym 19036 $abc$19660$n1679 +.sym 19039 $abc$19660$n212$2 +.sym 19041 $false +.sym 19042 $abc$19660$n1680 +.sym 19049 $abc$19660$n212$2 +.sym 19052 UART.TX_sig_last +.sym 19053 UART_WR +.sym 19054 UART.tx_activity .sym 19055 $false -.sym 19058 $false -.sym 19059 $false +.sym 19058 $abc$19660$n797_1 +.sym 19059 I2C.FLT_SCL.RESET$2 .sym 19060 $false -.sym 19061 $abc$51270$n2394 -.sym 19064 $abc$51270$n1262 -.sym 19065 $abc$51270$n1261 -.sym 19066 $abc$51270$n869 -.sym 19067 $abc$51270$n871_1 -.sym 19068 $true -.sym 19069 CLK$2$2 -.sym 19070 $abc$51270$n35$2 -.sym 19145 $abc$51270$n2006 -.sym 19146 I2C.i2c_bit_counter[2] -.sym 19147 $false -.sym 19148 $false -.sym 19151 $abc$51270$n2006 -.sym 19152 I2C.is_ack -.sym 19153 $false -.sym 19154 $false -.sym 19157 $abc$51270$n1252 -.sym 19158 $abc$51270$n1257_1 -.sym 19159 $abc$51270$n1258_1 -.sym 19160 $abc$51270$n868 -.sym 19163 $abc$51270$n868 -.sym 19164 $abc$51270$n873 -.sym 19165 $abc$51270$n874 -.sym 19166 $abc$51270$n307 -.sym 19169 $abc$51270$n307 -.sym 19170 $abc$51270$n873 -.sym 19171 $abc$51270$n874 -.sym 19172 $abc$51270$n868 -.sym 19175 $abc$51270$n1271 -.sym 19176 $abc$51270$n307 -.sym 19177 $abc$51270$n1267 -.sym 19178 $abc$51270$n2012 -.sym 19181 $abc$51270$n1271 -.sym 19182 $abc$51270$n305 -.sym 19183 $abc$51270$n1267 -.sym 19184 $abc$51270$n2008 -.sym 19187 $abc$51270$n875 -.sym 19188 $abc$51270$n1271 -.sym 19189 $abc$51270$n1267 -.sym 19190 $abc$51270$n2010 -.sym 19191 $true +.sym 19061 $false +.sym 19064 UART.tx_activity +.sym 19065 $false +.sym 19066 $false +.sym 19067 $false +.sym 19145 $abc$19660$n1554 +.sym 19146 $abc$19660$n517 +.sym 19147 $abc$19660$n512 +.sym 19148 UART.tx_activity +.sym 19191 $abc$19660$n308 .sym 19192 CLK$2$2 .sym 19193 $false -.sym 19268 $abc$51270$n1258_1 -.sym 19269 $abc$51270$n868 -.sym 19270 I2C.i2c_state_machine -.sym 19271 $abc$51270$n1248 -.sym 19274 $abc$51270$n2431 -.sym 19275 $abc$51270$n868 -.sym 19276 $abc$51270$n1263 -.sym 19277 $abc$51270$n1489 -.sym 19280 $abc$51270$n1248 -.sym 19281 $abc$51270$n1481 -.sym 19282 $abc$51270$n1246 -.sym 19283 $false -.sym 19286 $abc$51270$n1269 -.sym 19287 $abc$51270$n868 -.sym 19288 I2C.i2c_state_machine -.sym 19289 $abc$51270$n1300 -.sym 19292 $abc$51270$n1300 -.sym 19293 $abc$51270$n1263 -.sym 19294 $abc$51270$n871_1 -.sym 19295 $abc$51270$n1270 -.sym 19298 I2C.i2c_state_machine -.sym 19299 $abc$51270$n1246 -.sym 19300 I2C.is_ack -.sym 19301 $abc$51270$n2006 -.sym 19304 $abc$51270$n1262 -.sym 19305 $abc$51270$n1301 -.sym 19306 $abc$51270$n1490 -.sym 19307 $false -.sym 19310 $abc$51270$n1270 -.sym 19311 $abc$51270$n871_1 -.sym 19312 $abc$51270$n1295 -.sym 19313 $abc$51270$n1294 -.sym 19314 $true -.sym 19315 CLK$2$2 -.sym 19316 $false +.sym 19268 $abc$19660$n1624 +.sym 19269 $false +.sym 19270 $false +.sym 19271 $false +.sym 19274 $abc$19660$n516 +.sym 19275 $abc$19660$n513 +.sym 19276 $abc$19660$n1596 +.sym 19277 $abc$19660$n1582 +.sym 19280 $abc$19660$n519 +.sym 19281 $abc$19660$n518 +.sym 19282 $abc$19660$n1582 +.sym 19283 $abc$19660$n1596 +.sym 19298 $abc$19660$n1623 +.sym 19299 $abc$19660$n1624 +.sym 19300 $false +.sym 19301 $false +.sym 19310 $false +.sym 19311 $false +.sym 19312 $abc$19660$n1625 +.sym 19313 $false +.sym 19353 $true +.sym 19390 I2C_COUNTER[0]$2 .sym 19391 $false -.sym 19392 $abc$51270$n2008 +.sym 19392 I2C_COUNTER[0] .sym 19393 $false .sym 19394 $false -.sym 19397 $abc$51270$n2006 -.sym 19398 I2C.byte_counter[5] -.sym 19399 $false -.sym 19400 $false -.sym 19403 $abc$51270$n1282 -.sym 19404 $abc$51270$n1502 -.sym 19405 $false -.sym 19406 $false -.sym 19409 $abc$51270$n1494 +.sym 19396 $auto$alumacc.cc:474:replace_alu$5207.C[2] +.sym 19398 $false +.sym 19399 I2C_COUNTER[1] +.sym 19402 $auto$alumacc.cc:474:replace_alu$5207.C[3] +.sym 19403 $false +.sym 19404 $false +.sym 19405 I2C_COUNTER[2] +.sym 19406 $auto$alumacc.cc:474:replace_alu$5207.C[2] +.sym 19408 $auto$alumacc.cc:474:replace_alu$5207.C[4] +.sym 19409 $false .sym 19410 $false -.sym 19411 $false -.sym 19412 $false -.sym 19415 $abc$51270$n1500 +.sym 19411 I2C_COUNTER[3] +.sym 19412 $auto$alumacc.cc:474:replace_alu$5207.C[3] +.sym 19414 $auto$alumacc.cc:474:replace_alu$5207.C[5] +.sym 19415 $false .sym 19416 $false -.sym 19417 $false -.sym 19418 $false -.sym 19421 $abc$51270$n1497 +.sym 19417 I2C_COUNTER[4] +.sym 19418 $auto$alumacc.cc:474:replace_alu$5207.C[4] +.sym 19420 $auto$alumacc.cc:474:replace_alu$5207.C[6] +.sym 19421 $false .sym 19422 $false -.sym 19423 $false -.sym 19424 $false -.sym 19427 I2C.FLT_SDA.out +.sym 19423 I2C_COUNTER[5] +.sym 19424 $auto$alumacc.cc:474:replace_alu$5207.C[5] +.sym 19427 $false .sym 19428 $false -.sym 19429 $false -.sym 19430 $false -.sym 19437 $abc$51270$n1195 -.sym 19438 CLK$2$2 -.sym 19439 $false -.sym 19476 $true -.sym 19513 $abc$51270$n2393$2 -.sym 19514 $false -.sym 19515 $abc$51270$n2393 -.sym 19516 $false -.sym 19517 $false -.sym 19519 $auto$alumacc.cc:474:replace_alu$9612.C[3] -.sym 19521 $false -.sym 19522 $abc$51270$n2472 -.sym 19525 $auto$alumacc.cc:474:replace_alu$9612.C[4] -.sym 19527 $false -.sym 19528 $abc$51270$n2473 -.sym 19531 $auto$alumacc.cc:474:replace_alu$9612.C[5] -.sym 19533 $false -.sym 19534 $abc$51270$n2469 -.sym 19537 $auto$alumacc.cc:474:replace_alu$9612.C[6] -.sym 19539 $false -.sym 19540 $abc$51270$n2470 -.sym 19543 $auto$alumacc.cc:474:replace_alu$9612.C[7] -.sym 19545 $false -.sym 19546 $abc$51270$n2474 -.sym 19549 $abc$51270$n2431$2 -.sym 19551 $false -.sym 19552 $abc$51270$n2471 -.sym 19559 $abc$51270$n2431$2 -.sym 19637 I2C.received_byte[3] -.sym 19638 I2C.received_byte[1] -.sym 19639 I2C.received_byte[2] +.sym 19429 I2C_COUNTER[6] +.sym 19430 $auto$alumacc.cc:474:replace_alu$5207.C[6] +.sym 19433 last_wr +.sym 19434 $abc$19660$n1086 +.sym 19435 I2C.wr +.sym 19436 $false +.sym 19440 I2C_HID_DESC.VAL[0] +.sym 19441 I2C_HID_DESC.VAL[1] +.sym 19442 I2C_HID_DESC.VAL[2] +.sym 19443 I2C_HID_DESC.VAL[3] +.sym 19444 I2C_HID_DESC.VAL[4] +.sym 19445 I2C_HID_DESC.VAL[5] +.sym 19446 I2C_HID_DESC.VAL[6] +.sym 19447 I2C_HID_DESC.VAL[7] +.sym 19514 $abc$19660$n564 +.sym 19515 $abc$19660$n563 +.sym 19516 $abc$19660$n570 +.sym 19517 $abc$19660$n571 +.sym 19520 last_wr +.sym 19521 $abc$19660$n1088 +.sym 19522 I2C.wr +.sym 19523 $false +.sym 19526 last_wr +.sym 19527 I2C.wr +.sym 19528 I2C_COUNTER[4] +.sym 19529 $false +.sym 19532 $abc$19660$n564 +.sym 19533 $abc$19660$n563 +.sym 19534 $abc$19660$n567_1 +.sym 19535 $abc$19660$n568 +.sym 19538 last_wr +.sym 19539 $abc$19660$n1084 +.sym 19540 I2C.wr +.sym 19541 $false +.sym 19544 I2C_COUNTER[4] +.sym 19545 I2C_COUNTER[5] +.sym 19546 I2C_COUNTER[6] +.sym 19547 $false +.sym 19550 last_wr +.sym 19551 I2C.wr +.sym 19552 $false +.sym 19553 $false +.sym 19556 I2C_TRANS +.sym 19557 $abc$19660$n557 +.sym 19558 last_trans +.sym 19559 $false +.sym 19560 $abc$19660$n42 +.sym 19561 CLK$2$2 +.sym 19562 $abc$19660$n19$2 +.sym 19637 REPORT_DATA.r_data[7] +.sym 19638 I2C_HID_DESC.VAL[7] +.sym 19639 I2C_OUT_DESC_MASK[7] .sym 19640 $false -.sym 19643 I2C.byte_counter[3] -.sym 19644 $abc$51270$n695 -.sym 19645 I2C.byte_counter[2] +.sym 19643 REPORT_DATA.r_data[3] +.sym 19644 I2C_HID_DESC.VAL[3] +.sym 19645 I2C_OUT_DESC_MASK[3] .sym 19646 $false -.sym 19649 I2C.byte_counter[0] -.sym 19650 I2C.byte_counter[1] -.sym 19651 $false +.sym 19649 REPORT_DATA.r_data[4] +.sym 19650 I2C_HID_DESC.VAL[4] +.sym 19651 I2C_OUT_DESC_MASK[4] .sym 19652 $false -.sym 19655 I2C.received_byte[7] -.sym 19656 I2C.received_byte[6] -.sym 19657 $abc$51270$n1077 -.sym 19658 $abc$51270$n821 -.sym 19661 $abc$51270$n2006 -.sym 19662 I2C.byte_counter[0] +.sym 19655 REPORT_DATA.r_data[5] +.sym 19656 I2C_HID_DESC.VAL[5] +.sym 19657 I2C_OUT_DESC_MASK[5] +.sym 19658 $false +.sym 19661 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 19662 $false .sym 19663 $false .sym 19664 $false -.sym 19667 I2C.byte_counter[0] -.sym 19668 I2C.byte_counter[1] -.sym 19669 $abc$51270$n809 +.sym 19667 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 19668 $false +.sym 19669 $false .sym 19670 $false -.sym 19673 I2C.received_byte[0] -.sym 19674 $abc$51270$n822 +.sym 19673 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 19674 $false .sym 19675 $false .sym 19676 $false -.sym 19760 i2c_input_data_type[1] -.sym 19761 $abc$51270$n847 +.sym 19679 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 19680 $false +.sym 19681 $false +.sym 19682 $false +.sym 19683 $abc$19660$n63 +.sym 19684 CLK$2$2 +.sym 19685 $abc$19660$n19$2 +.sym 19686 REPORT_DATA.r_data[0] +.sym 19688 REPORT_DATA.r_data[1] +.sym 19690 REPORT_DATA.r_data[2] +.sym 19692 REPORT_DATA.r_data[3] +.sym 19760 I2C_TRANS +.sym 19761 last_trans .sym 19762 $false .sym 19763 $false -.sym 19766 I2C.received_byte[1] -.sym 19767 $abc$51270$n1074 -.sym 19768 $abc$51270$n1081 -.sym 19769 $false -.sym 19772 $abc$51270$n1081 -.sym 19773 $abc$51270$n1073 -.sym 19774 I2C.received_byte[2] -.sym 19775 $abc$51270$n1074 -.sym 19778 I2C.received_byte[4] -.sym 19779 I2C.received_byte[5] -.sym 19780 I2C.received_byte[7] -.sym 19781 I2C.received_byte[6] -.sym 19784 I2C.received_byte[4] -.sym 19785 $abc$51270$n1076 -.sym 19786 $abc$51270$n1074 -.sym 19787 I2C.received_byte[0] -.sym 19790 I2C.received_byte[4] -.sym 19791 I2C.received_byte[5] -.sym 19792 $abc$51270$n1076 +.sym 19784 $abc$19660$n16 +.sym 19785 $false +.sym 19786 $false +.sym 19787 $false +.sym 19790 REPORT_DATA.r_data[0] +.sym 19791 I2C_HID_DESC.VAL[0] +.sym 19792 I2C_OUT_DESC_MASK[0] .sym 19793 $false -.sym 19796 i2c_input_data_type[3] -.sym 19797 $abc$51270$n860 +.sym 19796 last_trans +.sym 19797 I2C_TRANS .sym 19798 $false .sym 19799 $false -.sym 19802 i2c_input_data_type[0] -.sym 19803 i2c_input_data_type[1] -.sym 19804 i2c_input_data_type[3] -.sym 19805 $abc$51270$n860 -.sym 19883 $abc$51270$n814 -.sym 19884 i2c_input_data_type[0] +.sym 19802 REPORT_DATA.r_data[6] +.sym 19803 I2C_HID_DESC.VAL[6] +.sym 19804 I2C_OUT_DESC_MASK[6] +.sym 19805 $false +.sym 19809 REPORT_DATA.r_data[4] +.sym 19811 REPORT_DATA.r_data[5] +.sym 19813 REPORT_DATA.r_data[6] +.sym 19815 REPORT_DATA.r_data[7] +.sym 19883 LED1$2 +.sym 19884 $false .sym 19885 $false .sym 19886 $false -.sym 19889 I2C.received_byte[6] -.sym 19890 $false -.sym 19891 $false -.sym 19892 $false -.sym 19895 I2C.byte_counter[0] -.sym 19896 $abc$51270$n1450 -.sym 19897 I2C.byte_counter[1] -.sym 19898 $abc$51270$n695 -.sym 19901 $abc$51270$n1451 -.sym 19902 $abc$51270$n790 -.sym 19903 $false -.sym 19904 $false -.sym 19907 $abc$51270$n812 -.sym 19908 $abc$51270$n813 -.sym 19909 I2C.byte_counter[3] -.sym 19910 I2C.byte_counter[2] -.sym 19913 I2C.received_byte[2] -.sym 19914 $false -.sym 19915 $false -.sym 19916 $false -.sym 19919 I2C.received_byte[1] +.sym 19895 CLK$2$2 +.sym 19896 $false +.sym 19897 $false +.sym 19898 $false +.sym 19919 $2\ring_wr[3:0][2] .sym 19920 $false .sym 19921 $false .sym 19922 $false -.sym 19925 I2C.received_byte[0] -.sym 19926 $false -.sym 19927 $false -.sym 19928 $false -.sym 19929 $abc$51270$n1046 +.sym 19929 $abc$19660$n41$2 .sym 19930 CLK$2$2 -.sym 19931 $false -.sym 20006 $abc$51270$n855 -.sym 20007 $abc$51270$n857 -.sym 20008 $abc$51270$n858 -.sym 20009 $abc$51270$n849 -.sym 20012 I2C_INPUT_LEN[1] -.sym 20013 I2C_INPUT_LEN[0] -.sym 20014 $abc$51270$n856 -.sym 20015 $abc$51270$n812 -.sym 20018 I2C_INPUT_LEN[0] -.sym 20019 I2C_INPUT_LEN[1] -.sym 20020 $abc$51270$n856 -.sym 20021 $abc$51270$n833 -.sym 20024 I2C_INPUT_LEN[3] -.sym 20025 $abc$51270$n853 -.sym 20026 $abc$51270$n852 -.sym 20027 $false -.sym 20030 I2C_INPUT_LEN[2] -.sym 20031 I2C_INPUT_LEN[1] -.sym 20032 I2C_INPUT_LEN[0] -.sym 20033 I2C_INPUT_LEN[3] -.sym 20036 I2C_INPUT_LEN[0] -.sym 20037 $abc$51270$n856 -.sym 20038 I2C_INPUT_LEN[1] -.sym 20039 $abc$51270$n829 -.sym 20042 $abc$51270$n852 -.sym 20043 $abc$51270$n854 -.sym 20044 $abc$51270$n813 -.sym 20045 $abc$51270$n850 -.sym 20048 temp_output_report[2] -.sym 20049 $false -.sym 20050 $false -.sym 20051 $false -.sym 20052 $abc$51270$n1138 +.sym 19931 $abc$19660$n19$2 +.sym 19932 KEYBOARD.REPORT.r_data[0] +.sym 19934 KEYBOARD.REPORT.r_data[1] +.sym 19936 KEYBOARD.REPORT.r_data[2] +.sym 19938 KEYBOARD.REPORT.r_data[3] +.sym 20030 $abc$19660$n699 +.sym 20031 $abc$19660$n1098 +.sym 20032 $abc$19660$n761 +.sym 20033 $abc$19660$n1311 +.sym 20052 $abc$19660$n94 .sym 20053 CLK$2$2 -.sym 20054 $abc$51270$n35$2 -.sym 20129 I2C.received_byte[4] -.sym 20130 $false -.sym 20131 $false -.sym 20132 $false -.sym 20135 I2C_INPUT_LEN[4] -.sym 20136 $false -.sym 20137 $false +.sym 20054 $abc$19660$n19$2 +.sym 20055 KEYBOARD.REPORT.r_data[4] +.sym 20057 KEYBOARD.REPORT.r_data[5] +.sym 20059 KEYBOARD.REPORT.r_data[6] +.sym 20061 KEYBOARD.REPORT.r_data[7] +.sym 20129 KEYBOARD.report_adress_rd[3] +.sym 20130 $abc$19660$n701 +.sym 20131 KEYBOARD.isr_internal +.sym 20132 KEYBOARD.IS_RAM_INIT$2 +.sym 20135 $abc$19660$n41$2 +.sym 20136 $abc$19660$n662 +.sym 20137 $abc$19660$n660_1 .sym 20138 $false -.sym 20141 I2C.received_byte[5] -.sym 20142 $false -.sym 20143 $false -.sym 20144 $false -.sym 20147 I2C.received_byte[1] -.sym 20148 $false -.sym 20149 $false +.sym 20141 KEYBOARD.isr +.sym 20142 last_isr +.sym 20143 $abc$19660$n661_1 +.sym 20144 $abc$19660$n46 +.sym 20147 IS_RAM_INIT +.sym 20148 KEYBOARD.isr +.sym 20149 last_isr .sym 20150 $false -.sym 20153 I2C.received_byte[2] -.sym 20154 $false -.sym 20155 $false -.sym 20156 $false -.sym 20159 $abc$51270$n2577 -.sym 20160 $false -.sym 20161 $false +.sym 20153 KEYBOARD.report_adress_rd[3] +.sym 20154 $abc$19660$n701 +.sym 20155 $abc$19660$n937_1 +.sym 20156 $abc$19660$n699 +.sym 20159 $abc$19660$n1287 +.sym 20160 $abc$19660$n1285 +.sym 20161 $abc$19660$n1290 .sym 20162 $false -.sym 20165 $abc$51270$n2578 -.sym 20166 $false -.sym 20167 $false +.sym 20165 KEYBOARD.report_adress_rd[2] +.sym 20166 KEYBOARD.report_adress_rd[0] +.sym 20167 KEYBOARD.report_adress_rd[1] .sym 20168 $false -.sym 20171 $abc$51270$n2582 -.sym 20172 $false -.sym 20173 $false -.sym 20174 $false -.sym 20175 $abc$51270$n1404$2 +.sym 20171 report_wr_en +.sym 20172 $abc$19660$n891 +.sym 20173 $abc$19660$n662 +.sym 20174 IS_RAM_INIT +.sym 20175 $abc$19660$n37 .sym 20176 CLK$2$2 -.sym 20177 $abc$51270$n31 -.sym 20214 $true -.sym 20251 $abc$51270$n230$3 -.sym 20252 $false -.sym 20253 $abc$51270$n230 -.sym 20254 $false +.sym 20177 $abc$19660$n19$2 +.sym 20252 ring_wr[3] +.sym 20253 $abc$19660$n1227 +.sym 20254 $abc$19660$n626 .sym 20255 $false -.sym 20257 $auto$alumacc.cc:474:replace_alu$9563.C[3] -.sym 20259 $false -.sym 20260 $abc$51270$n228 -.sym 20263 $auto$alumacc.cc:474:replace_alu$9563.C[4] -.sym 20265 $false -.sym 20266 $abc$51270$n227 -.sym 20269 $auto$alumacc.cc:474:replace_alu$9563.C[5] -.sym 20271 $false -.sym 20272 $abc$51270$n225 -.sym 20275 $auto$alumacc.cc:474:replace_alu$9563.C[6] -.sym 20277 $false -.sym 20278 $abc$51270$n224 -.sym 20281 $auto$alumacc.cc:474:replace_alu$9563.C[7] +.sym 20270 $abc$19660$n626 +.sym 20271 ring_wr[0] +.sym 20272 ring_wr[1] +.sym 20273 $false +.sym 20276 $2\ring_wr[3:0][1] +.sym 20277 ring_rd[1] +.sym 20278 $false +.sym 20279 $false +.sym 20282 $2\ring_wr[3:0][0] .sym 20283 $false -.sym 20284 $abc$51270$n222 -.sym 20287 $abc$51270$n2428 +.sym 20284 $false +.sym 20285 $false +.sym 20288 $2\ring_wr[3:0][1] .sym 20289 $false -.sym 20290 $abc$51270$n221 -.sym 20294 $abc$51270$n823 -.sym 20295 $abc$51270$n822 -.sym 20296 I2C.received_byte[0] -.sym 20297 $abc$51270$n2428 -.sym 20375 KEYBOARD.row_counter[0] -.sym 20376 KEYBOARD.row_counter[1] -.sym 20377 $abc$51270$n2193 -.sym 20378 $abc$51270$n2199 -.sym 20381 $abc$51270$n2199 -.sym 20382 KEYBOARD.row_counter[0] -.sym 20383 KEYBOARD.row_counter[1] -.sym 20384 $abc$51270$n2193 -.sym 20387 $abc$51270$n2199 -.sym 20388 KEYBOARD.row_counter[0] -.sym 20389 KEYBOARD.row_counter[1] -.sym 20390 $abc$51270$n2193 -.sym 20405 $abc$51270$n2193 -.sym 20406 KEYBOARD.row_counter[0] -.sym 20407 KEYBOARD.row_counter[1] -.sym 20408 $abc$51270$n2199 -.sym 20411 $abc$51270$n2193 -.sym 20412 $abc$51270$n2199 -.sym 20413 KEYBOARD.row_counter[0] -.sym 20414 KEYBOARD.row_counter[1] +.sym 20290 $false +.sym 20291 $false +.sym 20294 $2\ring_wr[3:0][3] +.sym 20295 $false +.sym 20296 $false +.sym 20297 $false +.sym 20298 $abc$19660$n41$2 +.sym 20299 CLK$2$2 +.sym 20300 $abc$19660$n19$2 +.sym 20375 $abc$19660$n1287 +.sym 20376 $abc$19660$n1285 +.sym 20377 $abc$19660$n1290 +.sym 20378 $false +.sym 20381 ring_wr[0] +.sym 20382 $abc$19660$n1218 +.sym 20383 $abc$19660$n626 +.sym 20384 $false +.sym 20387 $abc$19660$n629_1 +.sym 20388 $abc$19660$n627 +.sym 20389 KEYBOARD.isr +.sym 20390 last_isr +.sym 20393 ring_rd[1] +.sym 20394 ring_wr[0] +.sym 20395 ring_wr[1] +.sym 20396 $false +.sym 20399 $abc$19660$n344 +.sym 20400 ring_rd[2] +.sym 20401 $abc$19660$n1224 +.sym 20402 $abc$19660$n628 +.sym 20405 $false +.sym 20406 $false +.sym 20407 ring_wr[0] +.sym 20408 $false +.sym 20411 ring_wr[2] +.sym 20412 $abc$19660$n1224 +.sym 20413 $abc$19660$n626 +.sym 20414 $false +.sym 20417 ring_rd[0] +.sym 20418 $abc$19660$n1218 +.sym 20419 ring_rd[3] +.sym 20420 $abc$19660$n1227 +.sym 20460 $true +.sym 20497 ring_wr[0]$2 .sym 20498 $false -.sym 20499 $false -.sym 20500 KEYBOARD.row_time[0] +.sym 20499 ring_wr[0] +.sym 20500 $false .sym 20501 $false -.sym 20516 $abc$51270$n2577 +.sym 20503 $auto$alumacc.cc:474:replace_alu$5198.C[2] +.sym 20505 $false +.sym 20506 ring_wr[1] +.sym 20509 $auto$alumacc.cc:474:replace_alu$5198.C[3] +.sym 20510 $false +.sym 20511 $false +.sym 20512 ring_wr[2] +.sym 20513 $auto$alumacc.cc:474:replace_alu$5198.C[2] +.sym 20515 $auto$alumacc.cc:474:replace_alu$5198.C[4] +.sym 20516 $false .sym 20517 $false -.sym 20518 $false -.sym 20519 $false -.sym 20522 $abc$51270$n2580 +.sym 20518 ring_wr[3] +.sym 20519 $auto$alumacc.cc:474:replace_alu$5198.C[3] +.sym 20522 $false .sym 20523 $false .sym 20524 $false -.sym 20525 $false -.sym 20534 $abc$51270$n2578 -.sym 20535 $false -.sym 20536 $false -.sym 20537 $false -.sym 20544 $abc$51270$n1404$2 -.sym 20545 CLK$2$2 -.sym 20546 $abc$51270$n30 -.sym 20627 $abc$51270$n2582 -.sym 20628 $false -.sym 20629 $false -.sym 20630 $false -.sym 20651 $abc$51270$n2576 -.sym 20652 $false -.sym 20653 $false -.sym 20654 $false -.sym 20657 $abc$51270$n2579 -.sym 20658 $false -.sym 20659 $false -.sym 20660 $false -.sym 20663 $abc$51270$n2575 -.sym 20664 $false -.sym 20665 $false -.sym 20666 $false -.sym 20667 $abc$51270$n1404$2 -.sym 20668 CLK$2$2 -.sym 20669 $abc$51270$n30 +.sym 20525 $auto$alumacc.cc:474:replace_alu$5198.C[4] .sym 20715 $false .sym 20717 KEYBOARD.ROWS_EN[0] .sym 20718 $false .sym 20720 KEYBOARD.ROWS_EN[1] -.sym 20774 $abc$51270$n1178 -.sym 20776 $abc$51270$n2460 -.sym 20777 $abc$51270$n1402 -.sym 20846 $abc$51270$n2461 -.sym 20847 $abc$51270$n2395 -.sym 20848 $abc$51270$n1486 -.sym 20849 $abc$51270$n2459 -.sym 20851 $abc$51270$n16 -.sym 20852 $abc$51270$n14 -.sym 20853 I2C.i2c_bit_counter[3] -.sym 20984 $abc$51270$n874 -.sym 20985 $abc$51270$n2006 -.sym 20986 $abc$51270$n308 -.sym 20987 $abc$51270$n872 -.sym 20988 $abc$51270$n940 -.sym 20989 $abc$51270$n1268 -.sym 20990 $abc$51270$n1267 -.sym 20991 $abc$51270$n1261 -.sym 21086 $abc$51270$n1271 -.sym 21087 $abc$51270$n2008 -.sym 21088 $abc$51270$n2010 -.sym 21089 $abc$51270$n1210 -.sym 21090 $abc$51270$n877 -.sym 21091 $abc$51270$n875 -.sym 21092 $abc$51270$n868 -.sym 21093 I2C.received_byte[5] -.sym 21188 $abc$51270$n1300 -.sym 21189 $abc$51270$n1248 -.sym 21190 $abc$51270$n1481 -.sym 21191 $abc$51270$n1484 -.sym 21192 $abc$51270$n1262 -.sym 21193 $abc$51270$n1177 -.sym 21194 $abc$51270$n1280 -.sym 21195 I2C.SDA_DIR -.sym 21290 $abc$51270$n1195 -.sym 21291 $abc$51270$n1502 -.sym 21292 $abc$51270$n2474 -.sym 21293 $abc$51270$n1503 -.sym 21294 $abc$51270$n2471 -.sym 21295 I2C.byte_counter[6] -.sym 21296 I2C.byte_counter[5] -.sym 21297 I2C.byte_counter[7] -.sym 21393 $abc$51270$n1942 -.sym 21394 $abc$51270$n1944 -.sym 21395 $abc$51270$n1946 -.sym 21396 $abc$51270$n1499 -.sym 21397 I2C.FLT_SCL.RESET -.sym 21398 $abc$51270$n2469 -.sym 21399 I2C.received_byte[6] -.sym 21496 $abc$51270$n1496 -.sym 21497 $abc$51270$n1494 -.sym 21498 $abc$51270$n1217 -.sym 21499 $abc$51270$n1205 -.sym 21500 $abc$51270$n2472 -.sym 21501 I2C.received_byte[4] -.sym 21596 $abc$51270$n1138 -.sym 21597 $abc$51270$n1496_1 -.sym 21598 $abc$51270$n221 -.sym 21599 $abc$51270$n31 -.sym 21600 $abc$51270$n2173 -.sym 21601 rststate[2] -.sym 21602 I2C.byte_counter[0] -.sym 21698 $abc$51270$n1074 -.sym 21699 I2C_INPUT_LEN[3] -.sym 21700 I2C_INPUT_LEN[4] -.sym 21701 I2C_INPUT_LEN[2] -.sym 21702 I2C_INPUT_LEN[0] -.sym 21703 I2C_INPUT_LEN[6] -.sym 21704 I2C_INPUT_LEN[1] -.sym 21705 I2C_INPUT_LEN[5] -.sym 21800 $abc$51270$n853 -.sym 21801 $abc$51270$n2454 -.sym 21802 $abc$51270$n2456 -.sym 21803 $abc$51270$n2453 -.sym 21804 $abc$51270$n856 -.sym 21805 $abc$51270$n852 -.sym 21806 $abc$51270$n227 -.sym 21807 $abc$51270$n2452 -.sym 21909 $abc$51270$n2422 -.sym 22011 $abc$51270$n2421 -.sym 22106 $abc$51270$n1775 -.sym 22107 $abc$51270$n2458 -.sym 22108 KEYBOARD.row_time[5] -.sym 22109 KEYBOARD.row_time[6] -.sym 22111 KEYBOARD.row_time[7] -.sym 22210 $abc$51270$n1612 -.sym 22211 $abc$51270$n1613 -.sym 22212 $abc$51270$n1607 -.sym 22213 $abc$51270$n396 -.sym 22214 $abc$51270$n394 -.sym 22215 $abc$51270$n383 -.sym 22310 $abc$51270$n1617 -.sym 22311 $abc$51270$n1618 -.sym 22312 $abc$51270$n1620 -.sym 22313 $abc$51270$n1621 -.sym 22314 $abc$51270$n1626 -.sym 22315 KEYBOARD.row_time[9] -.sym 22316 KEYBOARD.row_time[12] -.sym 22317 KEYBOARD.row_time[8] +.sym 20772 $auto$alumacc.cc:474:replace_alu$5240.C[2] +.sym 20773 $abc$19660$n823 +.sym 20777 $abc$19660$n822 +.sym 20848 UART.tx_clk_counter[3] +.sym 20849 UART.tx_clk_counter[1] +.sym 20851 UART.tx_clk_counter[0] +.sym 20852 UART.tx_clk_counter[2] +.sym 20984 $abc$19660$n1623 +.sym 20986 $abc$19660$n1624 +.sym 20987 $abc$19660$n799 +.sym 20988 $abc$19660$n1550 +.sym 20989 $abc$19660$n819 +.sym 20990 $abc$19660$n308 +.sym 21090 UART.tx_activity +.sym 21191 KEYBOARD.ROWS_EN[14] +.sym 21392 $abc$19660$n568 +.sym 21395 $abc$19660$n42 +.sym 21397 $abc$19660$n666 +.sym 21398 I2C_OUT_DESC_MASK[1] +.sym 21498 $abc$19660$n869 +.sym 21499 last_wr +.sym 21500 I2C_COUNTER[1] +.sym 21510 $false +.sym 21511 $false +.sym 21512 $false +.sym 21513 $false +.sym 21514 $false +.sym 21515 $false +.sym 21516 $false +.sym 21517 $false +.sym 21518 $false +.sym 21519 $false +.sym 21520 $false +.sym 21521 $false +.sym 21522 $false$2 +.sym 21523 $false +.sym 21524 $false +.sym 21525 $false +.sym 21526 $false +.sym 21527 $false +.sym 21528 $false +.sym 21529 $false +.sym 21530 $false +.sym 21531 $false +.sym 21596 $abc$19660$n650 +.sym 21597 $abc$19660$n63 +.sym 21598 $abc$19660$n871 +.sym 21600 I2C_OUT_DESC_MASK[0] +.sym 21601 I2C_OUT_DESC_MASK[2] +.sym 21602 I2C_OUT_DESC_MASK[6] +.sym 21612 I2C_COUNTER[0] +.sym 21613 I2C_COUNTER[1] +.sym 21614 $false +.sym 21615 I2C_COUNTER[2] +.sym 21616 I2C_COUNTER[3] +.sym 21617 I2C_COUNTER[4] +.sym 21618 I2C_COUNTER[5] +.sym 21619 I2C_COUNTER[6] +.sym 21620 I2C_HID_DESC.DESC_TYPE +.sym 21621 $false +.sym 21622 $false +.sym 21623 I2C_HID_DESC.CLK +.sym 21624 $true +.sym 21625 $true$2 +.sym 21626 $false +.sym 21627 $false +.sym 21628 $false +.sym 21629 $false +.sym 21630 $false +.sym 21631 $false +.sym 21632 $false +.sym 21633 $false +.sym 21700 $abc$19660$n732 +.sym 21701 $abc$19660$n925_1 +.sym 21705 IS_RAM_INIT +.sym 21706 $undef +.sym 21707 $undef +.sym 21708 $undef +.sym 21709 $undef +.sym 21710 $undef +.sym 21711 $undef +.sym 21712 $undef +.sym 21713 $undef +.sym 21714 wr_cnt[0] +.sym 21715 wr_cnt[1] +.sym 21716 $false +.sym 21717 wr_cnt[2] +.sym 21718 wr_cnt[3] +.sym 21719 ring_wr[0] +.sym 21720 ring_wr[1] +.sym 21721 ring_wr[2] +.sym 21722 ring_wr[3] +.sym 21723 $false +.sym 21724 $false +.sym 21725 I2C_HID_DESC.CLK +.sym 21726 report_wr_en +.sym 21727 KEYBOARD.REPORT.r_data[0] +.sym 21728 $undef +.sym 21729 KEYBOARD.REPORT.r_data[1] +.sym 21730 $undef +.sym 21731 KEYBOARD.REPORT.r_data[2] +.sym 21732 $undef +.sym 21733 KEYBOARD.REPORT.r_data[3] +.sym 21734 $undef +.sym 21735 $true$2 +.sym 21800 $abc$19660$n662 +.sym 21801 $abc$19660$n35 +.sym 21802 $abc$19660$n663 +.sym 21803 $abc$19660$n661_1 +.sym 21804 wr_cnt[2] +.sym 21805 wr_cnt[1] +.sym 21806 wr_cnt[0] +.sym 21807 wr_cnt[3] +.sym 21808 $undef +.sym 21809 $undef +.sym 21810 $undef +.sym 21811 $undef +.sym 21812 $undef +.sym 21813 $undef +.sym 21814 $undef +.sym 21815 $undef +.sym 21816 I2C_COUNTER[0] +.sym 21817 I2C_COUNTER[1] +.sym 21818 $false +.sym 21819 I2C_COUNTER[2] +.sym 21820 I2C_COUNTER[3] +.sym 21821 ring_rd[0] +.sym 21822 ring_rd[1] +.sym 21823 ring_rd[2] +.sym 21824 ring_rd[3] +.sym 21825 $false +.sym 21826 $false +.sym 21827 I2C_HID_DESC.CLK +.sym 21828 $true +.sym 21829 $true$2 +.sym 21830 KEYBOARD.REPORT.r_data[5] +.sym 21831 $undef +.sym 21832 KEYBOARD.REPORT.r_data[6] +.sym 21833 $undef +.sym 21834 KEYBOARD.REPORT.r_data[7] +.sym 21835 $undef +.sym 21836 KEYBOARD.REPORT.r_data[4] +.sym 21837 $undef +.sym 21904 KEYBOARD.ROWS_EN[7] +.sym 21905 KEYBOARD.ROWS_EN[5] +.sym 21909 KEYBOARD.ROWS_EN[4] +.sym 21910 $undef +.sym 21911 $undef +.sym 21912 $undef +.sym 21913 $undef +.sym 21914 $undef +.sym 21915 $undef +.sym 21916 $undef +.sym 21917 $undef +.sym 21918 KEYBOARD.report_adress_rd[0] +.sym 21919 KEYBOARD.report_adress_rd[1] +.sym 21920 $false +.sym 21921 KEYBOARD.report_adress_rd[2] +.sym 21922 KEYBOARD.report_adress_rd[3] +.sym 21923 $false +.sym 21924 $false +.sym 21925 $false +.sym 21926 $false +.sym 21927 $false +.sym 21928 $false +.sym 21929 CLK$2$2 +.sym 21930 KEYBOARD.report_wr_en +.sym 21931 KEYBOARD.report_data_wr[0] +.sym 21932 $undef +.sym 21933 KEYBOARD.report_data_wr[1] +.sym 21934 $undef +.sym 21935 KEYBOARD.report_data_wr[2] +.sym 21936 $undef +.sym 21937 KEYBOARD.report_data_wr[3] +.sym 21938 $undef +.sym 21939 $true$2 +.sym 22007 KEYBOARD.isr_internal +.sym 22012 $undef +.sym 22013 $undef +.sym 22014 $undef +.sym 22015 $undef +.sym 22016 $undef +.sym 22017 $undef +.sym 22018 $undef +.sym 22019 $undef +.sym 22020 KEYBOARD.report_adress_rd[0] +.sym 22021 KEYBOARD.report_adress_rd[1] +.sym 22022 $false +.sym 22023 KEYBOARD.report_adress_rd[2] +.sym 22024 KEYBOARD.report_adress_rd[3] +.sym 22025 $false +.sym 22026 $false +.sym 22027 $false +.sym 22028 $false +.sym 22029 $false +.sym 22030 $false +.sym 22031 CLK$2$2 +.sym 22032 $true +.sym 22033 $true$2 +.sym 22034 KEYBOARD.report_data_wr[5] +.sym 22035 $undef +.sym 22036 KEYBOARD.report_data_wr[6] +.sym 22037 $undef +.sym 22038 KEYBOARD.report_data_wr[7] +.sym 22039 $undef +.sym 22040 KEYBOARD.report_data_wr[4] +.sym 22041 $undef +.sym 22106 $abc$19660$n1732 +.sym 22111 $abc$19660$n1733 +.sym 22112 last_isr +.sym 22209 KEYBOARD.ROWS_EN[6] +.sym 22214 KEYBOARD.ROWS_EN[1] +.sym 22310 KEYBOARD.ROWS_EN[0] +.sym 22312 KEYBOARD.ROWS_EN[3] +.sym 22314 KEYBOARD.ROWS_EN[2] .sym 22487 $false .sym 22489 KEYBOARD.ROWS_EN[2] .sym 22490 $false .sym 22492 KEYBOARD.ROWS_EN[3] -.sym 22543 I2C.SCLF -.sym 22547 I2C.SDAF +.sym 22544 UART.tx_bit_counter[2] +.sym 22546 UART.tx_bit_counter[3] .sym 22579 $true -.sym 22616 $abc$51270$n2459$2 +.sym 22616 UART.tx_clk_counter[0]$2 .sym 22617 $false -.sym 22618 $abc$51270$n2459 +.sym 22618 UART.tx_clk_counter[0] .sym 22619 $false .sym 22620 $false -.sym 22622 $auto$alumacc.cc:474:replace_alu$9529.C[2] -.sym 22624 $false -.sym 22625 $abc$51270$n2395 -.sym 22628 $auto$alumacc.cc:474:replace_alu$9529.C[3] -.sym 22630 $false -.sym 22631 $abc$51270$n2460 -.sym 22634 $abc$51270$n1178$2 -.sym 22636 $false -.sym 22637 $abc$51270$n2461 -.sym 22644 $abc$51270$n1178$2 -.sym 22653 $abc$51270$n2012 -.sym 22654 $false -.sym 22655 $false -.sym 22656 $false -.sym 22659 $abc$51270$n29 -.sym 22660 $abc$51270$n1418$2 +.sym 22622 $auto$alumacc.cc:474:replace_alu$5240.C[2]$2 +.sym 22624 UART.tx_clk_counter[1] +.sym 22625 $true$2 +.sym 22628 $auto$alumacc.cc:474:replace_alu$5240.C[3] +.sym 22630 UART.tx_clk_counter[2] +.sym 22631 $true$2 +.sym 22632 $auto$alumacc.cc:474:replace_alu$5240.C[2]$2 +.sym 22635 $false +.sym 22636 UART.tx_clk_counter[3] +.sym 22637 $false +.sym 22638 $auto$alumacc.cc:474:replace_alu$5240.C[3] +.sym 22659 $false +.sym 22660 UART.tx_clk_counter[2] .sym 22661 $false -.sym 22662 $false -.sym 22676 I2C.FLT_SDA.out -.sym 22780 I2C.i2c_bit_counter[3] -.sym 22781 $abc$51270$n2006 -.sym 22782 $false -.sym 22783 $false -.sym 22786 $abc$51270$n2010 -.sym 22787 $false -.sym 22788 $false -.sym 22789 $false -.sym 22792 $abc$51270$n308 -.sym 22793 $abc$51270$n1485 -.sym 22794 $abc$51270$n871_1 -.sym 22795 $abc$51270$n2461 -.sym 22798 $abc$51270$n2008 +.sym 22662 $auto$alumacc.cc:474:replace_alu$5240.C[2] +.sym 22672 $auto$alumacc.cc:474:replace_alu$5243.C[2] +.sym 22673 $abc$19660$n1626 +.sym 22674 $abc$19660$n802_1 +.sym 22675 $abc$19660$n801 +.sym 22676 $abc$19660$n1681 +.sym 22792 $abc$19660$n799 +.sym 22793 $abc$19660$n823 +.sym 22794 $false +.sym 22795 $false +.sym 22798 $abc$19660$n1550 .sym 22799 $false .sym 22800 $false .sym 22801 $false -.sym 22810 I2C.FLT_SCL.out -.sym 22811 $false +.sym 22810 $abc$19660$n799 +.sym 22811 $abc$19660$n819 .sym 22812 $false .sym 22813 $false -.sym 22816 I2C.FLT_SDA.out -.sym 22817 $false +.sym 22816 $abc$19660$n799 +.sym 22817 $abc$19660$n822 .sym 22818 $false .sym 22819 $false -.sym 22822 $abc$51270$n1487 -.sym 22823 $abc$51270$n1486 -.sym 22824 $abc$51270$n871_1 -.sym 22825 $false -.sym 22826 $true +.sym 22826 $abc$19660$n301 .sym 22827 CLK$2$2 -.sym 22828 $false -.sym 22831 $auto$alumacc.cc:474:replace_alu$9620.C[2] -.sym 22832 $auto$alumacc.cc:474:replace_alu$9620.C[3] -.sym 22833 $abc$51270$n2394 -.sym 22835 $abc$51270$n1017 -.sym 22836 I2C.FLT_SCL.out -.sym 22903 $abc$51270$n308 -.sym 22904 $abc$51270$n319 -.sym 22905 $abc$51270$n2394 +.sym 22828 $abc$19660$n21 +.sym 22829 UART.tx_bit_counter[1] +.sym 22832 UART.tx_bit_counter[0] +.sym 22903 UART.tx_bit_counter[0] +.sym 22904 UART.tx_bit_counter[1] +.sym 22905 $false .sym 22906 $false -.sym 22909 I2C.FLT_SDA.out -.sym 22910 $abc$51270$n14 -.sym 22911 I2C.FLT_SCL.out -.sym 22912 $false .sym 22915 $false -.sym 22916 $abc$51270$n2014 +.sym 22916 UART.tx_bit_counter[0] .sym 22917 $false -.sym 22918 $auto$alumacc.cc:474:replace_alu$9620.C[3] -.sym 22921 I2C.FLT_SDA.out -.sym 22922 I2C.FLT_SCL.out -.sym 22923 $abc$51270$n14 -.sym 22924 $false -.sym 22927 $abc$51270$n27 -.sym 22928 I2C.FLT_SCL.RESET -.sym 22929 $abc$51270$n915 +.sym 22918 $false +.sym 22921 $abc$19660$n1550 +.sym 22922 $abc$19660$n819 +.sym 22923 $abc$19660$n822 +.sym 22924 $abc$19660$n823 +.sym 22927 UART.tx_clk_counter[1] +.sym 22928 UART.tx_clk_counter[0] +.sym 22929 $false .sym 22930 $false -.sym 22933 $abc$51270$n873 -.sym 22934 $abc$51270$n869 -.sym 22935 $abc$51270$n1269 -.sym 22936 $abc$51270$n871_1 -.sym 22939 $abc$51270$n1270 -.sym 22940 $abc$51270$n1263 -.sym 22941 $abc$51270$n1268 -.sym 22942 $false -.sym 22945 $abc$51270$n1252 -.sym 22946 $abc$51270$n1256 -.sym 22947 $false -.sym 22948 $false -.sym 22952 $abc$51270$n2336 -.sym 22953 $abc$51270$n1633 -.sym 22954 $abc$51270$n2335 -.sym 22955 $abc$51270$n1439 -.sym 22956 $abc$51270$n1440 -.sym 22957 I2C.FLT_SCL.counter[0] -.sym 22958 I2C.FLT_SCL.counter[2] -.sym 22959 I2C.FLT_SCL.counter[1] -.sym 23026 $abc$51270$n873 -.sym 23027 $abc$51270$n869 -.sym 23028 $abc$51270$n1270 -.sym 23029 $abc$51270$n871_1 -.sym 23032 $abc$51270$n2006 -.sym 23033 I2C.i2c_bit_counter[0] -.sym 23034 $false -.sym 23035 $false -.sym 23038 $abc$51270$n2006 -.sym 23039 I2C.i2c_bit_counter[1] -.sym 23040 $false -.sym 23041 $false -.sym 23044 $abc$51270$n882 -.sym 23045 $abc$51270$n877 -.sym 23046 $false -.sym 23047 $false -.sym 23050 $abc$51270$n875 -.sym 23051 $abc$51270$n305 -.sym 23052 $false +.sym 22933 $false +.sym 22934 UART.tx_clk_counter[0] +.sym 22935 $false +.sym 22936 $false +.sym 22939 UART.tx_activity +.sym 22940 $abc$19660$n799 +.sym 22941 $abc$19660$n801 +.sym 22942 $abc$19660$n301 +.sym 22956 $abc$19660$n1554 +.sym 22957 $abc$19660$n1682 +.sym 22959 $abc$19660$n1627 +.sym 23050 $abc$19660$n801 +.sym 23051 $abc$19660$n799 +.sym 23052 $abc$19660$n797_1 .sym 23053 $false -.sym 23056 I2C.i2c_bit_counter[0] -.sym 23057 I2C.i2c_bit_counter[1] -.sym 23058 $abc$51270$n2006 -.sym 23059 $false -.sym 23062 $abc$51270$n869 -.sym 23063 $abc$51270$n871_1 -.sym 23064 $false -.sym 23065 $false -.sym 23068 I2C.FLT_SDA.out -.sym 23069 $false -.sym 23070 $false -.sym 23071 $false -.sym 23072 $abc$51270$n1210 +.sym 23072 $true .sym 23073 CLK$2$2 -.sym 23074 $false -.sym 23077 $abc$51270$n1636 -.sym 23079 I2C.received_byte[0] -.sym 23149 $abc$51270$n872 -.sym 23150 $abc$51270$n2006 -.sym 23151 I2C.wr -.sym 23152 $false -.sym 23155 $abc$51270$n872 -.sym 23156 $abc$51270$n2006 -.sym 23157 I2C.SDA_DIR -.sym 23158 $false -.sym 23161 I2C.is_ack -.sym 23162 $abc$51270$n1248 -.sym 23163 $abc$51270$n1507 -.sym 23164 $abc$51270$n1178 -.sym 23167 $abc$51270$n1252 -.sym 23168 $abc$51270$n868 -.sym 23169 $abc$51270$n1256 -.sym 23170 $abc$51270$n1483_1 -.sym 23173 I2C.SDA_DIR -.sym 23174 $abc$51270$n872 -.sym 23175 I2C.FLT_SDA.out -.sym 23176 $abc$51270$n1263 -.sym 23179 $abc$51270$n305 -.sym 23180 $abc$51270$n875 -.sym 23181 $abc$51270$n867_1 -.sym 23182 $false -.sym 23185 $abc$51270$n1263 -.sym 23186 $abc$51270$n1256 -.sym 23187 $abc$51270$n869 -.sym 23188 $abc$51270$n871_1 -.sym 23191 $abc$51270$n1482 -.sym 23192 $abc$51270$n869 -.sym 23193 $abc$51270$n871_1 -.sym 23194 $abc$51270$n1484 -.sym 23195 $true +.sym 23074 $abc$19660$n19$2 +.sym 23077 $abc$19660$n1582 +.sym 23078 $abc$19660$n1245 +.sym 23079 rststate[0] +.sym 23082 I2C.SCLF +.sym 23167 $abc$19660$n1733 +.sym 23168 $false +.sym 23169 $false +.sym 23170 $false +.sym 23195 $abc$19660$n198$2 .sym 23196 CLK$2$2 -.sym 23197 $false -.sym 23200 $abc$51270$n2175 -.sym 23201 $abc$51270$n2176 -.sym 23202 $abc$51270$n2177 -.sym 23203 $abc$51270$n2178 -.sym 23204 $abc$51270$n2179 -.sym 23205 $abc$51270$n2180 -.sym 23272 $abc$51270$n875 -.sym 23273 $abc$51270$n305 -.sym 23274 $abc$51270$n867_1 -.sym 23275 $false -.sym 23278 $abc$51270$n2006 -.sym 23279 I2C.byte_counter[6] -.sym 23280 $false -.sym 23281 $false -.sym 23284 $abc$51270$n1502 -.sym 23285 $false -.sym 23286 $false -.sym 23287 $false -.sym 23290 $abc$51270$n2006 -.sym 23291 I2C.byte_counter[7] -.sym 23292 $false -.sym 23293 $false -.sym 23296 $abc$51270$n1503 -.sym 23297 $false -.sym 23298 $false -.sym 23299 $false -.sym 23302 $abc$51270$n1281 -.sym 23303 $abc$51270$n2179 -.sym 23304 $abc$51270$n1502 -.sym 23305 $abc$51270$n1280 -.sym 23308 $abc$51270$n1281 -.sym 23309 $abc$51270$n2178 -.sym 23310 $abc$51270$n1500 -.sym 23311 $abc$51270$n1280 -.sym 23314 $abc$51270$n1281 -.sym 23315 $abc$51270$n2180 -.sym 23316 $abc$51270$n1503 -.sym 23317 $abc$51270$n1280 -.sym 23318 $true -.sym 23319 CLK$2$2 -.sym 23320 $false -.sym 23321 $abc$51270$n1940 -.sym 23322 I2C.byte_counter[3] -.sym 23323 I2C.byte_counter[2] -.sym 23324 rststate[1] -.sym 23325 I2C.byte_counter[1] -.sym 23326 I2C.byte_counter[4] -.sym 23327 rststate[0] -.sym 23328 rststate[3] -.sym 23357 $false -.sym 23394 $auto$alumacc.cc:474:replace_alu$9594.C[1] -.sym 23396 $abc$51270$n35$2 -.sym 23397 rststate[0] -.sym 23400 $auto$alumacc.cc:474:replace_alu$9594.C[2] -.sym 23401 $false -.sym 23402 $false -.sym 23403 rststate[1] -.sym 23404 $auto$alumacc.cc:474:replace_alu$9594.C[1] -.sym 23406 $auto$alumacc.cc:474:replace_alu$9594.C[3] -.sym 23407 $false -.sym 23408 $false -.sym 23409 rststate[2] -.sym 23410 $auto$alumacc.cc:474:replace_alu$9594.C[2] -.sym 23413 $false -.sym 23414 $false -.sym 23415 rststate[3] -.sym 23416 $auto$alumacc.cc:474:replace_alu$9594.C[3] -.sym 23419 $abc$51270$n2006 -.sym 23420 I2C.byte_counter[4] -.sym 23421 $false -.sym 23422 $false -.sym 23425 rststate[3] -.sym 23426 rststate[2] -.sym 23427 rststate[1] -.sym 23428 rststate[0] -.sym 23431 $abc$51270$n1499 +.sym 23197 $abc$19660$n17 +.sym 23199 KEYBOARD.ROWS_EN[10] +.sym 23202 KEYBOARD.ROWS_EN[9] +.sym 23203 KEYBOARD.ROWS_EN[11] +.sym 23204 KEYBOARD.ROWS_EN[12] +.sym 23205 KEYBOARD.ROWS_EN[8] +.sym 23322 $abc$19660$n1247 +.sym 23323 $abc$19660$n1249 +.sym 23324 $abc$19660$n1251 +.sym 23325 I2C.FLT_SCL.RESET +.sym 23326 rststate[3] +.sym 23327 rststate[1] +.sym 23328 rststate[2] +.sym 23395 last_wr +.sym 23396 I2C.wr +.sym 23397 I2C_COUNTER[6] +.sym 23398 $false +.sym 23413 $abc$19660$n666 +.sym 23414 I2C.wr +.sym 23415 last_wr +.sym 23416 $abc$19660$n41$2 +.sym 23425 I2C_TRANS +.sym 23426 last_trans +.sym 23427 I2C.FLT_SCL.RESET$2 +.sym 23428 $false +.sym 23431 $2\I2C_OUT_DESC_MASK[7:0][7] .sym 23432 $false .sym 23433 $false .sym 23434 $false -.sym 23437 I2C.FLT_SDA.out -.sym 23438 $false -.sym 23439 $false -.sym 23440 $false -.sym 23441 $abc$51270$n1217 +.sym 23441 $abc$19660$n63 .sym 23442 CLK$2$2 -.sym 23443 $false -.sym 23447 $abc$51270$n1285 -.sym 23449 KEYBOARD.ROWS_EN[9] -.sym 23530 $abc$51270$n2006 -.sym 23531 I2C.byte_counter[2] -.sym 23532 $false -.sym 23533 $false -.sym 23536 $abc$51270$n2006 -.sym 23537 I2C.byte_counter[1] -.sym 23538 $false -.sym 23539 $false -.sym 23542 $abc$51270$n875 -.sym 23543 $abc$51270$n305 -.sym 23544 $abc$51270$n882 +.sym 23443 $abc$19660$n19$2 +.sym 23446 $abc$19660$n805 +.sym 23447 $abc$19660$n806 +.sym 23448 I2C.SDAF +.sym 23542 REPORT_DATA.r_data[1] +.sym 23543 I2C_HID_DESC.VAL[1] +.sym 23544 I2C_OUT_DESC_MASK[1] .sym 23545 $false -.sym 23548 $abc$51270$n305 -.sym 23549 $abc$51270$n875 -.sym 23550 $abc$51270$n882 +.sym 23548 I2C.wr +.sym 23549 $false +.sym 23550 $false .sym 23551 $false -.sym 23554 $abc$51270$n1496 -.sym 23555 $false +.sym 23554 $abc$19660$n1671 +.sym 23555 $abc$19660$n52$2 .sym 23556 $false .sym 23557 $false -.sym 23560 I2C.FLT_SDA.out -.sym 23561 $false -.sym 23562 $false -.sym 23563 $false -.sym 23564 $abc$51270$n1205 +.sym 23564 $abc$19660$n41$2 .sym 23565 CLK$2$2 -.sym 23566 $false -.sym 23567 $abc$51270$n35 -.sym 23568 I2C_OUT_DESC_MASK[2] -.sym 23569 I2C_OUT_DESC_MASK[5] -.sym 23570 I2C_OUT_DESC_MASK[4] -.sym 23571 I2C_OUT_DESC_MASK[1] -.sym 23573 I2C_OUT_DESC_MASK[0] -.sym 23641 $abc$51270$n846 -.sym 23642 $abc$51270$n859 -.sym 23643 I2C.FLT_SCL.RESET -.sym 23644 $abc$51270$n861 -.sym 23647 I2C.received_byte[0] -.sym 23648 I2C.received_byte[3] -.sym 23649 $abc$51270$n823 +.sym 23566 $abc$19660$n19$2 +.sym 23567 KEYBOARD.report_adress_rd[3] +.sym 23568 KEYBOARD.report_adress_rd[1] +.sym 23572 KEYBOARD.report_adress_rd[0] +.sym 23574 KEYBOARD.report_adress_rd[2] +.sym 23641 $abc$19660$n644 +.sym 23642 I2C_HID_DESC.DESC_TYPE +.sym 23643 $false +.sym 23644 $false +.sym 23647 IS_RAM_INIT +.sym 23648 $abc$19660$n644 +.sym 23649 I2C.FLT_SCL.RESET$2 .sym 23650 $false -.sym 23653 I2C.received_byte[7] -.sym 23654 $false -.sym 23655 $false +.sym 23653 REPORT_DATA.r_data[2] +.sym 23654 I2C_HID_DESC.VAL[2] +.sym 23655 I2C_OUT_DESC_MASK[2] .sym 23656 $false -.sym 23659 $abc$51270$n30 -.sym 23660 $false -.sym 23661 $false -.sym 23662 $false -.sym 23665 $false +.sym 23665 $2\I2C_OUT_DESC_MASK[7:0][7] .sym 23666 $false -.sym 23667 $abc$51270$n1493 +.sym 23667 $false .sym 23668 $false -.sym 23671 $abc$51270$n846 -.sym 23672 $abc$51270$n1228_1 -.sym 23673 $abc$51270$n861 -.sym 23674 $abc$51270$n1944 -.sym 23677 $abc$51270$n1281 -.sym 23678 $abc$51270$n2173 -.sym 23679 $abc$51270$n1493 -.sym 23680 $abc$51270$n1280 -.sym 23687 $true +.sym 23671 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 23672 $false +.sym 23673 $false +.sym 23674 $false +.sym 23677 $2\I2C_OUT_DESC_MASK[7:0][7] +.sym 23678 $false +.sym 23679 $false +.sym 23680 $false +.sym 23687 $abc$19660$n63 .sym 23688 CLK$2$2 -.sym 23689 $false -.sym 23692 $auto$alumacc.cc:474:replace_alu$9606.C[2] -.sym 23693 $auto$alumacc.cc:474:replace_alu$9606.C[3] -.sym 23694 $auto$alumacc.cc:474:replace_alu$9606.C[4] -.sym 23695 $auto$alumacc.cc:474:replace_alu$9606.C[5] -.sym 23696 $auto$alumacc.cc:474:replace_alu$9606.C[6] -.sym 23697 I2C_INPUT_LEN[7] -.sym 23764 $abc$51270$n1075 -.sym 23765 $abc$51270$n21 -.sym 23766 $abc$51270$n2421 -.sym 23767 $false -.sym 23770 $false -.sym 23771 I2C.byte_counter[3] -.sym 23772 $false -.sym 23773 $auto$alumacc.cc:474:replace_alu$9606.C[3] +.sym 23689 $abc$19660$n19$2 +.sym 23690 $abc$19660$n918_1 +.sym 23691 $abc$19660$n926_1 +.sym 23692 $abc$19660$n802 +.sym 23693 $abc$19660$n913_1 +.sym 23694 $abc$19660$n920_1 +.sym 23696 $abc$19660$n916_1 +.sym 23697 last_trans .sym 23776 $false -.sym 23777 I2C.byte_counter[4] -.sym 23778 $false -.sym 23779 $auto$alumacc.cc:474:replace_alu$9606.C[4] -.sym 23782 $false -.sym 23783 I2C.byte_counter[2] -.sym 23784 $false -.sym 23785 $auto$alumacc.cc:474:replace_alu$9606.C[2] -.sym 23788 $false -.sym 23789 I2C.byte_counter[0] -.sym 23790 $false -.sym 23791 $false -.sym 23794 $false -.sym 23795 I2C.byte_counter[6] -.sym 23796 $false -.sym 23797 $auto$alumacc.cc:474:replace_alu$9606.C[6] -.sym 23800 $2\I2C_INPUT_LEN[7:0][1] -.sym 23801 $false -.sym 23802 $false -.sym 23803 $false -.sym 23806 $false -.sym 23807 I2C.byte_counter[5] -.sym 23808 $false -.sym 23809 $auto$alumacc.cc:474:replace_alu$9606.C[5] -.sym 23810 $abc$51270$n1117 +.sym 23777 $false +.sym 23778 wr_cnt[0] +.sym 23779 $false +.sym 23782 KEYBOARD.report_adress_rd[0] +.sym 23783 KEYBOARD.report_adress_rd[1] +.sym 23784 $abc$19660$n926_1 +.sym 23785 $false +.sym 23806 report_wr_en +.sym 23807 $abc$19660$n662 +.sym 23808 IS_RAM_INIT +.sym 23809 $false +.sym 23810 $true .sym 23811 CLK$2$2 -.sym 23812 $abc$51270$n35$2 -.sym 23813 LED2$2 -.sym 23818 LED3$2 -.sym 23887 I2C_INPUT_LEN[2] -.sym 23888 I2C_INPUT_LEN[0] -.sym 23889 I2C_INPUT_LEN[1] +.sym 23812 $abc$19660$n19$2 +.sym 23815 $abc$19660$n735 +.sym 23816 $abc$19660$n736 +.sym 23820 $abc$19660$n658 +.sym 23887 wr_cnt[0] +.sym 23888 wr_cnt[1] +.sym 23889 $abc$19660$n663 .sym 23890 $false -.sym 23893 I2C_INPUT_LEN[3] -.sym 23894 $false -.sym 23895 $false -.sym 23896 $false -.sym 23899 I2C_INPUT_LEN[5] -.sym 23900 $false -.sym 23901 $false +.sym 23893 KEYBOARD.isr +.sym 23894 last_isr +.sym 23895 $abc$19660$n46 +.sym 23896 $abc$19660$n658 +.sym 23899 $abc$19660$n732 +.sym 23900 $abc$19660$n735 +.sym 23901 $abc$19660$n736 .sym 23902 $false -.sym 23905 I2C_INPUT_LEN[2] -.sym 23906 $false -.sym 23907 $false -.sym 23908 $false -.sym 23911 I2C_INPUT_LEN[3] -.sym 23912 I2C_INPUT_LEN[2] -.sym 23913 $abc$51270$n852 -.sym 23914 $false -.sym 23917 I2C_INPUT_LEN[4] -.sym 23918 I2C_INPUT_LEN[5] -.sym 23919 I2C_INPUT_LEN[6] -.sym 23920 I2C_INPUT_LEN[7] -.sym 23923 I2C.received_byte[3] -.sym 23924 $false -.sym 23925 $false -.sym 23926 $false -.sym 23929 I2C_INPUT_LEN[1] -.sym 23930 $false -.sym 23931 $false -.sym 23932 $false -.sym 23938 $abc$51270$n1393 -.sym 23939 $abc$51270$n2457 -.sym 23940 $true$2 -.sym 23941 $abc$51270$n896_1 -.sym 23942 KEYBOARD.init_delay_cnt[1] -.sym 23972 $true -.sym 24009 $abc$51270$n2452$2 -.sym 24010 $false -.sym 24011 $abc$51270$n2452 -.sym 24012 $false -.sym 24013 $false -.sym 24015 $auto$alumacc.cc:474:replace_alu$9519.C[3] -.sym 24017 $false -.sym 24018 $abc$51270$n2453 -.sym 24021 $auto$alumacc.cc:474:replace_alu$9519.C[4] +.sym 23905 wr_cnt[2] +.sym 23906 wr_cnt[1] +.sym 23907 wr_cnt[0] +.sym 23908 wr_cnt[3] +.sym 23911 IS_RAM_INIT +.sym 23912 $abc$19660$n661_1 +.sym 23913 $abc$19660$n891 +.sym 23914 $abc$19660$n735 +.sym 23917 $abc$19660$n891 +.sym 23918 wr_cnt[0] +.sym 23919 wr_cnt[1] +.sym 23920 $false +.sym 23923 IS_RAM_INIT +.sym 23924 $abc$19660$n661_1 +.sym 23925 $abc$19660$n732 +.sym 23926 $abc$19660$n891 +.sym 23929 IS_RAM_INIT +.sym 23930 $abc$19660$n661_1 +.sym 23931 $abc$19660$n891 +.sym 23932 $abc$19660$n736 +.sym 23933 $abc$19660$n35 +.sym 23934 CLK$2$2 +.sym 23935 $abc$19660$n19$2 +.sym 23937 $abc$19660$n1731 +.sym 23942 $abc$19660$n1728 +.sym 24022 $abc$19660$n1734 .sym 24023 $false -.sym 24024 $abc$51270$n2454 -.sym 24027 $auto$alumacc.cc:474:replace_alu$9519.C[5] +.sym 24024 $false +.sym 24025 $false +.sym 24028 $abc$19660$n1732 .sym 24029 $false -.sym 24030 $abc$51270$n2455 -.sym 24033 $auto$alumacc.cc:474:replace_alu$9519.C[6] -.sym 24035 $false -.sym 24036 $abc$51270$n2456 -.sym 24039 $auto$alumacc.cc:474:replace_alu$9519.C[7] -.sym 24041 $false -.sym 24042 $abc$51270$n2457 -.sym 24045 $abc$51270$n2422$2 -.sym 24047 $false -.sym 24048 $abc$51270$n2458 -.sym 24055 $abc$51270$n2422$2 -.sym 24061 KEYBOARD.init_delay_cnt[2] -.sym 24062 KEYBOARD.init_delay_cnt[3] -.sym 24063 KEYBOARD.init_delay_cnt[0] -.sym 24095 $true -.sym 24132 $abc$51270$n230$2 -.sym 24133 $false -.sym 24134 $abc$51270$n230 -.sym 24135 $false -.sym 24136 $false -.sym 24138 $auto$alumacc.cc:474:replace_alu$9514.C[3] -.sym 24140 $true$2 -.sym 24141 $abc$51270$n228 -.sym 24144 $auto$alumacc.cc:474:replace_alu$9514.C[4] -.sym 24146 $false -.sym 24147 $abc$51270$n227 -.sym 24150 $auto$alumacc.cc:474:replace_alu$9514.C[5] -.sym 24152 $false -.sym 24153 $abc$51270$n225 -.sym 24156 $auto$alumacc.cc:474:replace_alu$9514.C[6] -.sym 24158 $false -.sym 24159 $abc$51270$n224 -.sym 24162 $auto$alumacc.cc:474:replace_alu$9514.C[7] -.sym 24164 $false -.sym 24165 $abc$51270$n222 -.sym 24168 $abc$51270$n2421$2 -.sym 24170 $false -.sym 24171 $abc$51270$n221 -.sym 24178 $abc$51270$n2421$2 -.sym 24185 KEYBOARD.ROWS_EN[12] -.sym 24189 KEYBOARD.ROWS_EN[8] -.sym 24256 $false -.sym 24257 $false -.sym 24258 ring_rd[0] +.sym 24030 $false +.sym 24031 $false +.sym 24052 $abc$19660$n1731 +.sym 24053 $false +.sym 24054 $false +.sym 24055 $false +.sym 24056 $abc$19660$n198$2 +.sym 24057 CLK$2$2 +.sym 24058 $abc$19660$n16 +.sym 24065 KEYBOARD.isr +.sym 24151 $abc$19660$n940_1 +.sym 24152 KEYBOARD.report_wr_en +.sym 24153 $abc$19660$n695 +.sym 24154 $abc$19660$n690 +.sym 24179 $abc$19660$n204 +.sym 24180 CLK$2$2 +.sym 24181 $abc$19660$n19$2 +.sym 24184 KEYBOARD.row_counter[1] +.sym 24256 $abc$19660$n1287 +.sym 24257 $abc$19660$n1285 +.sym 24258 $abc$19660$n1290 .sym 24259 $false -.sym 24262 I2C_INPUT_LEN[7] -.sym 24263 $false -.sym 24264 $false -.sym 24265 $false -.sym 24268 $abc$51270$n396 -.sym 24269 $false -.sym 24270 $false -.sym 24271 $false -.sym 24274 $abc$51270$n394 -.sym 24275 $false -.sym 24276 $false -.sym 24277 $false -.sym 24286 $abc$51270$n383 -.sym 24287 $false -.sym 24288 $false +.sym 24286 $abc$19660$n1285 +.sym 24287 $abc$19660$n1287 +.sym 24288 $abc$19660$n1290 .sym 24289 $false -.sym 24302 $abc$51270$n1257 +.sym 24292 KEYBOARD.isr +.sym 24293 $false +.sym 24294 $false +.sym 24295 $false +.sym 24302 $abc$19660$n41$2 .sym 24303 CLK$2$2 -.sym 24304 $abc$51270$n35$2 -.sym 24305 $abc$51270$n1610 -.sym 24306 $abc$51270$n725 -.sym 24307 $abc$51270$n723 -.sym 24308 KEYBOARD.row_time[3] -.sym 24309 KEYBOARD.row_time[4] -.sym 24310 KEYBOARD.row_time[2] -.sym 24311 KEYBOARD.row_time[1] -.sym 24312 KEYBOARD.row_time[0] -.sym 24341 $true -.sym 24378 KEYBOARD.row_time[0]$2 -.sym 24379 $false -.sym 24380 KEYBOARD.row_time[0] -.sym 24381 $false -.sym 24382 $false -.sym 24384 $auto$alumacc.cc:474:replace_alu$9641.C[2] +.sym 24304 $abc$19660$n19$2 +.sym 24305 $abc$19660$n1730 +.sym 24306 $abc$19660$n1729 +.sym 24385 $abc$19660$n1733 .sym 24386 $false -.sym 24387 KEYBOARD.row_time[1] -.sym 24390 $auto$alumacc.cc:474:replace_alu$9641.C[3] -.sym 24391 $false -.sym 24392 $false -.sym 24393 KEYBOARD.row_time[2] -.sym 24394 $auto$alumacc.cc:474:replace_alu$9641.C[2] -.sym 24396 $auto$alumacc.cc:474:replace_alu$9641.C[4] -.sym 24397 $false -.sym 24398 $false -.sym 24399 KEYBOARD.row_time[3] -.sym 24400 $auto$alumacc.cc:474:replace_alu$9641.C[3] -.sym 24402 $auto$alumacc.cc:474:replace_alu$9641.C[5] -.sym 24403 $false -.sym 24404 $false -.sym 24405 KEYBOARD.row_time[4] -.sym 24406 $auto$alumacc.cc:474:replace_alu$9641.C[4] -.sym 24408 $auto$alumacc.cc:474:replace_alu$9641.C[6] -.sym 24409 $false -.sym 24410 $false -.sym 24411 KEYBOARD.row_time[5] -.sym 24412 $auto$alumacc.cc:474:replace_alu$9641.C[5] -.sym 24414 $auto$alumacc.cc:474:replace_alu$9641.C[7] -.sym 24415 $false +.sym 24387 $false +.sym 24388 $false +.sym 24415 $abc$19660$n1728 .sym 24416 $false -.sym 24417 KEYBOARD.row_time[6] -.sym 24418 $auto$alumacc.cc:474:replace_alu$9641.C[6] -.sym 24420 $auto$alumacc.cc:474:replace_alu$9641.C[8] -.sym 24421 $false -.sym 24422 $false -.sym 24423 KEYBOARD.row_time[7] -.sym 24424 $auto$alumacc.cc:474:replace_alu$9641.C[7] -.sym 24429 $abc$51270$n1002 -.sym 24431 $abc$51270$n726 -.sym 24432 $abc$51270$n29 -.sym 24433 $abc$51270$n1001 -.sym 24434 KEYBOARD.row_time[10] -.sym 24435 KEYBOARD.row_time[11] -.sym 24464 $auto$alumacc.cc:474:replace_alu$9641.C[8] -.sym 24501 $auto$alumacc.cc:474:replace_alu$9641.C[9] -.sym 24502 $false +.sym 24417 $false +.sym 24418 $false +.sym 24425 $abc$19660$n198$2 +.sym 24426 CLK$2$2 +.sym 24427 $abc$19660$n16 +.sym 24502 $abc$19660$n1727 .sym 24503 $false -.sym 24504 KEYBOARD.row_time[8] -.sym 24505 $auto$alumacc.cc:474:replace_alu$9641.C[8] -.sym 24507 $auto$alumacc.cc:474:replace_alu$9641.C[10] -.sym 24508 $false -.sym 24509 $false -.sym 24510 KEYBOARD.row_time[9] -.sym 24511 $auto$alumacc.cc:474:replace_alu$9641.C[9] -.sym 24513 $auto$alumacc.cc:474:replace_alu$9641.C[11] -.sym 24514 $false +.sym 24504 $false +.sym 24505 $false +.sym 24514 $abc$19660$n1730 .sym 24515 $false -.sym 24516 KEYBOARD.row_time[10] -.sym 24517 $auto$alumacc.cc:474:replace_alu$9641.C[10] -.sym 24519 $auto$alumacc.cc:474:replace_alu$9641.C[12] -.sym 24520 $false -.sym 24521 $false -.sym 24522 KEYBOARD.row_time[11] -.sym 24523 $auto$alumacc.cc:474:replace_alu$9641.C[11] -.sym 24526 $false +.sym 24516 $false +.sym 24517 $false +.sym 24526 $abc$19660$n1729 .sym 24527 $false -.sym 24528 KEYBOARD.row_time[12] -.sym 24529 $auto$alumacc.cc:474:replace_alu$9641.C[12] -.sym 24532 $abc$51270$n1618 -.sym 24533 $false -.sym 24534 $false -.sym 24535 $false -.sym 24538 $abc$51270$n1626 -.sym 24539 $false -.sym 24540 $false -.sym 24541 $false -.sym 24544 $abc$51270$n1617 -.sym 24545 $false -.sym 24546 $false -.sym 24547 $false -.sym 24548 $abc$51270$n1257 +.sym 24528 $false +.sym 24529 $false +.sym 24548 $abc$19660$n198$2 .sym 24549 CLK$2$2 -.sym 24550 $abc$51270$n35$2 +.sym 24550 $abc$19660$n16 .sym 24596 $false .sym 24598 KEYBOARD.ROWS_EN[4] .sym 24599 $false .sym 24601 KEYBOARD.ROWS_EN[5] -.sym 24724 SCL$2 -.sym 24725 $false -.sym 24726 $false -.sym 24727 $false -.sym 24748 I2C.SDA_IN -.sym 24749 $false -.sym 24750 $false -.sym 24751 $false -.sym 24764 $true +.sym 24730 $abc$19660$n1681 +.sym 24731 $false +.sym 24732 $false +.sym 24733 $false +.sym 24742 $abc$19660$n1626 +.sym 24743 $false +.sym 24744 $false +.sym 24745 $false +.sym 24764 $abc$19660$n308 .sym 24765 CLK$2$2 -.sym 24766 $false -.sym 24921 I2C.SDAF -.sym 24922 $false +.sym 24766 $abc$19660$n21 +.sym 24847 $true +.sym 24884 UART.tx_bit_counter[0]$2 +.sym 24885 $false +.sym 24886 UART.tx_bit_counter[0] +.sym 24887 $false +.sym 24888 $false +.sym 24890 $auto$alumacc.cc:474:replace_alu$5243.C[2]$2 +.sym 24892 UART.tx_bit_counter[1] +.sym 24893 $true$2 +.sym 24896 $auto$alumacc.cc:474:replace_alu$5243.C[3] +.sym 24898 UART.tx_bit_counter[2] +.sym 24899 $true$2 +.sym 24900 $auto$alumacc.cc:474:replace_alu$5243.C[2]$2 +.sym 24903 $false +.sym 24904 UART.tx_bit_counter[3] +.sym 24905 $false +.sym 24906 $auto$alumacc.cc:474:replace_alu$5243.C[3] +.sym 24909 UART.tx_bit_counter[0] +.sym 24910 UART.tx_bit_counter[1] +.sym 24911 UART.tx_bit_counter[2] +.sym 24912 UART.tx_activity +.sym 24915 UART.tx_bit_counter[3] +.sym 24916 $abc$19660$n802_1 +.sym 24917 $false +.sym 24918 $false +.sym 24921 $false +.sym 24922 UART.tx_bit_counter[2] .sym 24923 $false -.sym 24924 $false -.sym 24931 $abc$51270$n1429 -.sym 24932 CLK$2$2 -.sym 24933 $abc$51270$n35$2 -.sym 25002 $true -.sym 25039 $abc$51270$n2008$2 -.sym 25040 $false -.sym 25041 $abc$51270$n2008 +.sym 24924 $auto$alumacc.cc:474:replace_alu$5243.C[2] +.sym 25040 $abc$19660$n1623 +.sym 25041 $false .sym 25042 $false .sym 25043 $false -.sym 25045 $auto$alumacc.cc:474:replace_alu$9620.C[2]$2 -.sym 25047 $abc$51270$n2010 -.sym 25048 $true$2 -.sym 25051 $auto$alumacc.cc:474:replace_alu$9620.C[3]$2 -.sym 25053 $abc$51270$n2012 -.sym 25054 $true$2 -.sym 25055 $auto$alumacc.cc:474:replace_alu$9620.C[2]$2 -.sym 25057 $abc$51270$n2394$2 -.sym 25059 $abc$51270$n2014 -.sym 25060 $true$2 -.sym 25061 $auto$alumacc.cc:474:replace_alu$9620.C[3]$2 -.sym 25067 $abc$51270$n2394$2 -.sym 25076 I2C.FLT_SCL.out -.sym 25077 I2C.SCLF -.sym 25078 $false -.sym 25079 $false -.sym 25082 I2C.SCLF -.sym 25083 $false -.sym 25084 $false -.sym 25085 $false -.sym 25086 $abc$51270$n1439 +.sym 25058 $abc$19660$n1624 +.sym 25059 $false +.sym 25060 $false +.sym 25061 $false +.sym 25086 $abc$19660$n308 .sym 25087 CLK$2$2 -.sym 25088 $abc$51270$n35$2 -.sym 25195 I2C.FLT_SCL.counter[0] -.sym 25196 I2C.FLT_SCL.counter[1] +.sym 25088 $abc$19660$n21 +.sym 25157 $true +.sym 25194 $abc$19660$n1625$2 +.sym 25195 $false +.sym 25196 $abc$19660$n1625 .sym 25197 $false .sym 25198 $false -.sym 25201 $false -.sym 25202 I2C.FLT_SCL.counter[0] -.sym 25203 $false -.sym 25204 $false -.sym 25207 I2C.FLT_SCL.counter[0] -.sym 25208 I2C.FLT_SCL.counter[1] -.sym 25209 I2C.FLT_SCL.counter[2] -.sym 25210 $abc$51270$n1633 -.sym 25213 $abc$51270$n2335 -.sym 25214 $abc$51270$n1017 -.sym 25215 $abc$51270$n2336 -.sym 25216 $abc$51270$n1636 -.sym 25219 I2C.FLT_SCL.counter[0] -.sym 25220 I2C.FLT_SCL.counter[1] -.sym 25221 I2C.FLT_SCL.counter[2] -.sym 25222 $abc$51270$n1017 -.sym 25225 $abc$51270$n2335 +.sym 25200 $auto$alumacc.cc:474:replace_alu$5154.C[2] +.sym 25202 $false +.sym 25203 $abc$19660$n1623 +.sym 25206 $auto$alumacc.cc:474:replace_alu$5154.C[3] +.sym 25208 $false +.sym 25209 $abc$19660$n1682 +.sym 25212 $abc$19660$n1554$2 +.sym 25214 $false +.sym 25215 $abc$19660$n1627 +.sym 25222 $abc$19660$n1554$2 +.sym 25225 $abc$19660$n1681 .sym 25226 $false .sym 25227 $false .sym 25228 $false -.sym 25231 I2C.FLT_SCL.counter[0] -.sym 25232 I2C.FLT_SCL.counter[1] -.sym 25233 I2C.FLT_SCL.counter[2] -.sym 25234 $abc$51270$n1636 -.sym 25237 $abc$51270$n2336 +.sym 25237 $abc$19660$n1626 .sym 25238 $false .sym 25239 $false .sym 25240 $false -.sym 25241 $abc$51270$n1440 -.sym 25242 CLK$2$2 -.sym 25243 $abc$51270$n35$2 .sym 25312 $true -.sym 25349 I2C.FLT_SCL.counter[0]$2 +.sym 25349 $abc$19660$n1625$3 .sym 25350 $false -.sym 25351 I2C.FLT_SCL.counter[0] +.sym 25351 $abc$19660$n1625 .sym 25352 $false .sym 25353 $false -.sym 25355 $auto$alumacc.cc:474:replace_alu$9623.C[2] -.sym 25357 I2C.FLT_SCL.counter[1] -.sym 25358 $true$2 +.sym 25355 $auto$alumacc.cc:474:replace_alu$5246.C[2] +.sym 25357 $false +.sym 25358 $abc$19660$n1623 .sym 25362 $false -.sym 25363 I2C.FLT_SCL.counter[2] -.sym 25364 $false -.sym 25365 $auto$alumacc.cc:474:replace_alu$9623.C[2] -.sym 25374 I2C.FLT_SDA.out -.sym 25375 $false +.sym 25363 $false +.sym 25364 $abc$19660$n1682 +.sym 25365 $auto$alumacc.cc:474:replace_alu$5246.C[2] +.sym 25368 $false +.sym 25369 $abc$19660$n19$2 +.sym 25370 rststate[0] +.sym 25371 $false +.sym 25374 $abc$19660$n898 +.sym 25375 $abc$19660$n1245 .sym 25376 $false .sym 25377 $false -.sym 25396 $abc$51270$n1177 +.sym 25392 SCL$2 +.sym 25393 $false +.sym 25394 $false +.sym 25395 $false +.sym 25396 $true .sym 25397 CLK$2$2 .sym 25398 $false -.sym 25467 $true -.sym 25504 $abc$51270$n1493$2 -.sym 25505 $false -.sym 25506 $abc$51270$n1493 -.sym 25507 $false -.sym 25508 $false -.sym 25510 $auto$alumacc.cc:474:replace_alu$9617.C[2] +.sym 25511 $abc$19660$n1729 .sym 25512 $false -.sym 25513 $abc$51270$n1494 -.sym 25516 $auto$alumacc.cc:474:replace_alu$9617.C[3] -.sym 25517 $false -.sym 25518 $false -.sym 25519 $abc$51270$n1496 -.sym 25520 $auto$alumacc.cc:474:replace_alu$9617.C[2] -.sym 25522 $auto$alumacc.cc:474:replace_alu$9617.C[4] -.sym 25523 $false -.sym 25524 $false -.sym 25525 $abc$51270$n1497 -.sym 25526 $auto$alumacc.cc:474:replace_alu$9617.C[3] -.sym 25528 $auto$alumacc.cc:474:replace_alu$9617.C[5] -.sym 25529 $false +.sym 25513 $false +.sym 25514 $false +.sym 25529 $abc$19660$n1728 .sym 25530 $false -.sym 25531 $abc$51270$n1499 -.sym 25532 $auto$alumacc.cc:474:replace_alu$9617.C[4] -.sym 25534 $auto$alumacc.cc:474:replace_alu$9617.C[6] -.sym 25535 $false +.sym 25531 $false +.sym 25532 $false +.sym 25535 $abc$19660$n1730 .sym 25536 $false -.sym 25537 $abc$51270$n1500 -.sym 25538 $auto$alumacc.cc:474:replace_alu$9617.C[5] -.sym 25540 $auto$alumacc.cc:474:replace_alu$9617.C[7] -.sym 25541 $false +.sym 25537 $false +.sym 25538 $false +.sym 25541 $abc$19660$n1731 .sym 25542 $false -.sym 25543 $abc$51270$n1502 -.sym 25544 $auto$alumacc.cc:474:replace_alu$9617.C[6] -.sym 25547 $false +.sym 25543 $false +.sym 25544 $false +.sym 25547 $abc$19660$n1727 .sym 25548 $false -.sym 25549 $abc$51270$n1503 -.sym 25550 $auto$alumacc.cc:474:replace_alu$9617.C[7] +.sym 25549 $false +.sym 25550 $false +.sym 25551 $abc$19660$n198$2 +.sym 25552 CLK$2$2 +.sym 25553 $abc$19660$n17 .sym 25556 SCL$2 -.sym 25660 $false -.sym 25661 $abc$51270$n35$2 +.sym 25622 $false +.sym 25659 $auto$alumacc.cc:474:replace_alu$5213.C[1] +.sym 25661 $abc$19660$n19$2 .sym 25662 rststate[0] -.sym 25663 $false -.sym 25666 $abc$51270$n1281 -.sym 25667 $abc$51270$n2176 -.sym 25668 $abc$51270$n1497 -.sym 25669 $abc$51270$n1280 -.sym 25672 $abc$51270$n1281 -.sym 25673 $abc$51270$n2175 -.sym 25674 $abc$51270$n1496 -.sym 25675 $abc$51270$n1280 -.sym 25678 $abc$51270$n846 -.sym 25679 $abc$51270$n1228_1 -.sym 25680 $abc$51270$n861 -.sym 25681 $abc$51270$n1942 -.sym 25684 $abc$51270$n1494 -.sym 25685 $abc$51270$n1285 -.sym 25686 $abc$51270$n1280 -.sym 25687 $false -.sym 25690 $abc$51270$n1281 -.sym 25691 $abc$51270$n2177 -.sym 25692 $abc$51270$n1499 -.sym 25693 $abc$51270$n1280 -.sym 25696 $abc$51270$n846 -.sym 25697 $abc$51270$n1228_1 -.sym 25698 $abc$51270$n861 -.sym 25699 $abc$51270$n1940 -.sym 25702 $abc$51270$n846 -.sym 25703 $abc$51270$n1228_1 -.sym 25704 $abc$51270$n861 -.sym 25705 $abc$51270$n1946 +.sym 25665 $auto$alumacc.cc:474:replace_alu$5213.C[2] +.sym 25666 $false +.sym 25667 $false +.sym 25668 rststate[1] +.sym 25669 $auto$alumacc.cc:474:replace_alu$5213.C[1] +.sym 25671 $auto$alumacc.cc:474:replace_alu$5213.C[3] +.sym 25672 $false +.sym 25673 $false +.sym 25674 rststate[2] +.sym 25675 $auto$alumacc.cc:474:replace_alu$5213.C[2] +.sym 25678 $false +.sym 25679 $false +.sym 25680 rststate[3] +.sym 25681 $auto$alumacc.cc:474:replace_alu$5213.C[3] +.sym 25684 rststate[3] +.sym 25685 rststate[2] +.sym 25686 rststate[1] +.sym 25687 rststate[0] +.sym 25690 $abc$19660$n898 +.sym 25691 $abc$19660$n1251 +.sym 25692 $false +.sym 25693 $false +.sym 25696 $abc$19660$n898 +.sym 25697 $abc$19660$n1247 +.sym 25698 $false +.sym 25699 $false +.sym 25702 $abc$19660$n898 +.sym 25703 $abc$19660$n1249 +.sym 25704 $false +.sym 25705 $false .sym 25706 $true .sym 25707 CLK$2$2 .sym 25708 $false .sym 25709 I2C.SDA_IN -.sym 25833 $abc$51270$n1281 -.sym 25834 $2\I2C_INPUT_LEN[7:0][1] -.sym 25835 $false -.sym 25836 $false -.sym 25845 $abc$51270$n2576 -.sym 25846 $false -.sym 25847 $false -.sym 25848 $false -.sym 25861 $abc$51270$n1404$2 +.sym 25777 $true +.sym 25814 KEYBOARD.report_adress_rd[0]$2 +.sym 25815 $false +.sym 25816 KEYBOARD.report_adress_rd[0] +.sym 25817 $false +.sym 25818 $false +.sym 25820 $auto$alumacc.cc:474:replace_alu$5228.C[2] +.sym 25822 $false +.sym 25823 KEYBOARD.report_adress_rd[1] +.sym 25826 $auto$alumacc.cc:474:replace_alu$5228.C[3] +.sym 25827 $false +.sym 25828 $false +.sym 25829 KEYBOARD.report_adress_rd[2] +.sym 25830 $auto$alumacc.cc:474:replace_alu$5228.C[2] +.sym 25833 $false +.sym 25834 $false +.sym 25835 KEYBOARD.report_adress_rd[3] +.sym 25836 $auto$alumacc.cc:474:replace_alu$5228.C[3] +.sym 25839 I2C.SDA_IN +.sym 25840 $false +.sym 25841 $false +.sym 25842 $false +.sym 25861 $true .sym 25862 CLK$2$2 -.sym 25863 $abc$51270$n31 -.sym 25970 I2C.FLT_SCL.RESET -.sym 25971 $false -.sym 25972 $false -.sym 25973 $false -.sym 25976 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 25977 $false -.sym 25978 $false -.sym 25979 $false -.sym 25982 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 25983 $false -.sym 25984 $false -.sym 25985 $false -.sym 25988 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 25989 $false -.sym 25990 $false -.sym 25991 $false -.sym 25994 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 25995 $false -.sym 25996 $false -.sym 25997 $false -.sym 26006 $2\I2C_OUT_DESC_MASK[7:0][7] -.sym 26007 $false -.sym 26008 $false -.sym 26009 $false -.sym 26016 $abc$51270$n1119 +.sym 25863 $false +.sym 25970 $abc$19660$n806 +.sym 25971 $abc$19660$n690 +.sym 25972 $abc$19660$n920_1 +.sym 25973 KEYBOARD.IS_RAM_INIT$2 +.sym 25976 $abc$19660$n916_1 +.sym 25977 $abc$19660$n914_1 +.sym 25978 KEYBOARD.report_adress_rd[0] +.sym 25979 KEYBOARD.report_adress_rd[1] +.sym 26000 $abc$19660$n802 +.sym 26001 $abc$19660$n690 +.sym 26002 $abc$19660$n913_1 +.sym 26003 KEYBOARD.IS_RAM_INIT$2 +.sym 26012 $abc$19660$n805 +.sym 26013 $abc$19660$n914_1 +.sym 26014 $abc$19660$n918_1 +.sym 26015 $false +.sym 26016 $abc$19660$n177 .sym 26017 CLK$2$2 -.sym 26018 $abc$51270$n35$2 -.sym 26087 $true -.sym 26124 I2C.byte_counter[0]$2 -.sym 26125 $false -.sym 26126 I2C.byte_counter[0] -.sym 26127 $false -.sym 26128 $false -.sym 26130 $auto$alumacc.cc:474:replace_alu$9606.C[2]$2 -.sym 26132 I2C.byte_counter[1] -.sym 26133 $true$2 -.sym 26136 $auto$alumacc.cc:474:replace_alu$9606.C[3]$2 -.sym 26138 I2C.byte_counter[2] -.sym 26139 $true$2 -.sym 26140 $auto$alumacc.cc:474:replace_alu$9606.C[2]$2 -.sym 26142 $auto$alumacc.cc:474:replace_alu$9606.C[4]$2 -.sym 26144 I2C.byte_counter[3] -.sym 26145 $true$2 -.sym 26146 $auto$alumacc.cc:474:replace_alu$9606.C[3]$2 -.sym 26148 $auto$alumacc.cc:474:replace_alu$9606.C[5]$2 -.sym 26150 I2C.byte_counter[4] -.sym 26151 $true$2 -.sym 26152 $auto$alumacc.cc:474:replace_alu$9606.C[4]$2 -.sym 26154 $auto$alumacc.cc:474:replace_alu$9606.C[6]$2 -.sym 26156 I2C.byte_counter[5] -.sym 26157 $true$2 -.sym 26158 $auto$alumacc.cc:474:replace_alu$9606.C[5]$2 -.sym 26160 $auto$alumacc.cc:474:replace_alu$9606.C[7] -.sym 26162 I2C.byte_counter[6] -.sym 26163 $true$2 -.sym 26164 $auto$alumacc.cc:474:replace_alu$9606.C[6]$2 -.sym 26167 $false -.sym 26168 I2C.byte_counter[7] +.sym 26018 $abc$19660$n19$2 +.sym 26125 KEYBOARD.isr_internal +.sym 26126 wr_cnt[2] +.sym 26127 $abc$19660$n690 +.sym 26128 KEYBOARD.IS_RAM_INIT$2 +.sym 26131 $abc$19660$n802 +.sym 26132 $abc$19660$n805 +.sym 26133 $abc$19660$n806 +.sym 26134 KEYBOARD.IS_RAM_INIT$2 +.sym 26137 $false +.sym 26138 $false +.sym 26139 KEYBOARD.report_adress_rd[0] +.sym 26140 $false +.sym 26143 KEYBOARD.isr_internal +.sym 26144 wr_cnt[0] +.sym 26145 $abc$19660$n914_1 +.sym 26146 $abc$19660$n802 +.sym 26149 KEYBOARD.isr_internal +.sym 26150 wr_cnt[3] +.sym 26151 $abc$19660$n914_1 +.sym 26152 $abc$19660$n806 +.sym 26161 KEYBOARD.isr_internal +.sym 26162 wr_cnt[1] +.sym 26163 $abc$19660$n690 +.sym 26164 KEYBOARD.IS_RAM_INIT$2 +.sym 26167 I2C_TRANS +.sym 26168 $false .sym 26169 $false -.sym 26170 $auto$alumacc.cc:474:replace_alu$9606.C[7] -.sym 26171 $abc$51270$n1117 +.sym 26170 $false +.sym 26171 $abc$19660$n41$2 .sym 26172 CLK$2$2 -.sym 26173 $abc$51270$n35$2 -.sym 26280 temp_output_report[0] -.sym 26281 $false +.sym 26173 $abc$19660$n19$2 +.sym 26242 $true +.sym 26279 wr_cnt[0]$2 +.sym 26280 $false +.sym 26281 wr_cnt[0] .sym 26282 $false .sym 26283 $false -.sym 26310 temp_output_report[1] -.sym 26311 $false -.sym 26312 $false -.sym 26313 $false -.sym 26326 $abc$51270$n1138 -.sym 26327 CLK$2$2 -.sym 26328 $abc$51270$n35$2 -.sym 26447 $abc$51270$n896_1 -.sym 26448 KEYBOARD.init_delay_cnt[0] -.sym 26449 I2C.FLT_SCL.RESET -.sym 26450 $false -.sym 26453 I2C_INPUT_LEN[6] -.sym 26454 $false -.sym 26455 $false -.sym 26456 $false -.sym 26459 $false -.sym 26460 $false -.sym 26461 $false -.sym 26462 $false -.sym 26465 KEYBOARD.init_delay_cnt[0] -.sym 26466 KEYBOARD.init_delay_cnt[1] -.sym 26467 KEYBOARD.init_delay_cnt[2] -.sym 26468 KEYBOARD.init_delay_cnt[3] -.sym 26471 KEYBOARD.init_delay_cnt[1] -.sym 26472 $false -.sym 26473 $false +.sym 26285 $auto$alumacc.cc:474:replace_alu$5201.C[2] +.sym 26287 $false +.sym 26288 wr_cnt[1] +.sym 26291 $auto$alumacc.cc:474:replace_alu$5201.C[3] +.sym 26292 $false +.sym 26293 $false +.sym 26294 wr_cnt[2] +.sym 26295 $auto$alumacc.cc:474:replace_alu$5201.C[2] +.sym 26298 $false +.sym 26299 $false +.sym 26300 wr_cnt[3] +.sym 26301 $auto$alumacc.cc:474:replace_alu$5201.C[3] +.sym 26322 wr_cnt[0] +.sym 26323 wr_cnt[1] +.sym 26324 wr_cnt[2] +.sym 26325 wr_cnt[3] +.sym 26441 $abc$19660$n1287 +.sym 26442 $abc$19660$n1285 +.sym 26443 $abc$19660$n1290 +.sym 26444 $false +.sym 26471 $abc$19660$n1287 +.sym 26472 $abc$19660$n1290 +.sym 26473 $abc$19660$n1285 .sym 26474 $false -.sym 26481 $abc$51270$n1393 -.sym 26482 CLK$2$2 -.sym 26483 $abc$51270$n35$2 -.sym 26552 $true -.sym 26589 KEYBOARD.init_delay_cnt[0]$2 -.sym 26590 $false -.sym 26591 KEYBOARD.init_delay_cnt[0] -.sym 26592 $false -.sym 26593 $false -.sym 26595 $auto$alumacc.cc:474:replace_alu$9635.C[2] -.sym 26597 $false -.sym 26598 KEYBOARD.init_delay_cnt[1] -.sym 26601 $auto$alumacc.cc:474:replace_alu$9635.C[3] -.sym 26602 $false -.sym 26603 $false -.sym 26604 KEYBOARD.init_delay_cnt[2] -.sym 26605 $auto$alumacc.cc:474:replace_alu$9635.C[2] -.sym 26608 $false -.sym 26609 $false -.sym 26610 KEYBOARD.init_delay_cnt[3] -.sym 26611 $auto$alumacc.cc:474:replace_alu$9635.C[3] -.sym 26614 $false -.sym 26615 $false -.sym 26616 KEYBOARD.init_delay_cnt[0] -.sym 26617 $false -.sym 26636 $abc$51270$n1392 +.sym 26626 KEYBOARD.isr_internal +.sym 26627 $false +.sym 26628 $false +.sym 26629 $false +.sym 26636 $abc$19660$n207 .sym 26637 CLK$2$2 -.sym 26638 $abc$51270$n35$2 -.sym 26763 $abc$51270$n2579 -.sym 26764 $false -.sym 26765 $false -.sym 26766 $false -.sym 26787 $abc$51270$n2575 -.sym 26788 $false -.sym 26789 $false -.sym 26790 $false -.sym 26791 $abc$51270$n1404$2 +.sym 26638 $abc$19660$n19$2 +.sym 26757 $abc$19660$n1287 +.sym 26758 $false +.sym 26759 $false +.sym 26760 $false +.sym 26791 $abc$19660$n198$2 .sym 26792 CLK$2$2 -.sym 26793 $abc$51270$n31 -.sym 26900 KEYBOARD.row_time[1] -.sym 26901 KEYBOARD.row_time[0] -.sym 26902 $false +.sym 26793 $false +.sym 26900 $abc$19660$n1290 +.sym 26901 $abc$19660$n1285 +.sym 26902 $abc$19660$n1287 .sym 26903 $false -.sym 26906 $abc$51270$n1607 -.sym 26907 $abc$51270$n1609 -.sym 26908 $abc$51270$n1612 -.sym 26909 $abc$51270$n1613 -.sym 26912 $abc$51270$n1610 -.sym 26913 $abc$51270$n725 -.sym 26914 $false -.sym 26915 $false -.sym 26918 $abc$51270$n1613 -.sym 26919 $false -.sym 26920 $false -.sym 26921 $false -.sym 26924 $abc$51270$n1607 -.sym 26925 $false -.sym 26926 $false -.sym 26927 $false -.sym 26930 $abc$51270$n1612 -.sym 26931 $false -.sym 26932 $false -.sym 26933 $false -.sym 26936 $abc$51270$n1610 -.sym 26937 $false -.sym 26938 $false -.sym 26939 $false -.sym 26942 $abc$51270$n1609 -.sym 26943 $false -.sym 26944 $false -.sym 26945 $false -.sym 26946 $abc$51270$n1257 -.sym 26947 CLK$2$2 -.sym 26948 $abc$51270$n35$2 -.sym 27061 $abc$51270$n1617 -.sym 27062 $abc$51270$n1621 -.sym 27063 $abc$51270$n1626 -.sym 27064 $abc$51270$n700 -.sym 27073 $abc$51270$n1617 -.sym 27074 $abc$51270$n1618 -.sym 27075 $abc$51270$n1620 -.sym 27076 $abc$51270$n1626 -.sym 27079 $abc$51270$n723 -.sym 27080 $abc$51270$n726 -.sym 27081 $abc$51270$n1621 -.sym 27082 $false -.sym 27085 $abc$51270$n1618 -.sym 27086 $abc$51270$n1620 -.sym 27087 $abc$51270$n723 -.sym 27088 $abc$51270$n1002 -.sym 27091 $abc$51270$n1620 -.sym 27092 $false -.sym 27093 $false -.sym 27094 $false -.sym 27097 $abc$51270$n1621 -.sym 27098 $false -.sym 27099 $false -.sym 27100 $false -.sym 27101 $abc$51270$n1257 -.sym 27102 CLK$2$2 -.sym 27103 $abc$51270$n35$2 +.sym 26906 $abc$19660$n1285 +.sym 26907 $abc$19660$n1290 +.sym 26908 $abc$19660$n1287 +.sym 26909 $false .sym 27189 $false .sym 27191 KEYBOARD.ROWS_EN[6] .sym 27192 $false @@ -10452,10 +8694,10 @@ .sym 27373 $false .sym 27375 KEYBOARD.ROWS_EN[12] .sym 27400 COM_DCD$2 -.sym 27429 $abc$51270$n1418 +.sym 27429 I2C.FLT_SCL.RESET .sym 27430 $false .sym 27432 I2C.SDA_DIR -.sym 27459 $abc$51270$n35 +.sym 27459 $abc$19660$n52 .sym 27463 I2C_TRANS .sym 27519 LED4$2 .sym 27522 LED3$2 diff --git a/i2c_keyboard/hardware.bin b/i2c_keyboard/hardware.bin index 982fbce..54847b0 100644 Binary files a/i2c_keyboard/hardware.bin and b/i2c_keyboard/hardware.bin differ diff --git a/i2c_keyboard/hardware.blif b/i2c_keyboard/hardware.blif index b6edda2..ee68c47 100644 --- a/i2c_keyboard/hardware.blif +++ b/i2c_keyboard/hardware.blif @@ -7,3438 +7,2388 @@ .names $true 1 .names $undef -.gate SB_LUT4 I0=$abc$51270$n2192 I1=$abc$51270$n683 I2=$abc$51270$n678 I3=UART.tx_activity O=$abc$51270$n1 +.gate SB_LUT4 I0=$abc$19660$n1554 I1=$abc$19660$n517 I2=$abc$19660$n512 I3=UART.tx_activity O=$abc$19660$n5 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000111111111 -.gate SB_LUT4 I0=$abc$51270$n682 I1=$abc$51270$n679 I2=$abc$51270$n2362 I3=$abc$51270$n2348 O=$abc$51270$n678 +.gate SB_LUT4 I0=$abc$19660$n516 I1=$abc$19660$n513 I2=$abc$19660$n1596 I3=$abc$19660$n1582 O=$abc$19660$n512 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000110000001010 -.gate SB_LUT4 I0=UART_TX_DATA[6] I1=UART_TX_DATA[4] I2=$abc$51270$n680 I3=$false O=$abc$51270$n679 +.param LUT_INIT 0000101000000011 +.gate SB_LUT4 I0=UART_TX_DATA[2] I1=UART_TX_DATA[0] I2=$abc$19660$n514 I3=$false O=$abc$19660$n513 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$51270$n2383 I1=$abc$51270$n2384 I2=$false I3=$false O=$abc$51270$n680 +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=$abc$19660$n1623 I1=$abc$19660$n1624 I2=$false I3=$false O=$abc$19660$n514 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0110 -.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=$false I3=$false O=$abc$51270$n2383 +.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=$false I3=$false O=$abc$19660$n1623 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0110 -.gate SB_LUT4 I0=UART_TX_DATA[2] I1=UART_TX_DATA[0] I2=$abc$51270$n680 I3=$false O=$abc$51270$n682 +.gate SB_LUT4 I0=UART_TX_DATA[6] I1=UART_TX_DATA[4] I2=$abc$19660$n514 I3=$false O=$abc$19660$n516 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$51270$n685 I1=$abc$51270$n684 I2=$abc$51270$n2348 I3=$abc$51270$n2362 O=$abc$51270$n683 +.gate SB_LUT4 I0=$abc$19660$n519 I1=$abc$19660$n518 I2=$abc$19660$n1582 I3=$abc$19660$n1596 O=$abc$19660$n517 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100010100000000 -.gate SB_LUT4 I0=UART_TX_DATA[7] I1=UART_TX_DATA[5] I2=$abc$51270$n680 I3=$false O=$abc$51270$n684 +.gate SB_LUT4 I0=UART_TX_DATA[7] I1=UART_TX_DATA[5] I2=$abc$19660$n514 I3=$false O=$abc$19660$n518 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=UART_TX_DATA[3] I1=UART_TX_DATA[1] I2=$abc$51270$n680 I3=$false O=$abc$51270$n685 +.gate SB_LUT4 I0=UART_TX_DATA[3] I1=UART_TX_DATA[1] I2=$abc$19660$n514 I3=$false O=$abc$19660$n519 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 -.gate SB_LUT4 I0=$abc$51270$n690 I1=$abc$51270$n687 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$51270$n3 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10110000 -.gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=$false I3=$false O=$abc$51270$n687 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.i2c_state_machine I1=I2C.i2c_start_latency I2=$false I3=$false O=I2C_TRANS -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=rststate[3] I1=rststate[2] I2=rststate[1] I3=rststate[0] O=I2C.FLT_SCL.RESET +.gate SB_LUT4 I0=$abc$19660$n646 I1=$abc$19660$n521 I2=$abc$19660$n654 I3=I2C.FLT_SCL.RESET O=$abc$19660$n7 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=last_isr I1=KEYBOARD.isr I2=$false I3=$false O=$abc$51270$n690 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$false I3=$false O=$abc$51270$n19 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1011 -.gate SB_LUT4 I0=I2C.byte_counter[0] I1=$abc$51270$n693 I2=$false I3=$false O=$abc$51270$n21 +.param LUT_INIT 1111100000000000 +.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=$abc$19660$n522 I2=$abc$19660$n644 I3=$abc$19660$n619 O=$abc$19660$n521 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0011101000000000 +.gate SB_LUT4 I0=$abc$19660$n615 I1=$abc$19660$n523_1 I2=$abc$19660$n617_1 I3=I2C.is_read O=$abc$19660$n522 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000001110 +.gate SB_LUT4 I0=$abc$19660$n613 I1=$abc$19660$n524_1 I2=$false I3=$false O=$abc$19660$n523_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51270$n694 I1=I2C.byte_counter[1] I2=$false I3=$false O=$abc$51270$n693 +.gate SB_LUT4 I0=$abc$19660$n1677 I1=$abc$19660$n520 I2=$false I3=$false O=$abc$19660$n524_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.byte_counter[3] I1=I2C.byte_counter[2] I2=$abc$51270$n695 I3=$false O=$abc$51270$n694 +.gate SB_LUT4 I0=$abc$19660$n584_1 I1=i2c_input_data_type[1] I2=$abc$19660$n549 I3=$abc$19660$n555_1 O=$abc$19660$n527_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111010000000000 +.gate SB_LUT4 I0=$abc$19660$n534_1 I1=$abc$19660$n530_1 I2=$abc$19660$n538 I3=$false O=$abc$19660$n529 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=I2C.byte_counter[4] I1=I2C.byte_counter[5] I2=I2C.byte_counter[6] I3=I2C.byte_counter[7] O=$abc$51270$n695 +.param LUT_INIT 11100000 +.gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$19660$n532 I2=$abc$19660$n531_1 I3=$abc$19660$n533_1 O=$abc$19660$n530_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0111111100000000 +.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[5] I2=I2C.received_byte[7] I3=I2C.received_byte[6] O=$abc$19660$n531_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$51270$n697 I1=$abc$51270$n29 I2=$abc$51270$n1447 I3=KEYBOARD.is_pressed O=$abc$51270$n27 +.gate SB_LUT4 I0=I2C.received_byte[3] I1=I2C.received_byte[1] I2=I2C.received_byte[2] I3=$false O=$abc$19660$n532 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=i2c_input_data_type[1] I1=i2c_input_data_type[3] I2=i2c_input_data_type[0] I3=i2c_input_data_type[2] O=$abc$19660$n533_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$19660$n537 I1=$abc$19660$n531_1 I2=$abc$19660$n536_1 I3=$abc$19660$n535 O=$abc$19660$n534_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000011111101 -.gate SB_LUT4 I0=$abc$51270$n698 I1=$abc$51270$n702 I2=$abc$51270$n707 I3=$false O=$abc$51270$n697 +.param LUT_INIT 0111111100000000 +.gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[1] I2=i2c_input_data_type[2] I3=$false O=$abc$19660$n535 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$51270$n2249 I1=$abc$51270$n701 I2=$false I3=$false O=$abc$51270$n698 +.gate SB_LUT4 I0=i2c_input_data_type[0] I1=I2C.received_byte[0] I2=$false I3=$false O=$abc$19660$n536_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[2] I1=KBD_COLUMNS[2] I2=$abc$51270$n700 I3=$false O=$abc$51270$n2249 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$51270$n383 I1=$abc$51270$n394 I2=$abc$51270$n396 I3=$false O=$abc$51270$n700 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=$abc$51270$n383 I1=$abc$51270$n396 I2=$abc$51270$n394 I3=$false O=$abc$51270$n701 +.param LUT_INIT 1001 +.gate SB_LUT4 I0=I2C.received_byte[3] I1=I2C.received_byte[2] I2=I2C.received_byte[1] I3=$false O=$abc$19660$n537 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[6] I1=$abc$51270$n705 I2=$abc$51270$n706 I3=$abc$51270$n703 O=$abc$51270$n702 +.gate SB_LUT4 I0=I2C_COUNTER[3] I1=I2C_COUNTER[0] I2=$abc$19660$n539 I3=I2C_COUNTER[1] O=$abc$19660$n538 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=$abc$51270$n704 I1=KEYBOARD.COLS_SHADOW[7] I2=$abc$51270$n700 I3=KBD_COLUMNS[0] O=$abc$51270$n703 +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=I2C_COUNTER[2] I1=I2C_COUNTER[4] I2=I2C_COUNTER[5] I3=I2C_COUNTER[6] O=$abc$19660$n539 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$51270$n383 I1=$abc$51270$n394 I2=$abc$51270$n396 I3=$false O=$abc$51270$n704 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$51270$n396 I1=$abc$51270$n394 I2=$abc$51270$n383 I3=$false O=$abc$51270$n705 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$51270$n394 I1=$abc$51270$n396 I2=$abc$51270$n383 I3=KEYBOARD.COLS_SHADOW[4] O=$abc$51270$n706 +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=I2C_COUNTER[4] I1=I2C_COUNTER[5] I2=I2C_COUNTER[6] I3=I2C_COUNTER[2] O=$abc$19660$n543 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=I2C_COUNTER[3] I1=I2C_COUNTER[1] I2=$abc$19660$n539 I3=I2C_COUNTER[0] O=$abc$19660$n547 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$51270$n708 I1=$abc$51270$n710 I2=$abc$51270$n712 I3=$false O=$abc$51270$n707 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[3] I1=$abc$51270$n709 I2=$false I3=$false O=$abc$51270$n708 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51270$n383 I1=$abc$51270$n394 I2=$abc$51270$n396 I3=$false O=$abc$51270$n709 +.gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$19660$n532 I2=$abc$19660$n531_1 I3=$false O=$abc$19660$n548 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[5] I1=$abc$51270$n711 I2=$false I3=$false O=$abc$51270$n710 +.gate SB_LUT4 I0=$abc$19660$n550_1 I1=$abc$19660$n553 I2=$false I3=$false O=$abc$19660$n549 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51270$n394 I1=$abc$51270$n383 I2=$abc$51270$n396 I3=$false O=$abc$51270$n711 +.gate SB_LUT4 I0=I2C_COUNTER[3] I1=$abc$19660$n539 I2=$abc$19660$n551 I3=$false O=$abc$19660$n550_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[1] I1=$abc$51270$n713 I2=$false I3=$false O=$abc$51270$n712 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51270$n383 I1=$abc$51270$n394 I2=$abc$51270$n396 I3=$false O=$abc$51270$n713 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=KEYBOARD.temp[7] I1=$abc$51270$n704 I2=$abc$51270$n719 I3=$abc$51270$n720 O=$abc$51270$n718 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=KEYBOARD.temp[2] I1=$abc$51270$n701 I2=$false I3=$false O=$abc$51270$n719 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51270$n705 I1=KEYBOARD.temp[6] I2=$abc$51270$n700 I3=KEYBOARD.RAM.r_data[0] O=$abc$51270$n720 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=KEYBOARD.temp[3] I1=KEYBOARD.RAM.r_data[3] I2=$abc$51270$n700 I3=$false O=$abc$51270$n721 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00110101 -.gate SB_LUT4 I0=$abc$51270$n723 I1=$abc$51270$n726 I2=$abc$51270$n1621 I3=$false O=$abc$51270$n29 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01111111 -.gate SB_LUT4 I0=$abc$51270$n1610 I1=$abc$51270$n725 I2=$false I3=$false O=$abc$51270$n723 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=KEYBOARD.row_time[1] I1=KEYBOARD.row_time[0] I2=$false I3=$false O=$abc$51270$n1610 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=$abc$51270$n1607 I1=$abc$51270$n1609 I2=$abc$51270$n1612 I3=$abc$51270$n1613 O=$abc$51270$n725 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$51270$n1617 I1=$abc$51270$n1618 I2=$abc$51270$n1620 I3=$abc$51270$n1626 O=$abc$51270$n726 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$51270$n728 I1=$abc$51270$n22 I2=$false I3=$false O=$abc$51270$n764 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0111 -.gate SB_LUT4 I0=$abc$51270$n729 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$51270$n728 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51270$n730 I1=init_ram_cnt[7] I2=init_ram_cnt[5] I3=$false O=$abc$51270$n729 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=init_ram_cnt[0] I1=init_ram_cnt[1] I2=$abc$51270$n731 I3=$false O=$abc$51270$n730 +.gate SB_LUT4 I0=I2C_COUNTER[0] I1=$abc$19660$n552 I2=I2C_COUNTER[1] I3=$false O=$abc$19660$n551 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=init_ram_cnt[2] I1=init_ram_cnt[4] I2=init_ram_cnt[6] I3=init_ram_cnt[3] O=$abc$51270$n731 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$51270$n734 I1=$abc$51270$n757 I2=$abc$51270$n759 I3=$abc$51270$n754 O=$abc$51270$n733 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$51270$n764 I1=$abc$51270$n735 I2=$abc$51270$n744 I3=$false O=$abc$51270$n734 +.gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[0] I2=i2c_input_data_type[2] I3=$false O=$abc$19660$n552 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$51270$n743 I1=UART_WR I2=$abc$51270$n736 I3=$abc$51270$n740 O=$abc$51270$n735 +.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[5] I2=$abc$19660$n532 I3=$abc$19660$n554 O=$abc$19660$n553 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$51270$n739 I1=$abc$51270$n737 I2=$false I3=$false O=$abc$51270$n736 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$abc$51270$n738 I3=$false O=$abc$51270$n737 +.param LUT_INIT 0110000000000000 +.gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[7] I2=I2C.received_byte[6] I3=$false O=$abc$19660$n554 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10010000 -.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=wr_cnt[2] I3=wr_cnt[3] O=$abc$51270$n738 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=$false I3=$false O=$abc$51270$n739 +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$abc$19660$n558 I1=$abc$19660$n556 I2=$false I3=$false O=$abc$19660$n555_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=ring_rd[2] I1=ring_wr[2] I2=$abc$51270$n741 I3=$abc$51270$n742 O=$abc$51270$n740 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000000000 -.gate SB_LUT4 I0=ring_rd[1] I1=ring_wr[1] I2=$false I3=$false O=$abc$51270$n741 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1001 -.gate SB_LUT4 I0=ring_rd[0] I1=ring_wr[0] I2=ring_rd[3] I3=ring_wr[3] O=$abc$51270$n742 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=last_uart_active I1=UART.tx_activity I2=$false I3=$false O=$abc$51270$n743 +.gate SB_LUT4 I0=I2C.is_read I1=$abc$19660$n557 I2=$false I3=$false O=$abc$19660$n556 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$2\UART_WR[0:0] I1=$abc$51270$n687 I2=$false I3=$false O=$abc$51270$n744 +.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=$false I3=$false O=$abc$19660$n557 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=last_uart_active I1=UART.tx_activity I2=$2\uart_double_ff[0:0] I3=$abc$51270$n19 O=$2\UART_WR[0:0] +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C_COUNTER[0] I1=I2C_COUNTER[3] I2=I2C_COUNTER[1] I3=$abc$19660$n539 O=$abc$19660$n558 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111001011111111 -.gate SB_LUT4 I0=last_trans I1=I2C_TRANS I2=$false I3=$false O=$2\uart_double_ff[0:0] +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$19660$n1672 I1=$abc$19660$n560 I2=$false I3=$false O=$abc$19660$n559 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C_TRANS I1=$abc$51270$n748 I2=$abc$51270$n753 I3=$false O=$abc$51270$n747 +.gate SB_LUT4 I0=$abc$19660$n1673 I1=$abc$19660$n565_1 I2=$false I3=$false O=$abc$19660$n560 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C_COUNTER[3] I1=$abc$19660$n1082 I2=$abc$19660$n562 I3=$false O=$abc$19660$n1673 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$51270$n749 I1=$abc$51270$n751 I2=$abc$51270$n752 I3=$false O=$abc$51270$n748 +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=$abc$19660$n564 I1=$abc$19660$n563 I2=$abc$19660$n557 I3=$false O=$abc$19660$n562 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=I2C_COUNTER[4] I1=I2C_COUNTER[5] I2=I2C_COUNTER[6] I3=$false O=$abc$19660$n563 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$51270$n750 I1=int_tmr[12] I2=int_tmr[13] I3=int_tmr[14] O=$abc$51270$n749 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=int_tmr[8] I1=int_tmr[9] I2=int_tmr[10] I3=int_tmr[11] O=$abc$51270$n750 +.gate SB_LUT4 I0=I2C_COUNTER[0] I1=I2C_COUNTER[2] I2=I2C_COUNTER[3] I3=I2C_COUNTER[1] O=$abc$19660$n564 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=int_tmr[4] I1=int_tmr[5] I2=int_tmr[6] I3=int_tmr[7] O=$abc$51270$n751 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=int_tmr[0] I1=int_tmr[1] I2=int_tmr[2] I3=int_tmr[3] O=$abc$51270$n752 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[2] I1=I2C_OUTPUT_TYPE[1] I2=I2C_OUTPUT_TYPE[0] I3=$false O=$abc$51270$n753 +.gate SB_LUT4 I0=$abc$19660$n1676 I1=$abc$19660$n1674 I2=$abc$19660$n1675 I3=$false O=$abc$19660$n565_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$51270$n756 I1=$abc$51270$n764 I2=$abc$51270$n755 I3=$false O=$abc$51270$n754 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00001101 -.gate SB_LUT4 I0=$abc$51270$n22 I1=$abc$51270$n729 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$51270$n755 +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$19660$n564 I1=$abc$19660$n563 I2=$abc$19660$n567_1 I3=$abc$19660$n568 O=$abc$19660$n1676 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111101110000 +.gate SB_LUT4 I0=last_wr I1=$abc$19660$n1088 I2=I2C.wr I3=$false O=$abc$19660$n567_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11010000 -.gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$false I3=$false O=$abc$51270$n756 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51270$n738 I1=$abc$51270$n728 I2=$abc$51270$n758 I3=$false O=$abc$51270$n757 +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=I2C_COUNTER[6] I3=$false O=$abc$19660$n568 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$51270$n690 I1=$abc$51270$n22 I2=$false I3=$false O=$abc$51270$n758 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51270$n728 I1=$abc$51270$n737 I2=$abc$51270$n739 I3=$abc$51270$n22 O=$abc$51270$n759 +.param LUT_INIT 00001011 +.gate SB_LUT4 I0=$abc$19660$n564 I1=$abc$19660$n563 I2=$abc$19660$n570 I3=$abc$19660$n571 O=$abc$19660$n1674 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$51270$n764 I1=$abc$51270$n736 I2=$abc$51270$n762 I3=$false O=$abc$51270$n761 +.param LUT_INIT 1111111101110000 +.gate SB_LUT4 I0=last_wr I1=$abc$19660$n1084 I2=I2C.wr I3=$false O=$abc$19660$n570 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=$abc$51270$n19 I3=$false O=$abc$51270$n762 +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=I2C_COUNTER[4] I3=$false O=$abc$19660$n571 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10010000 -.gate SB_LUT4 I0=UART.tx_activity I1=last_uart_active I2=$false I3=$false O=$abc$51270$n763 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1001 -.gate SB_LUT4 I0=$abc$51270$n2422 I1=I2C.is_read I2=$abc$51270$n753 I3=$abc$51270$n917 O=$abc$51270$n765 +.param LUT_INIT 00001011 +.gate SB_LUT4 I0=$abc$19660$n564 I1=$abc$19660$n563 I2=$abc$19660$n573 I3=$abc$19660$n574 O=$abc$19660$n1675 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1011111100000000 -.gate SB_LUT4 I0=$abc$51270$n728 I1=$abc$51270$n736 I2=$abc$51270$n767 I3=$false O=$abc$51270$n917 +.param LUT_INIT 1111111101110000 +.gate SB_LUT4 I0=last_wr I1=$abc$19660$n1086 I2=I2C.wr I3=$false O=$abc$19660$n573 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$51270$n687 I1=$abc$51270$n19 I2=$abc$51270$n22 I3=$false O=$abc$51270$n767 +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=I2C_COUNTER[5] I3=$false O=$abc$19660$n574 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$2\uart_double_ff[0:0] I1=I2C.wr I2=last_wr I3=$abc$51270$n769 O=$abc$51270$n768 +.param LUT_INIT 00001011 +.gate SB_LUT4 I0=I2C_COUNTER[2] I1=$abc$19660$n1080 I2=$abc$19660$n562 I3=$false O=$abc$19660$n1672 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=$abc$19660$n556 I1=i2c_input_data_type[1] I2=$abc$19660$n577 I3=I2C.received_byte[1] O=$abc$19660$n576 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1011001000000000 -.gate SB_LUT4 I0=$abc$51270$n729 I1=$abc$51270$n737 I2=I2C.FLT_SCL.RESET I3=$abc$51270$n22 O=$abc$51270$n769 +.param LUT_INIT 0000101110111011 +.gate SB_LUT4 I0=$abc$19660$n578 I1=$abc$19660$n558 I2=$abc$19660$n556 I3=$abc$19660$n1652 O=$abc$19660$n577 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$abc$51270$n743 I1=$abc$51270$n771 I2=$abc$51270$n776 I3=$abc$51270$n773 O=$abc$51270$n796 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=$abc$51270$n772 I1=$abc$51270$n744 I2=$false I3=$false O=$abc$51270$n771 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51270$n739 I1=$abc$51270$n769 I2=$false I3=$false O=$abc$51270$n772 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51270$n759 I1=$abc$51270$n917 I2=$abc$51270$n774 I3=$false O=$abc$51270$n773 +.gate SB_LUT4 I0=$abc$19660$n532 I1=$abc$19660$n531_1 I2=$abc$19660$n1648 I3=$false O=$abc$19660$n578 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=$abc$51270$n769 I1=$abc$51270$n762 I2=$abc$51270$n775 I3=$false O=$abc$51270$n774 +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=I2C_COUNTER[0] I1=$abc$19660$n562 I2=I2C_COUNTER[1] I3=$false O=$abc$19660$n1671 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$51270$n739 I1=UART.tx_activity I2=uart_double_ff I3=last_uart_active O=$abc$51270$n775 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$51270$n769 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$51270$n776 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1011 -.gate SB_LUT4 I0=$abc$51270$n771 I1=$abc$51270$n778 I2=$abc$51270$n773 I3=$false O=$abc$51270$n799 +.param LUT_INIT 10000111 +.gate SB_LUT4 I0=I2C_COUNTER[0] I1=$abc$19660$n1076 I2=$abc$19660$n562 I3=$false O=$abc$19660$n581 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$51270$n780 I1=$abc$51270$n779 I2=$abc$51270$n758 I3=I2C.FLT_SCL.RESET O=$abc$51270$n778 +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$19660$n586 I1=$abc$19660$n548 I2=$abc$19660$n529 I3=$abc$19660$n1070 O=$abc$19660$n584_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111111110001 +.gate SB_LUT4 I0=$abc$19660$n553 I1=$abc$19660$n587 I2=$abc$19660$n588_1 I3=$abc$19660$n547 O=$abc$19660$n586 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000010111111 +.gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$19660$n531_1 I2=$abc$19660$n532 I3=$abc$19660$n533_1 O=$abc$19660$n587 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1011111100000000 +.gate SB_LUT4 I0=I2C_COUNTER[0] I1=I2C_COUNTER[3] I2=$abc$19660$n539 I3=I2C_COUNTER[1] O=$abc$19660$n588_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$19660$n578 I1=$abc$19660$n558 I2=I2C.received_byte[2] I3=$abc$19660$n1652 O=$abc$19660$n590_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$abc$51270$n756 I1=$abc$51270$n764 I2=$abc$51270$n757 I3=$false O=$abc$51270$n779 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00001101 -.gate SB_LUT4 I0=$abc$51270$n729 I1=$abc$51270$n22 I2=$false I3=$false O=$abc$51270$n780 +.gate SB_LUT4 I0=$abc$19660$n594 I1=i2c_input_data_type[3] I2=$abc$19660$n577 I3=I2C.received_byte[3] O=$abc$19660$n520 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000101110111011 +.gate SB_LUT4 I0=$abc$19660$n548 I1=$abc$19660$n547 I2=$abc$19660$n558 I3=$abc$19660$n556 O=$abc$19660$n594 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111010000000000 +.gate SB_LUT4 I0=$abc$19660$n1671 I1=$abc$19660$n581 I2=$false I3=$false O=$abc$19660$n597 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51270$n784 I1=$abc$51270$n782 I2=$abc$51270$n785 I3=$false O=$abc$51270$n867 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$51270$n783 I1=$abc$51270$n763 I2=$abc$51270$n761 I3=$abc$51270$n765 O=$abc$51270$n782 +.gate SB_LUT4 I0=$abc$19660$n560 I1=$abc$19660$n600 I2=$abc$19660$n1672 I3=$abc$19660$n1645 O=$abc$19660$n599 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000010001111 -.gate SB_LUT4 I0=$abc$51270$n748 I1=UART_WR I2=$false I3=$false O=$abc$51270$n783 +.param LUT_INIT 0111111100000000 +.gate SB_LUT4 I0=$abc$19660$n1671 I1=$abc$19660$n581 I2=$false I3=$false O=$abc$19660$n600 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 -.gate SB_LUT4 I0=int_tmr[0] I1=$abc$51270$n771 I2=$false I3=$false O=$abc$51270$n784 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51270$n759 I1=$abc$51270$n768 I2=$abc$51270$n776 I3=$false O=$abc$51270$n785 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$51270$n782 I1=$abc$51270$n785 I2=$false I3=$false O=$abc$51270$n871 +.gate SB_LUT4 I0=$abc$19660$n606 I1=$abc$19660$n603 I2=$abc$19660$n602 I3=$abc$19660$n607 O=$abc$19660$n524 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000000111 +.gate SB_LUT4 I0=$abc$19660$n577 I1=I2C.received_byte[0] I2=$false I3=$false O=$abc$19660$n602 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51270$n758 I1=$abc$51270$n728 I2=$abc$51270$n755 I3=$false O=$abc$51270$n921 +.gate SB_LUT4 I0=$abc$19660$n529 I1=$abc$19660$n1070 I2=$abc$19660$n604 I3=$false O=$abc$19660$n603 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00000111 -.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$51270$n21 I2=$abc$51270$n789 I3=$abc$51270$n1117 O=$abc$51270$n934 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1101000000000000 -.gate SB_LUT4 I0=$abc$51270$n790 I1=$abc$51270$n753 I2=$abc$51270$n759 I3=$false O=$abc$51270$n789 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01001111 -.gate SB_LUT4 I0=I2C.is_read I1=$abc$51270$n791 I2=$abc$51270$n728 I3=$false O=$abc$51270$n790 +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$abc$19660$n605 I1=$abc$19660$n588_1 I2=$abc$19660$n533_1 I3=$false O=$abc$19660$n604 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$51270$n737 I1=$abc$51270$n739 I2=$abc$51270$n22 I3=$false O=$abc$51270$n791 +.gate SB_LUT4 I0=I2C.received_byte[4] I1=$abc$19660$n554 I2=$abc$19660$n532 I3=$false O=$abc$19660$n605 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$51270$n772 I1=$abc$51270$n776 I2=$false I3=$false O=$abc$51270$n1117 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51270$n769 I1=$abc$51270$n754 I2=$false I3=$false O=$abc$51270$n937 +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$19660$n548 I1=$abc$19660$n547 I2=$abc$19660$n555_1 I3=i2c_input_data_type[0] O=$abc$19660$n606 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1011000000000000 +.gate SB_LUT4 I0=$abc$19660$n556 I1=i2c_input_data_type[0] I2=$false I3=$false O=$abc$19660$n607 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51270$n796_1 I1=$abc$51270$n757 I2=$abc$51270$n795 I3=$false O=$abc$51270$n945 +.gate SB_LUT4 I0=$abc$19660$n597 I1=$abc$19660$n612 I2=$abc$19660$n609 I3=$abc$19660$n610 O=$abc$19660$n608 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0101001111111111 +.gate SB_LUT4 I0=$abc$19660$n527_1 I1=$abc$19660$n559 I2=$abc$19660$n576 I3=$false O=$abc$19660$n609 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10110000 -.gate SB_LUT4 I0=$abc$51270$n756 I1=$abc$51270$n764 I2=$abc$51270$n776 I3=$false O=$abc$51270$n795 +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$19660$n1677 I1=$abc$19660$n524 I2=$abc$19660$n520 I3=$false O=$abc$19660$n610 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00001101 -.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[2] I2=wr_cnt[1] I3=wr_cnt[3] O=$abc$51270$n796_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$51270$n796_1 I1=$abc$51270$n757 I2=$abc$51270$n780 I3=$abc$51270$n795 O=$abc$51270$n950 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=$abc$51270$n772 I1=$abc$51270$n799_1 I2=$abc$51270$n778 I3=$abc$51270$n789 O=$abc$51270$n968 +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$19660$n1672 I1=$abc$19660$n1645 I2=$abc$19660$n560 I3=$abc$19660$n600 O=$abc$19660$n612 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$51270$n21 I1=report_data_radr[0] I2=$false I3=$false O=$abc$51270$n799_1 +.gate SB_LUT4 I0=$abc$19660$n523 I1=$abc$19660$n524 I2=$abc$19660$n1116 I3=$false O=$abc$19660$n613 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10001111 +.gate SB_LUT4 I0=$abc$19660$n527_1 I1=$abc$19660$n576 I2=$false I3=$false O=$abc$19660$n523 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$19660$n616 I1=I2C_OUTPUT_TYPE[1] I2=$false I3=$false O=$abc$19660$n615 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$51270$n759 I1=$abc$51270$n753 I2=I2C.is_read I3=$false O=$abc$51270$n974 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=I2C.byte_counter[3] I1=$abc$51270$n695 I2=I2C.byte_counter[2] I3=$false O=$abc$51270$n809 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[1] I2=i2c_input_data_type[0] I3=i2c_input_data_type[2] O=$abc$51270$n812 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$51270$n814 I1=i2c_input_data_type[0] I2=$false I3=$false O=$abc$51270$n813 +.gate SB_LUT4 I0=$abc$19660$n608 I1=$abc$19660$n523 I2=$false I3=$false O=$abc$19660$n616 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[1] I2=i2c_input_data_type[2] I3=$false O=$abc$51270$n814 +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$19660$n523 I1=$22\i2c_input_data_type[3:0][0] I2=$abc$19660$n524_1 I3=$false O=$abc$19660$n617_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$51270$n822 I2=$false I3=$false O=$abc$51270$n821 +.gate SB_LUT4 I0=$abc$19660$n524 I1=$abc$19660$n1116 I2=$false I3=$false O=$22\i2c_input_data_type[3:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.received_byte[3] I1=I2C.received_byte[1] I2=I2C.received_byte[2] I3=$false O=$abc$51270$n822 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[5] I2=I2C.received_byte[7] I3=I2C.received_byte[6] O=$abc$51270$n823 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$51270$n729 I1=$abc$51270$n828 I2=$abc$51270$n809 I3=$abc$51270$n830 O=$abc$51270$n827 +.gate SB_LUT4 I0=$abc$19660$n639 I1=$abc$19660$n637 I2=$abc$19660$n620_1 I3=$abc$19660$n641 O=$abc$19660$n619 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=I2C.received_byte[1] I1=I2C.received_byte[0] I2=$abc$51270$n829 I3=$false O=$abc$51270$n828 +.param LUT_INIT 1011111100000000 +.gate SB_LUT4 I0=$2\ring_rd[3:0][2] I1=$2\ring_wr[3:0][2] I2=$abc$19660$n636 I3=$false O=$abc$19660$n620_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10010000 +.gate SB_LUT4 I0=ring_rd[2] I1=$abc$19660$n1097 I2=$abc$19660$n622 I3=$false O=$2\ring_rd[3:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11010000 -.gate SB_LUT4 I0=i2c_input_data_type[0] I1=$abc$51270$n814 I2=$false I3=$false O=$abc$51270$n829 +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$19660$n623_1 I1=$abc$19660$n634 I2=$false I3=$false O=$abc$19660$n622 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.is_read I1=I2C.byte_counter[1] I2=I2C.FLT_SCL.RESET I3=I2C.byte_counter[0] O=$abc$51270$n830 +.gate SB_LUT4 I0=$2\ring_wr[3:0][3] I1=ring_rd[3] I2=$abc$19660$n624 I3=$abc$19660$n631 O=$abc$19660$n623_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$51270$n814 I1=$abc$51270$n834 I2=$abc$51270$n832 I3=$false O=$abc$51270$n831 +.param LUT_INIT 1001000000000000 +.gate SB_LUT4 I0=$2\ring_wr[3:0][2] I1=ring_rd[2] I2=ring_rd[0] I3=$2\ring_wr[3:0][0] O=$abc$19660$n624 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=ring_wr[2] I1=$abc$19660$n1224 I2=$abc$19660$n626 I3=$false O=$2\ring_wr[3:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00001101 -.gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$51270$n823 I2=$abc$51270$n822 I3=$abc$51270$n833 O=$abc$51270$n832 +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$19660$n629_1 I1=$abc$19660$n627 I2=KEYBOARD.isr I3=last_isr O=$abc$19660$n626 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111111100000000 -.gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[1] I2=i2c_input_data_type[0] I3=i2c_input_data_type[2] O=$abc$51270$n833 +.param LUT_INIT 0000011100000000 +.gate SB_LUT4 I0=$abc$19660$n344 I1=ring_rd[2] I2=$abc$19660$n1224 I3=$abc$19660$n628 O=$abc$19660$n627 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$51270$n835 I1=I2C.received_byte[3] I2=I2C.received_byte[2] I3=$abc$51270$n823 O=$abc$51270$n834 +.param LUT_INIT 0100000100000000 +.gate SB_LUT4 I0=ring_rd[0] I1=$abc$19660$n1218 I2=ring_rd[3] I3=$abc$19660$n1227 O=$abc$19660$n628 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=I2C.received_byte[1] I1=I2C.received_byte[0] I2=i2c_input_data_type[0] I3=$false O=$abc$51270$n835 +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=ring_rd[1] I1=ring_wr[0] I2=ring_wr[1] I3=$false O=$abc$19660$n629_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=I2C.is_read I1=$abc$51270$n759 I2=$abc$51270$n837 I3=$false O=$abc$51270$n836 +.param LUT_INIT 01101001 +.gate SB_LUT4 I0=ring_wr[0] I1=$abc$19660$n1218 I2=$abc$19660$n626 I3=$false O=$2\ring_wr[3:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=I2C.is_read I1=$abc$51270$n917 I2=I2C.FLT_SCL.RESET I3=$abc$51270$n776 O=$abc$51270$n837 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=$abc$51270$n19 I1=$abc$51270$n769 I2=$abc$51270$n761 I3=$false O=$abc$51270$n838 +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$2\ring_wr[3:0][1] I1=ring_rd[1] I2=$false I3=$false O=$abc$19660$n631 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1001 +.gate SB_LUT4 I0=$abc$19660$n626 I1=ring_wr[0] I2=ring_wr[1] I3=$false O=$2\ring_wr[3:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00001011 -.gate SB_LUT4 I0=$abc$51270$n840 I1=$abc$51270$n809 I2=$abc$51270$n693 I3=$abc$51270$n790 O=$abc$51270$n839 +.param LUT_INIT 01111000 +.gate SB_LUT4 I0=ring_wr[3] I1=$abc$19660$n1227 I2=$abc$19660$n626 I3=$false O=$2\ring_wr[3:0][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$19660$n558 I1=$abc$19660$n635_1 I2=$abc$19660$n557 I3=I2C.is_read O=$abc$19660$n634 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111010011111111 -.gate SB_LUT4 I0=$abc$51270$n833 I1=I2C.byte_counter[0] I2=I2C.byte_counter[1] I3=$false O=$abc$51270$n840 +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=I2C_HID_DESC.DESC_TYPE I1=I2C_OUTPUT_TYPE[2] I2=I2C_OUTPUT_TYPE[1] I3=$false O=$abc$19660$n635_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=$abc$51270$n772 I1=$2\uart_double_ff[0:0] I2=$abc$51270$n842_1 I3=$false O=$abc$51270$n1119 +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$19660$n622 I1=ring_rd[0] I2=$abc$19660$n631 I3=$false O=$abc$19660$n636 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=$abc$51270$n761 I1=$abc$51270$n1149 I2=$abc$51270$n755 I3=$abc$51270$n779 O=$abc$51270$n842_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$51270$n19 I1=$abc$51270$n769 I2=$abc$51270$n759 I3=$false O=$abc$51270$n1149 +.param LUT_INIT 01111000 +.gate SB_LUT4 I0=$2\ring_rd[3:0][0] I1=$2\ring_wr[3:0][0] I2=$false I3=$false O=$abc$19660$n637 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1001 +.gate SB_LUT4 I0=ring_rd[0] I1=$abc$19660$n1091 I2=$abc$19660$n622 I3=$false O=$2\ring_rd[3:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11110100 -.gate SB_LUT4 I0=$abc$51270$n846 I1=$abc$51270$n859 I2=I2C.FLT_SCL.RESET I3=$abc$51270$n861 O=$abc$51270$n1138 +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$2\ring_rd[3:0][3] I1=$2\ring_wr[3:0][3] I2=$false I3=$false O=$abc$19660$n639 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=ring_rd[3] I1=$abc$19660$n1100 I2=$abc$19660$n622 I3=$false O=$2\ring_rd[3:0][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=I2C_TRANS I1=$3\int_tmr[14:0][14] I2=$false I3=$false O=$abc$19660$n641 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$19660$n643 I1=int_tmr[14] I2=$false I3=$false O=$3\int_tmr[14:0][14] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$19660$n1651 I1=$abc$19660$n635_1 I2=I2C.is_read I3=$abc$19660$n644 O=$abc$19660$n643 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100010000001111 -.gate SB_LUT4 I0=i2c_input_data_type[1] I1=$abc$51270$n847 I2=$false I3=$false O=$abc$51270$n846 +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=$false I3=$false O=$abc$19660$n644 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51270$n848 I1=i2c_input_data_type[0] I2=$false I3=$false O=$abc$51270$n847 +.gate SB_LUT4 I0=I2C.i2c_state_machine I1=I2C.i2c_start_latency I2=$false I3=$false O=I2C_TRANS .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51270$n855 I1=$abc$51270$n857 I2=$abc$51270$n858 I3=$abc$51270$n849 O=$abc$51270$n848 +.gate SB_LUT4 I0=$abc$19660$n651 I1=$abc$19660$n652 I2=$2\I2C_OUTPUT_TYPE[2:0][0] I3=$abc$19660$n653 O=$abc$19660$n646 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$51270$n852 I1=$abc$51270$n854 I2=$abc$51270$n813 I3=$abc$51270$n850 O=$abc$51270$n849 +.param LUT_INIT 0000000000001101 +.gate SB_LUT4 I0=$abc$19660$n649 I1=$abc$19660$n648 I2=$abc$19660$n651 I3=$abc$19660$n650 O=$2\I2C_OUTPUT_TYPE[2:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000010001111 -.gate SB_LUT4 I0=$abc$51270$n851 I1=$abc$51270$n812 I2=$abc$51270$n2425 I3=$false O=$abc$51270$n850 +.param LUT_INIT 1111111100010000 +.gate SB_LUT4 I0=$abc$19660$n613 I1=$abc$19660$n998 I2=$abc$19660$n524_1 I3=$false O=$abc$19660$n648 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=$abc$51270$n853 I2=$abc$51270$n852 I3=$false O=$abc$51270$n851 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=I2C_INPUT_LEN[4] I1=I2C_INPUT_LEN[5] I2=I2C_INPUT_LEN[6] I3=I2C_INPUT_LEN[7] O=$abc$51270$n852 +.gate SB_LUT4 I0=$abc$19660$n616 I1=I2C_HID_DESC.DESC_TYPE I2=$abc$19660$n613 I3=$abc$19660$n524_1 O=$abc$19660$n649 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=I2C_INPUT_LEN[0] I2=I2C_INPUT_LEN[1] I3=$false O=$abc$51270$n853 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=I2C_INPUT_LEN[1] I2=I2C_INPUT_LEN[0] I3=I2C_INPUT_LEN[3] O=$abc$51270$n854 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=I2C_INPUT_LEN[0] I1=$abc$51270$n856 I2=I2C_INPUT_LEN[1] I3=$abc$51270$n829 O=$abc$51270$n855 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1011111100000000 -.gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=I2C_INPUT_LEN[2] I2=$abc$51270$n852 I3=$false O=$abc$51270$n856 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=I2C_INPUT_LEN[1] I1=I2C_INPUT_LEN[0] I2=$abc$51270$n856 I3=$abc$51270$n812 O=$abc$51270$n857 +.param LUT_INIT 1011000010111011 +.gate SB_LUT4 I0=$abc$19660$n644 I1=I2C_HID_DESC.DESC_TYPE I2=$false I3=$false O=$abc$19660$n650 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.is_read I1=$abc$19660$n644 I2=$false I3=$false O=$abc$19660$n651 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$19660$n616 I1=I2C_OUTPUT_TYPE[2] I2=$abc$19660$n1000 I3=$abc$19660$n523_1 O=$abc$19660$n652 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1011111100000000 -.gate SB_LUT4 I0=I2C_INPUT_LEN[0] I1=I2C_INPUT_LEN[1] I2=$abc$51270$n856 I3=$abc$51270$n833 O=$abc$51270$n858 +.param LUT_INIT 0000111110111011 +.gate SB_LUT4 I0=$abc$19660$n644 I1=I2C_OUTPUT_TYPE[2] I2=$false I3=$false O=$abc$19660$n653 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$19660$n643 I1=LED1 I2=$false I3=$false O=$abc$19660$n654 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=rststate[3] I1=rststate[2] I2=rststate[1] I3=rststate[0] O=I2C.FLT_SCL.RESET .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1110111100000000 -.gate SB_LUT4 I0=i2c_input_data_type[0] I1=i2c_input_data_type[1] I2=i2c_input_data_type[3] I3=$abc$51270$n860 O=$abc$51270$n859 +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$abc$19660$n46 I3=$abc$19660$n658 O=$abc$19660$n35 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000101100000000 -.gate SB_LUT4 I0=$abc$51270$n848 I1=i2c_input_data_type[2] I2=$false I3=$false O=$abc$51270$n860 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.is_read I1=$abc$51270$n917 I2=$false I3=$false O=$abc$51270$n861 +.param LUT_INIT 0100111111111111 +.gate SB_LUT4 I0=IS_RAM_INIT I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$19660$n46 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51270$n759 I1=$abc$51270$n838 I2=$abc$51270$n776 I3=$false O=$abc$51270$n1152 +.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=wr_cnt[2] I3=wr_cnt[3] O=$abc$19660$n658 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$19660$n41 I1=$abc$19660$n662 I2=$abc$19660$n660_1 I3=$false O=$abc$19660$n37 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$51270$n743 I1=$abc$51270$n771 I2=$abc$51270$n917 I3=$abc$51270$n785 O=$abc$51270$n1159 +.param LUT_INIT 00001110 +.gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$abc$19660$n661_1 I3=$abc$19660$n46 O=$abc$19660$n660_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000101100000000 -.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=KEYBOARD.isr I2=last_isr I3=$abc$51270$n755 O=$abc$51270$n1163 +.gate SB_LUT4 I0=wr_cnt[2] I1=wr_cnt[1] I2=wr_cnt[0] I3=wr_cnt[3] O=$abc$19660$n661_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000001111101 -.gate SB_LUT4 I0=$abc$51270$n771 I1=$abc$51270$n1149 I2=$abc$51270$n917 I3=$abc$51270$n776 O=$abc$51270$n1168 +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=$abc$19660$n663 I3=$false O=$abc$19660$n662 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10010000 +.gate SB_LUT4 I0=$abc$19660$n732 I1=$abc$19660$n735 I2=$abc$19660$n736 I3=$false O=$abc$19660$n663 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=IS_RAM_INIT I2=$false I3=$false O=$abc$19660$n41 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0111 +.gate SB_LUT4 I0=$abc$19660$n666 I1=I2C.wr I2=last_wr I3=$abc$19660$n41 O=$abc$19660$n42 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$51270$n305 I1=$abc$51270$n875 I2=$abc$51270$n867_1 I3=$false O=$abc$51270$n1177 +.param LUT_INIT 0111110100000000 +.gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=I2C.FLT_SCL.RESET I3=$false O=$abc$19660$n666 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$51270$n307 I1=$abc$51270$n873 I2=$abc$51270$n874 I3=$abc$51270$n868 O=$abc$51270$n867_1 +.param LUT_INIT 10010000 +.gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$abc$19660$n52 I3=$abc$19660$n46 O=$abc$19660$n47 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$abc$51270$n869 I1=$abc$51270$n871_1 I2=$false I3=$false O=$abc$51270$n868 +.param LUT_INIT 1111010000000000 +.gate SB_LUT4 I0=last_trans I1=I2C_TRANS I2=$false I3=$false O=$abc$19660$n52 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.i2c_start_latency I1=I2C.i2c_state_machine I2=$abc$51270$n2006 I3=I2C.is_read O=$abc$51270$n869 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1011111100000000 -.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$51270$n14 I2=I2C.FLT_SCL.out I3=$false O=$abc$51270$n2006 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11101111 -.gate SB_LUT4 I0=I2C.i2c_state_machine I1=$abc$51270$n2006 I2=$abc$51270$n872 I3=$false O=$abc$51270$n871_1 +.gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$19660$n644 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$19660$n63 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00001011 -.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=I2C.FLT_SCL.out I2=$abc$51270$n14 I3=$false O=$abc$51270$n872 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$51270$n1178 I1=$abc$51270$n16 I2=I2C.FLT_SCL.out I3=$false O=$abc$51270$n873 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$51270$n308 I1=$abc$51270$n319 I2=$abc$51270$n2394 I3=$false O=$abc$51270$n874 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=I2C.i2c_bit_counter[0] I1=I2C.i2c_bit_counter[1] I2=$abc$51270$n2006 I3=$false O=$abc$51270$n875 +.param LUT_INIT 01001111 +.gate SB_LUT4 I0=$22\i2c_input_data_type[3:0][0] I1=$abc$19660$n523 I2=$abc$19660$n672 I3=$false O=$abc$19660$n671 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01100000 -.gate SB_LUT4 I0=$abc$51270$n867_1 I1=$abc$51270$n877 I2=$false I3=$false O=$abc$51270$n1190 +.gate SB_LUT4 I0=$22\i2c_input_data_type[3:0][2] I1=$abc$19660$n520 I2=$false I3=$false O=$abc$19660$n672 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51270$n875 I1=$abc$51270$n305 I2=$false I3=$false O=$abc$51270$n877 +.gate SB_LUT4 I0=$abc$19660$n674 I1=$abc$19660$n1677 I2=$false I3=$false O=$22\i2c_input_data_type[3:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51270$n875 I1=$abc$51270$n305 I2=$abc$51270$n867_1 I3=$false O=$abc$51270$n1195 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$51270$n867_1 I1=$abc$51270$n880 I2=$false I3=$false O=$abc$51270$n1200 +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$19660$n1116 I1=$abc$19660$n608 I2=$false I3=$false O=$abc$19660$n674 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51270$n875 I1=$abc$51270$n305 I2=$false I3=$false O=$abc$51270$n880 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$19660$n196 I1=$abc$19660$n684_1 I2=$false I3=$false O=$abc$19660$n94 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51270$n305 I1=$abc$51270$n875 I2=$abc$51270$n882 I3=$false O=$abc$51270$n1205 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19660$n46 I2=$abc$19660$n690 I3=$false O=$abc$19660$n196 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$51270$n868 I1=$abc$51270$n873 I2=$abc$51270$n874 I3=$abc$51270$n307 O=$abc$51270$n882 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$51270$n882 I1=$abc$51270$n877 I2=$false I3=$false O=$abc$51270$n1210 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51270$n875 I1=$abc$51270$n305 I2=$abc$51270$n882 I3=$false O=$abc$51270$n1217 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$51270$n882 I1=$abc$51270$n880 I2=$false I3=$false O=$abc$51270$n1225 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.i2c_start_latency I1=I2C.i2c_state_machine I2=$abc$51270$n2006 I3=$false O=$abc$51270$n1228 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01001111 -.gate SB_LUT4 I0=$abc$51270$n888 I1=$abc$51270$n891 I2=$abc$51270$n893 I3=I2C.FLT_SCL.RESET O=$abc$51270$n1231 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$51270$n889 I1=UART.tx_activity I2=$false I3=$false O=$abc$51270$n888 +.gate SB_LUT4 I0=$abc$19660$n779 I1=$abc$19660$n681 I2=$false I3=$false O=$abc$19660$n679 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=UART.tx_clk_counter[1] I1=UART.tx_clk_counter[0] I2=$abc$51270$n890 I3=$false O=$abc$51270$n889 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01100000 -.gate SB_LUT4 I0=$abc$51270$n1514 I1=$abc$51270$n1517 I2=$abc$51270$n1518 I3=$false O=$abc$51270$n890 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=UART.tx_bit_counter[3] I1=$abc$51270$n892 I2=$false I3=$false O=$abc$51270$n891 +.gate SB_LUT4 I0=KEYBOARD.row_time[1] I1=KEYBOARD.row_time[0] I2=$false I3=$false O=$abc$19660$n779 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=UART.tx_bit_counter[2] I3=UART.tx_activity O=$abc$51270$n892 +.param LUT_INIT 0110 +.gate SB_LUT4 I0=$abc$19660$n776 I1=$abc$19660$n778 I2=$abc$19660$n781 I3=$abc$19660$n782 O=$abc$19660$n681 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=UART.TX_sig_last I1=UART_WR I2=UART.tx_activity I3=$false O=$abc$51270$n893 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00001011 -.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$51270$n893 I2=$false I3=$false O=$abc$51270$n1238 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0111 -.gate SB_LUT4 I0=$abc$51270$n896_1 I1=KEYBOARD.init_ram_cnt[8] I2=I2C.FLT_SCL.RESET I3=$false O=$abc$51270$n1257 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10001111 -.gate SB_LUT4 I0=KEYBOARD.init_delay_cnt[0] I1=KEYBOARD.init_delay_cnt[1] I2=KEYBOARD.init_delay_cnt[2] I3=KEYBOARD.init_delay_cnt[3] O=$abc$51270$n896_1 +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KEYBOARD.isr_internal I2=IS_RAM_INIT I3=I2C.FLT_SCL.RESET O=$abc$19660$n684_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$51270$n1418 I1=$abc$51270$n923 I2=$abc$51270$n935 I3=$abc$51270$n898 O=$abc$51270$n1258 +.param LUT_INIT 0000111011111111 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19660$n46 I2=KEYBOARD.report_wr_en I3=$abc$19660$n689 O=$abc$19660$n688 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1101000000000000 -.gate SB_LUT4 I0=$abc$51270$n920 I1=$abc$51270$n899 I2=$abc$51270$n918 I3=$false O=$abc$51270$n898 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00000111 -.gate SB_LUT4 I0=$abc$51270$n27 I1=$abc$51270$n915 I2=$abc$51270$n910 I3=$false O=$abc$51270$n899 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$51270$n907 I1=$abc$51270$n901 I2=$false I3=$false O=$abc$51270$n900 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51270$n902 I1=$abc$51270$n904 I2=$false I3=$false O=$abc$51270$n901 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[4] I2=$abc$51270$n903 I3=$false O=$abc$51270$n902 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.kbd_code_hid[7] I3=$false O=$abc$51270$n903 +.param LUT_INIT 0111000001110111 +.gate SB_LUT4 I0=$abc$19660$n690 I1=$abc$19660$n46 I2=KEYBOARD.isr_internal I3=$false O=$abc$19660$n689 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$51270$n905 I1=$abc$51270$n906 I2=$false I3=$false O=$abc$51270$n904 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[1][4] I1=KEYBOARD.report[1][5] I2=KEYBOARD.report[1][6] I3=KEYBOARD.report[1][7] O=$abc$51270$n905 +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$19660$n679 I1=$abc$19660$n691 I2=$abc$19660$n692 I3=$abc$19660$n793 O=$abc$19660$n690 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[1][0] I1=KEYBOARD.report[1][1] I2=KEYBOARD.report[1][2] I3=KEYBOARD.report[1][3] O=$abc$51270$n906 +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$19660$n787 I1=$abc$19660$n789 I2=$abc$19660$n792 I3=$abc$19660$n797 O=$abc$19660$n691 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$51270$n908 I1=$abc$51270$n909 I2=$false I3=$false O=$abc$51270$n907 +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$19660$n786 I1=$abc$19660$n790 I2=$false I3=$false O=$abc$19660$n692 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[2][4] I1=KEYBOARD.report[2][5] I2=KEYBOARD.report[2][6] I3=KEYBOARD.report[2][7] O=$abc$51270$n908 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[2][0] I1=KEYBOARD.report[2][1] I2=KEYBOARD.report[2][2] I3=KEYBOARD.report[2][3] O=$abc$51270$n909 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$51270$n911 I1=$abc$51270$n1418 I2=$false I3=$false O=$abc$51270$n910 +.gate SB_LUT4 I0=$abc$19660$n696 I1=KEYBOARD.isr_internal I2=$false I3=$false O=$abc$19660$n695 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[6] I1=$abc$51270$n913 I2=$abc$51270$n912 I3=$false O=$abc$51270$n911 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[6] I1=$abc$19660$n698 I2=$abc$19660$n697 I3=$false O=$abc$19660$n696 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$51270$n912 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$19660$n697 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[4] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.kbd_code_hid[7] O=$abc$51270$n913 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[4] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.kbd_code_hid[7] O=$abc$19660$n698 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$51270$n896_1 I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$abc$51270$n1418 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[4] I2=$abc$19660$n700 I3=$false O=$abc$19660$n699 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.kbd_code_hid[7] I3=$false O=$abc$19660$n700 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$51270$n916 I1=$abc$51270$n917_1 I2=$false I3=$false O=$abc$51270$n915 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[3][4] I1=KEYBOARD.report[3][5] I2=KEYBOARD.report[3][6] I3=KEYBOARD.report[3][7] O=$abc$51270$n916 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[3][0] I1=KEYBOARD.report[3][1] I2=KEYBOARD.report[3][2] I3=KEYBOARD.report[3][3] O=$abc$51270$n917_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$51270$n919 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$51270$n918 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51270$n911 I1=$abc$51270$n896_1 I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$abc$51270$n919 +.gate SB_LUT4 I0=KEYBOARD.report_adress_rd[2] I1=KEYBOARD.report_adress_rd[0] I2=KEYBOARD.report_adress_rd[1] I3=$false O=$abc$19660$n701 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$51270$n921_1 I1=$abc$51270$n922 I2=$false I3=$false O=$abc$51270$n920 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[4][4] I1=KEYBOARD.report[4][5] I2=KEYBOARD.report[4][6] I3=KEYBOARD.report[4][7] O=$abc$51270$n921_1 +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$19660$n690 I1=IS_RAM_INIT I2=KEYBOARD.IS_RAM_INIT I3=KEYBOARD.report_wr_en O=$abc$19660$n702 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[4][0] I1=KEYBOARD.report[4][1] I2=KEYBOARD.report[4][2] I3=KEYBOARD.report[4][3] O=$abc$51270$n922 +.gate SB_LUT4 I0=$abc$19660$n705 I1=$abc$19660$n696 I2=$abc$19660$n704 I3=$abc$19660$n706 O=$abc$19660$n703 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$51270$n924 I1=$abc$51270$n930 I2=$abc$51270$n27 I3=$false O=$abc$51270$n923 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11000101 -.gate SB_LUT4 I0=$abc$51270$n926 I1=$abc$51270$n925 I2=$false I3=$false O=$abc$51270$n924 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51270$n920 I1=$abc$51270$n915 I2=$abc$51270$n900 I3=$false O=$abc$51270$n925 +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$19660$n699 I1=$abc$19660$n695 I2=$abc$19660$n702 I3=KEYBOARD.is_pressed O=$abc$19660$n704 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KEYBOARD.report_wr_en I2=$abc$19660$n689 I3=$false O=$abc$19660$n705 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$51270$n927 I1=$abc$51270$n928 I2=$false I3=$false O=$abc$51270$n926 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[5][4] I1=KEYBOARD.report[5][5] I2=KEYBOARD.report[5][6] I3=KEYBOARD.report[5][7] O=$abc$51270$n927 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[5][0] I1=KEYBOARD.report[5][1] I2=KEYBOARD.report[5][2] I3=KEYBOARD.report[5][3] O=$abc$51270$n928 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$51270$n931 I1=$abc$51270$n932 I2=$abc$51270$n933 I3=$abc$51270$n934_1 O=$abc$51270$n930 +.gate SB_LUT4 I0=$abc$19660$n701 I1=I2C.FLT_SCL.RESET I2=$abc$19660$n707 I3=$false O=$abc$19660$n706 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$19660$n708 I1=$abc$19660$n709 I2=$abc$19660$n710 I3=$abc$19660$n711 O=$abc$19660$n707 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.report[5][3] I2=KEYBOARD.kbd_code_hid[7] I3=KEYBOARD.report[5][7] O=$abc$51270$n931 +.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[0] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.REPORT.r_data[2] I3=KEYBOARD.kbd_code_hid[2] O=$abc$19660$n708 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.report[5][0] I2=KEYBOARD.kbd_code_hid[6] I3=KEYBOARD.report[5][6] O=$abc$51270$n932 +.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[5] I1=KEYBOARD.kbd_code_hid[5] I2=KEYBOARD.REPORT.r_data[6] I3=KEYBOARD.kbd_code_hid[6] O=$abc$19660$n709 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.report[5][4] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.report[5][5] O=$abc$51270$n933 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.REPORT.r_data[4] I2=KEYBOARD.REPORT.r_data[7] I3=KEYBOARD.kbd_code_hid[7] O=$abc$19660$n710 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.report[5][1] I2=KEYBOARD.kbd_code_hid[2] I3=KEYBOARD.report[5][2] O=$abc$51270$n934_1 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.REPORT.r_data[3] I2=KEYBOARD.REPORT.r_data[1] I3=KEYBOARD.kbd_code_hid[1] O=$abc$19660$n711 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=$abc$51270$n936 I1=$abc$51270$n938 I2=$abc$51270$n940 I3=$abc$51270$n942 O=$abc$51270$n935 +.gate SB_LUT4 I0=$abc$19660$n717 I1=$abc$19660$n718_1 I2=$false I3=$false O=$abc$19660$n716 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[4] I1=KEYBOARD.REPORT.r_data[5] I2=KEYBOARD.REPORT.r_data[6] I3=KEYBOARD.REPORT.r_data[7] O=$abc$19660$n717 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[0] I1=KEYBOARD.REPORT.r_data[1] I2=KEYBOARD.REPORT.r_data[2] I3=KEYBOARD.REPORT.r_data[3] O=$abc$19660$n718_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$51270$n27 I1=$abc$51270$n937_1 I2=$abc$51270$n904 I3=$false O=$abc$51270$n936 +.gate SB_LUT4 I0=$abc$19660$n707 I1=$abc$19660$n701 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$19660$n719_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$51270$n902 I1=$abc$51270$n1418 I2=$false I3=$false O=$abc$51270$n937_1 +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19660$n46 I2=$abc$19660$n722_1 I3=$abc$19660$n690 O=$abc$19660$n721_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$19660$n1083 I1=$abc$19660$n1130 I2=$false I3=$false O=$abc$19660$n722_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51270$n27 I1=$abc$51270$n1418 I2=$abc$51270$n907 I3=$false O=$abc$51270$n938 +.param LUT_INIT 1001 +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[3] I1=KBD_COLUMNS[3] I2=$abc$19660$n727 I3=$false O=$abc$19660$n1359 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$51270$n27 I1=I2C.FLT_SCL.RESET I2=$abc$51270$n915 I3=$false O=$abc$51270$n940 +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$19660$n555 I1=$abc$19660$n565 I2=$abc$19660$n567 I3=$false O=$abc$19660$n727 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$abc$19660$n555 I1=$abc$19660$n565 I2=$abc$19660$n567 I3=$false O=$abc$19660$n728 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$51270$n1418 I1=$abc$51270$n902 I2=$false I3=$false O=$abc$51270$n942 +.gate SB_LUT4 I0=$abc$19660$n1356 I1=$abc$19660$n731 I2=$false I3=$false O=$abc$19660$n729 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51270$n947 I1=$abc$51270$n948 I2=$abc$51270$n949 I3=$abc$51270$n950_1 O=$abc$51270$n946 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.report[6][3] I2=KEYBOARD.kbd_code_hid[7] I3=KEYBOARD.report[6][7] O=$abc$51270$n947 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.report[6][4] I2=KEYBOARD.report[6][0] I3=KEYBOARD.kbd_code_hid[0] O=$abc$51270$n948 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.report[6][2] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.report[6][5] O=$abc$51270$n949 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.report[6][1] I2=KEYBOARD.kbd_code_hid[6] I3=KEYBOARD.report[6][6] O=$abc$51270$n950_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=$abc$51270$n953 I1=$abc$51270$n1418 I2=$abc$51270$n926 I3=$false O=$abc$51270$n952_1 +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[2] I1=KBD_COLUMNS[2] I2=$abc$19660$n727 I3=$false O=$abc$19660$n1356 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11110100 -.gate SB_LUT4 I0=$abc$51270$n954 I1=$abc$51270$n955 I2=$false I3=$false O=$abc$51270$n953 +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$19660$n555 I1=$abc$19660$n567 I2=$abc$19660$n565 I3=$false O=$abc$19660$n731 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$19660$n1353 I1=$abc$19660$n734 I2=$false I3=$false O=$abc$19660$n732_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[6][4] I1=KEYBOARD.report[6][5] I2=KEYBOARD.report[6][6] I3=KEYBOARD.report[6][7] O=$abc$51270$n954 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[6][0] I1=KEYBOARD.report[6][1] I2=KEYBOARD.report[6][2] I3=KEYBOARD.report[6][3] O=$abc$51270$n955 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$51270$n896_1 I2=$false I3=$false O=$abc$51270$n1320 +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[1] I1=KBD_COLUMNS[1] I2=$abc$19660$n727 I3=$false O=$abc$19660$n1353 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$19660$n555 I1=$abc$19660$n565 I2=$abc$19660$n567 I3=$false O=$abc$19660$n734 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$19660$n1365 I1=$abc$19660$n737 I2=$false I3=$false O=$abc$19660$n735_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51270$n940 I1=$abc$51270$n959 I2=$abc$51270$n958 I3=$false O=$abc$51270$n1325 +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[5] I1=KBD_COLUMNS[5] I2=$abc$19660$n727 I3=$false O=$abc$19660$n1365 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$19660$n565 I1=$abc$19660$n555 I2=$abc$19660$n567 I3=$false O=$abc$19660$n737 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$51270$n936 I1=$abc$51270$n938 I2=$false I3=$false O=$abc$51270$n958 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$51270$n920 I1=$abc$51270$n899 I2=$abc$51270$n960 I3=$abc$51270$n968_1 O=$abc$51270$n959 +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[6] I1=KBD_COLUMNS[6] I2=$abc$19660$n727 I3=$false O=$abc$19660$n1368 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$19660$n741 I1=KEYBOARD.COLS_SHADOW[7] I2=$abc$19660$n727 I3=KBD_COLUMNS[0] O=$abc$19660$n740 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000101100000000 -.gate SB_LUT4 I0=$abc$51270$n1461 I1=$abc$51270$n27 I2=$abc$51270$n937_1 I3=$false O=$abc$51270$n960 +.param LUT_INIT 0000011101110111 +.gate SB_LUT4 I0=$abc$19660$n555 I1=$abc$19660$n565 I2=$abc$19660$n567 I3=$false O=$abc$19660$n741 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$19660$n567 I1=$abc$19660$n565 I2=$abc$19660$n555 I3=$false O=$abc$19660$n742 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.report[4][0] I2=KEYBOARD.kbd_code_hid[2] I3=KEYBOARD.report[4][2] O=$abc$51270$n963 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.report[4][5] I2=KEYBOARD.kbd_code_hid[7] I3=KEYBOARD.report[4][7] O=$abc$51270$n966 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=$abc$51270$n918 I1=$abc$51270$n942 I2=$false I3=$false O=$abc$51270$n968_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$51270$n970 I1=$abc$51270$n899 I2=$abc$51270$n958 I3=$abc$51270$n968_1 O=$abc$51270$n1354 +.gate SB_LUT4 I0=$abc$19660$n565 I1=$abc$19660$n567 I2=$abc$19660$n555 I3=KEYBOARD.COLS_SHADOW[4] O=$abc$19660$n743 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$51270$n971 I1=$abc$51270$n972 I2=$false I3=$false O=$abc$51270$n970 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51270$n911 I1=$abc$51270$n27 I2=$false I3=$false O=$abc$51270$n971 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51270$n977 I1=$abc$51270$n973 I2=$abc$51270$n976 I3=$abc$51270$n1418 O=$abc$51270$n972 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111111100000000 -.gate SB_LUT4 I0=$abc$51270$n974_1 I1=KEYBOARD.kbd_code_hid[4] I2=KEYBOARD.report[3][4] I3=$abc$51270$n975 O=$abc$51270$n973 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100000100000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.report[3][3] I2=$false I3=$false O=$abc$51270$n974_1 +.gate SB_LUT4 I0=KEYBOARD.temp[3] I1=KEYBOARD.RAM.r_data[3] I2=$abc$19660$n727 I3=$false O=$abc$19660$n752_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=$abc$19660$n704 I1=$abc$19660$n719_1 I2=$abc$19660$n716 I3=$false O=$abc$19660$n755_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$19660$n690 I1=KEYBOARD.IS_RAM_INIT I2=$false I3=$false O=$abc$19660$n757_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.report[3][0] I2=KEYBOARD.kbd_code_hid[7] I3=KEYBOARD.report[3][7] O=$abc$51270$n975 +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$19660$n696 I1=KEYBOARD.IS_RAM_INIT I2=KEYBOARD.report_wr_en I3=$false O=$abc$19660$n761 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$abc$19660$n763_1 I1=KEYBOARD.IS_RAM_INIT I2=IS_RAM_INIT I3=I2C.FLT_SCL.RESET O=$abc$19660$n188 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.report[3][5] I2=KEYBOARD.kbd_code_hid[6] I3=KEYBOARD.report[3][6] O=$abc$51270$n976 +.param LUT_INIT 0000111011111111 +.gate SB_LUT4 I0=$abc$19660$n679 I1=$abc$19660$n764 I2=$abc$19660$n727 I3=$false O=$abc$19660$n763_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$19660$n792 I1=$abc$19660$n793 I2=$abc$19660$n797 I3=$abc$19660$n765_1 O=$abc$19660$n764 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.report[3][1] I2=KEYBOARD.kbd_code_hid[2] I3=KEYBOARD.report[3][2] O=$abc$51270$n977 +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$19660$n786 I1=$abc$19660$n787 I2=$abc$19660$n789 I3=$abc$19660$n790 O=$abc$19660$n765_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=$abc$51270$n979 I1=$abc$51270$n936 I2=$abc$51270$n985 I3=$false O=$abc$51270$n1365 +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=IS_RAM_INIT I1=KEYBOARD.IS_RAM_INIT I2=I2C.FLT_SCL.RESET I3=$false O=$abc$19660$n191 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$51270$n980 I1=$abc$51270$n937_1 I2=$abc$51270$n971 I3=$false O=$abc$51270$n979 +.param LUT_INIT 00011111 +.gate SB_LUT4 I0=$abc$19660$n722_1 I1=$abc$19660$n196 I2=$false I3=$false O=$abc$19660$n193 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19660$n46 I2=$abc$19660$n763_1 I3=$false O=$abc$19660$n198 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$51270$n981 I1=$abc$51270$n982 I2=$abc$51270$n983 I3=$abc$51270$n984 O=$abc$51270$n980 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.report[2][3] I2=KEYBOARD.kbd_code_hid[7] I3=KEYBOARD.report[2][7] O=$abc$51270$n981 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.report[2][0] I2=KEYBOARD.kbd_code_hid[6] I3=KEYBOARD.report[2][6] O=$abc$51270$n982 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.report[2][4] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.report[2][5] O=$abc$51270$n983 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.report[2][1] I2=KEYBOARD.kbd_code_hid[2] I3=KEYBOARD.report[2][2] O=$abc$51270$n984 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=$abc$51270$n27 I1=$abc$51270$n900 I2=$abc$51270$n910 I3=$abc$51270$n968_1 O=$abc$51270$n985 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1011111100000000 -.gate SB_LUT4 I0=$abc$51270$n995 I1=$abc$51270$n1495 I2=$abc$51270$n1418 I3=$abc$51270$n968_1 O=$abc$51270$n1374 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001111100000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.report[1][1] I2=KEYBOARD.kbd_code_hid[7] I3=KEYBOARD.report[1][7] O=$abc$51270$n994 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=$abc$51270$n27 I1=$abc$51270$n901 I2=$false I3=$false O=$abc$51270$n995 +.gate SB_LUT4 I0=$abc$19660$n721_1 I1=$abc$19660$n191 I2=$false I3=$false O=$abc$19660$n204 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51270$n902 I1=$abc$51270$n919 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$51270$n1381 +.gate SB_LUT4 I0=$abc$19660$n705 I1=$abc$19660$n209 I2=$abc$19660$n41 I3=$false O=$abc$19660$n207 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10001111 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[8] I1=$abc$51270$n896_1 I2=KEYBOARD.init_ram_cnt[0] I3=I2C.FLT_SCL.RESET O=$abc$51270$n1387 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100000011111111 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[8] I1=$abc$51270$n896_1 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$51270$n1388 +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$19660$n757_1 I1=$abc$19660$n46 I2=$false I3=$false O=$abc$19660$n209 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$19660$n779_1 I1=$abc$19660$n783 I2=$abc$19660$n781_1 I3=$false O=$abc$19660$n228 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01001111 -.gate SB_LUT4 I0=$abc$51270$n896_1 I1=KEYBOARD.init_delay_cnt[0] I2=I2C.FLT_SCL.RESET I3=$false O=$abc$51270$n1393 +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$19660$n10 I1=I2C.FLT_SCL.out I2=$abc$19660$n776_1 I3=$false O=$abc$19660$n775 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01001111 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[8] I1=$abc$51270$n1001 I2=$abc$51270$n1320 I3=$false O=$abc$51270$n1398 +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=$abc$19660$n1436 I1=I2C.is_read I2=$false I3=$false O=$abc$19660$n776_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$19660$n8 I2=I2C.FLT_SCL.out I3=$false O=$abc$19660$n1436 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11010000 -.gate SB_LUT4 I0=$abc$51270$n1618 I1=$abc$51270$n1620 I2=$abc$51270$n723 I3=$abc$51270$n1002 O=$abc$51270$n1001 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$51270$n1617 I1=$abc$51270$n1621 I2=$abc$51270$n1626 I3=$abc$51270$n700 O=$abc$51270$n1002 +.param LUT_INIT 11101111 +.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$19660$n8 I2=I2C.FLT_SCL.out I3=I2C.i2c_state_machine O=$abc$19660$n778_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$51270$n29 I1=$abc$51270$n1418 I2=$false I3=$false O=$abc$51270$n1402 +.param LUT_INIT 0111111100010000 +.gate SB_LUT4 I0=$abc$19660$n660 I1=$abc$19660$n780 I2=$false I3=$false O=$abc$19660$n779_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51270$n1001 I1=$abc$51270$n1418 I2=$false I3=$false O=$abc$51270$n1404 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51270$n1495 I1=$abc$51270$n1006 I2=$abc$51270$n972 I3=$abc$51270$n1257 O=$abc$51270$n1415 +.gate SB_LUT4 I0=I2C.i2c_bit_counter[0] I1=I2C.i2c_bit_counter[1] I2=$abc$19660$n1436 I3=$false O=$abc$19660$n780 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01100000 +.gate SB_LUT4 I0=$abc$19660$n776_1 I1=$abc$19660$n782_1 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$19660$n781_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$19660$n212 I1=I2C.FLT_SCL.out I2=$abc$19660$n10 I3=$abc$19660$n778_1 O=$abc$19660$n782_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111111100000000 -.gate SB_LUT4 I0=$abc$51270$n1461 I1=$abc$51270$n930 I2=$abc$51270$n946 I3=$abc$51270$n980 O=$abc$51270$n1006 +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$19660$n635 I1=$abc$19660$n661 I2=$abc$19660$n667 I3=$abc$19660$n1603 O=$abc$19660$n783 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[8] I1=$abc$51270$n29 I2=$abc$51270$n1320 I3=$false O=$abc$51270$n1417 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=I2C_HID_DESC.last_rd_request I1=I2C.wr I2=I2C.FLT_SCL.RESET I3=$false O=$abc$51270$n1420 +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$19660$n785 I1=$abc$19660$n783 I2=$abc$19660$n781_1 I3=$false O=$abc$19660$n235 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01001111 -.gate SB_LUT4 I0=$abc$51270$n2334 I1=$abc$51270$n1012 I2=$false I3=$false O=$abc$51270$n1429 +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$19660$n780 I1=$abc$19660$n660 I2=$false I3=$false O=$abc$19660$n785 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51270$n1011 I1=$abc$51270$n1632 I2=$false I3=$false O=$abc$51270$n2334 +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$19660$n787_1 I1=$abc$19660$n783 I2=$abc$19660$n781_1 I3=$false O=$abc$19660$n244 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$19660$n780 I1=$abc$19660$n660 I2=$false I3=$false O=$abc$19660$n787_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=I2C.FLT_SDA.counter[2] I3=$false O=$abc$51270$n1011 +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$19660$n789_1 I1=$abc$19660$n783 I2=$abc$19660$n781_1 I3=$false O=$abc$19660$n254 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=$abc$51270$n2333 I1=$abc$51270$n1629 I2=I2C.FLT_SDA.out I3=I2C.SDAF O=$abc$51270$n1012 +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$19660$n780 I1=$abc$19660$n660 I2=$false I3=$false O=$abc$19660$n789_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$19660$n779_1 I1=$abc$19660$n791 I2=$abc$19660$n781_1 I3=$false O=$abc$19660$n263 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$19660$n635 I1=$abc$19660$n667 I2=$abc$19660$n661 I3=$abc$19660$n1603 O=$abc$19660$n791 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000100010000 -.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=$false I3=$false O=$abc$51270$n2333 +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$19660$n785 I1=$abc$19660$n791 I2=$abc$19660$n781_1 I3=$false O=$abc$19660$n271 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$19660$n787_1 I1=$abc$19660$n791 I2=$abc$19660$n781_1 I3=$false O=$abc$19660$n280 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$19660$n789_1 I1=$abc$19660$n791 I2=$abc$19660$n781_1 I3=$false O=$abc$19660$n288 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=I2C.i2c_start_latency I1=I2C.i2c_state_machine I2=$abc$19660$n1436 I3=I2C.FLT_SCL.RESET O=$abc$19660$n293 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100111100000000 +.gate SB_LUT4 I0=$abc$19660$n797_1 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$19660$n301 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1001 -.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=I2C.SDAF I2=$abc$51270$n1011 I3=$false O=$abc$51270$n1430 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=UART.TX_sig_last I1=UART_WR I2=UART.tx_activity I3=$false O=$abc$19660$n797_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01101111 -.gate SB_LUT4 I0=$abc$51270$n2335 I1=$abc$51270$n1017 I2=$abc$51270$n2336 I3=$abc$51270$n1636 O=$abc$51270$n1439 +.param LUT_INIT 00001011 +.gate SB_LUT4 I0=UART.tx_activity I1=$abc$19660$n799 I2=$abc$19660$n801 I3=$abc$19660$n301 O=$abc$19660$n308 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$abc$51270$n1633 O=$abc$51270$n2335 +.param LUT_INIT 0000110100000000 +.gate SB_LUT4 I0=$abc$19660$n1550 I1=$abc$19660$n819 I2=$abc$19660$n822 I3=$abc$19660$n823 O=$abc$19660$n799 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111111100000001 -.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.SCLF I2=$false I3=$false O=$abc$51270$n1017 +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=UART.tx_clk_counter[1] I1=UART.tx_clk_counter[0] I2=$false I3=$false O=$abc$19660$n1550 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1001 -.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=$false I3=$false O=$abc$51270$n2336 +.gate SB_LUT4 I0=UART.tx_bit_counter[3] I1=$abc$19660$n802_1 I2=$false I3=$false O=$abc$19660$n801 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1001 -.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$abc$51270$n1017 O=$abc$51270$n1440 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=UART.tx_bit_counter[2] I3=UART.tx_activity O=$abc$19660$n802_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111111011111111 -.gate SB_LUT4 I0=$abc$51270$n2006 I1=I2C.byte_counter[0] I2=$false I3=$false O=$abc$51270$n1493 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51270$n2006 I1=I2C.byte_counter[1] I2=$false I3=$false O=$abc$51270$n1494 +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$19660$n1555 I1=$abc$19660$n828 I2=$abc$19660$n806_1 I3=$false O=$abc$19660$n318 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$19660$n805_1 I1=$abc$19660$n825 I2=$false I3=$false O=$abc$19660$n1555 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51270$n2006 I1=I2C.byte_counter[2] I2=$false I3=$false O=$abc$51270$n1496 +.param LUT_INIT 1110 +.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=$abc$19660$n721 I3=$false O=$abc$19660$n805_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$abc$19660$n1556 I1=I2C.FLT_SDA.out I2=I2C.SDAF I3=$false O=$abc$19660$n806_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010100 +.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=$false I3=$false O=$abc$19660$n1556 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51270$n2006 I1=I2C.byte_counter[3] I2=$false I3=$false O=$abc$51270$n1497 +.param LUT_INIT 1001 +.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=I2C.SDAF I2=$abc$19660$n805_1 I3=$false O=$abc$19660$n319 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01101111 +.gate SB_LUT4 I0=$abc$19660$n1558 I1=$abc$19660$n812 I2=$false I3=$false O=$abc$19660$n329 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51270$n2006 I1=I2C.byte_counter[4] I2=$false I3=$false O=$abc$51270$n1499 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$19660$n811 I1=$abc$19660$n829 I2=$false I3=$false O=$abc$19660$n1558 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51270$n2006 I1=I2C.byte_counter[5] I2=$false I3=$false O=$abc$51270$n1500 +.param LUT_INIT 1110 +.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$false O=$abc$19660$n811 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$abc$19660$n1559 I1=$abc$19660$n832 I2=I2C.FLT_SCL.out I3=I2C.SCLF O=$abc$19660$n812 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000100010000 +.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=$false I3=$false O=$abc$19660$n1559 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51270$n2006 I1=I2C.byte_counter[6] I2=$false I3=$false O=$abc$51270$n1502 +.param LUT_INIT 1001 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.SCLF I2=$abc$19660$n811 I3=$false O=$abc$19660$n330 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01101111 +.gate SB_LUT4 I0=$abc$19660$n674 I1=$abc$19660$n523 I2=$false I3=$false O=$22\i2c_input_data_type[3:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51270$n2006 I1=I2C.byte_counter[7] I2=$false I3=$false O=$abc$51270$n1503 +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$19660$n652 I1=$abc$19660$n651 I2=$abc$19660$n653 I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11110100 +.gate SB_LUT4 I0=$abc$19660$n1130 I1=$abc$19660$n1083 I2=$false I3=$false O=$abc$19660$n895 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51270$n687 I1=$13\int_tmr[14:0][0] I2=$false I3=$false O=$10\int_tmr[14:0][0] +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$19660$n643 I1=int_tmr[0] I2=$false I3=$false O=$3\int_tmr[14:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51270$n687 I1=int_tmr[1] I2=$false I3=$false O=$10\int_tmr[14:0][1] +.gate SB_LUT4 I0=$abc$19660$n913 I1=int_tmr[0] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$19660$n643 I1=int_tmr[1] I2=$false I3=$false O=$3\int_tmr[14:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$51270$n687 I1=$13\int_tmr[14:0][2] I2=$false I3=$false O=$10\int_tmr[14:0][2] +.param LUT_INIT 0100 +.gate SB_LUT4 I0=int_tmr[14] I1=int_tmr[0] I2=$abc$19660$n643 I3=int_tmr[1] O=$2\int_tmr[14:0][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000101100000100 +.gate SB_LUT4 I0=$abc$19660$n643 I1=int_tmr[2] I2=$false I3=$false O=$3\int_tmr[14:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51270$n687 I1=$13\int_tmr[14:0][3] I2=$false I3=$false O=$10\int_tmr[14:0][3] +.gate SB_LUT4 I0=$abc$19660$n920 I1=int_tmr[2] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$19660$n643 I1=int_tmr[3] I2=$false I3=$false O=$3\int_tmr[14:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51270$n687 I1=$13\int_tmr[14:0][4] I2=$false I3=$false O=$10\int_tmr[14:0][4] +.gate SB_LUT4 I0=$abc$19660$n923 I1=int_tmr[3] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$19660$n643 I1=int_tmr[4] I2=$false I3=$false O=$3\int_tmr[14:0][4] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51270$n687 I1=$13\int_tmr[14:0][5] I2=$false I3=$false O=$10\int_tmr[14:0][5] +.gate SB_LUT4 I0=$abc$19660$n926 I1=int_tmr[4] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][4] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$19660$n643 I1=int_tmr[5] I2=$false I3=$false O=$3\int_tmr[14:0][5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51270$n687 I1=$13\int_tmr[14:0][6] I2=$false I3=$false O=$10\int_tmr[14:0][6] +.gate SB_LUT4 I0=$abc$19660$n929 I1=int_tmr[5] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][5] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$19660$n643 I1=int_tmr[6] I2=$false I3=$false O=$3\int_tmr[14:0][6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51270$n687 I1=$13\int_tmr[14:0][7] I2=$false I3=$false O=$10\int_tmr[14:0][7] +.gate SB_LUT4 I0=$abc$19660$n932 I1=int_tmr[6] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][6] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$19660$n643 I1=int_tmr[7] I2=$false I3=$false O=$3\int_tmr[14:0][7] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51270$n687 I1=$13\int_tmr[14:0][8] I2=$false I3=$false O=$10\int_tmr[14:0][8] +.gate SB_LUT4 I0=$abc$19660$n935 I1=int_tmr[7] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][7] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$19660$n643 I1=int_tmr[8] I2=$false I3=$false O=$3\int_tmr[14:0][8] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51270$n687 I1=$13\int_tmr[14:0][9] I2=$false I3=$false O=$10\int_tmr[14:0][9] +.gate SB_LUT4 I0=$abc$19660$n938 I1=int_tmr[8] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][8] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$19660$n643 I1=int_tmr[9] I2=$false I3=$false O=$3\int_tmr[14:0][9] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51270$n687 I1=$13\int_tmr[14:0][10] I2=$false I3=$false O=$10\int_tmr[14:0][10] +.gate SB_LUT4 I0=$abc$19660$n941 I1=int_tmr[9] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][9] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$19660$n643 I1=int_tmr[10] I2=$false I3=$false O=$3\int_tmr[14:0][10] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51270$n687 I1=$13\int_tmr[14:0][11] I2=$false I3=$false O=$10\int_tmr[14:0][11] +.gate SB_LUT4 I0=$abc$19660$n944 I1=int_tmr[10] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][10] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$19660$n643 I1=int_tmr[11] I2=$false I3=$false O=$3\int_tmr[14:0][11] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51270$n687 I1=$13\int_tmr[14:0][12] I2=$false I3=$false O=$10\int_tmr[14:0][12] +.gate SB_LUT4 I0=$abc$19660$n947 I1=int_tmr[11] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][11] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$19660$n643 I1=int_tmr[12] I2=$false I3=$false O=$3\int_tmr[14:0][12] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51270$n687 I1=$13\int_tmr[14:0][13] I2=$false I3=$false O=$10\int_tmr[14:0][13] +.gate SB_LUT4 I0=$abc$19660$n950 I1=int_tmr[12] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][12] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$19660$n643 I1=int_tmr[13] I2=$false I3=$false O=$3\int_tmr[14:0][13] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51270$n687 I1=$13\int_tmr[14:0][14] I2=$false I3=$false O=$10\int_tmr[14:0][14] +.gate SB_LUT4 I0=$abc$19660$n953 I1=int_tmr[13] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][13] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$3\int_tmr[14:0][14] I1=$abc$19660$n956 I2=$false I3=$false O=$2\int_tmr[14:0][14] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=$abc$19660$n522 I1=$abc$19660$n652 I2=$false I3=$false O=$2\I2C_OUT_DESC_MASK[7:0][7] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$22\i2c_input_data_type[3:0][0] I1=$abc$19660$n651 I2=$false I3=$false O=$abc$19660$n849 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C.is_read I1=i2c_input_data_type[1] I2=$abc$19660$n852 I3=$false O=$20\i2c_input_data_type[3:0][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11111000 +.gate SB_LUT4 I0=$abc$19660$n644 I1=$abc$19660$n674 I2=$abc$19660$n523 I3=$false O=$abc$19660$n852 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=$abc$19660$n1677 I1=$abc$19660$n651 I2=$22\i2c_input_data_type[3:0][2] I3=$false O=$20\i2c_input_data_type[3:0][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11110001 +.gate SB_LUT4 I0=$abc$19660$n674 I1=$abc$19660$n651 I2=$abc$19660$n520 I3=$false O=$20\i2c_input_data_type[3:0][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=$abc$19660$n52 I1=$abc$19660$n581 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$51270$n1044 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][0] +.gate SB_LUT4 I0=$abc$19660$n1671 I1=$abc$19660$n52 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$19660$n1672 I1=$abc$19660$n52 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$19660$n1673 I1=$abc$19660$n52 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$19660$n1674 I1=$abc$19660$n52 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][4] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$19660$n1675 I1=$abc$19660$n52 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][5] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$19660$n1676 I1=$abc$19660$n52 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][6] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=I2C_TRANS I1=$abc$19660$n557 I2=last_trans I3=$false O=$2\UART_WR[0:0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00101011 +.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=$abc$19660$n522 I2=$abc$19660$n644 I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$19660$n867 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=REPORT_DATA.r_data[0] I1=I2C_HID_DESC.VAL[0] I2=I2C_OUT_DESC_MASK[0] I3=$false O=$abc$51270$n1044 +.gate SB_LUT4 I0=REPORT_DATA.r_data[0] I1=I2C_HID_DESC.VAL[0] I2=I2C_OUT_DESC_MASK[0] I3=$false O=$abc$19660$n867 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 -.gate SB_LUT4 I0=I2C.received_byte[1] I1=$abc$51270$n1046_1 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][1] +.gate SB_LUT4 I0=I2C.received_byte[1] I1=$abc$19660$n869 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=REPORT_DATA.r_data[1] I1=I2C_HID_DESC.VAL[1] I2=I2C_OUT_DESC_MASK[1] I3=$false O=$abc$51270$n1046_1 +.gate SB_LUT4 I0=REPORT_DATA.r_data[1] I1=I2C_HID_DESC.VAL[1] I2=I2C_OUT_DESC_MASK[1] I3=$false O=$abc$19660$n869 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 -.gate SB_LUT4 I0=I2C.received_byte[2] I1=$abc$51270$n1048 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][2] +.gate SB_LUT4 I0=I2C.received_byte[2] I1=$abc$19660$n871 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=REPORT_DATA.r_data[2] I1=I2C_HID_DESC.VAL[2] I2=I2C_OUT_DESC_MASK[2] I3=$false O=$abc$51270$n1048 +.gate SB_LUT4 I0=REPORT_DATA.r_data[2] I1=I2C_HID_DESC.VAL[2] I2=I2C_OUT_DESC_MASK[2] I3=$false O=$abc$19660$n871 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 -.gate SB_LUT4 I0=I2C.received_byte[3] I1=$abc$51270$n1050 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][3] +.gate SB_LUT4 I0=I2C.received_byte[3] I1=$abc$19660$n873 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=REPORT_DATA.r_data[3] I1=I2C_TX_DESC[3] I2=I2C_OUT_DESC_MASK[3] I3=$false O=$abc$51270$n1050 +.gate SB_LUT4 I0=REPORT_DATA.r_data[3] I1=I2C_HID_DESC.VAL[3] I2=I2C_OUT_DESC_MASK[3] I3=$false O=$abc$19660$n873 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 -.gate SB_LUT4 I0=I2C.received_byte[4] I1=$abc$51270$n1052 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][4] +.gate SB_LUT4 I0=I2C.received_byte[4] I1=$abc$19660$n875_1 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][4] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=REPORT_DATA.r_data[4] I1=I2C_TX_DESC[4] I2=I2C_OUT_DESC_MASK[4] I3=$false O=$abc$51270$n1052 +.gate SB_LUT4 I0=REPORT_DATA.r_data[4] I1=I2C_HID_DESC.VAL[4] I2=I2C_OUT_DESC_MASK[4] I3=$false O=$abc$19660$n875_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 -.gate SB_LUT4 I0=I2C.received_byte[5] I1=$abc$51270$n1054 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][5] +.gate SB_LUT4 I0=I2C.received_byte[5] I1=$abc$19660$n877 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=REPORT_DATA.r_data[5] I1=I2C_TX_DESC[5] I2=I2C_OUT_DESC_MASK[5] I3=$false O=$abc$51270$n1054 +.gate SB_LUT4 I0=REPORT_DATA.r_data[5] I1=I2C_HID_DESC.VAL[5] I2=I2C_OUT_DESC_MASK[5] I3=$false O=$abc$19660$n877 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 -.gate SB_LUT4 I0=I2C.received_byte[6] I1=$abc$51270$n1056 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][6] +.gate SB_LUT4 I0=I2C.received_byte[6] I1=$abc$19660$n879 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=REPORT_DATA.r_data[6] I1=I2C_TX_DESC[6] I2=I2C_OUT_DESC_MASK[6] I3=$false O=$abc$51270$n1056 +.gate SB_LUT4 I0=REPORT_DATA.r_data[6] I1=I2C_HID_DESC.VAL[6] I2=I2C_OUT_DESC_MASK[6] I3=$false O=$abc$19660$n879 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 -.gate SB_LUT4 I0=I2C.received_byte[7] I1=$abc$51270$n1058 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][7] +.gate SB_LUT4 I0=I2C.received_byte[7] I1=$abc$19660$n881 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][7] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=REPORT_DATA.r_data[7] I1=I2C_TX_DESC[7] I2=I2C_OUT_DESC_MASK[7] I3=$false O=$abc$51270$n1058 +.gate SB_LUT4 I0=REPORT_DATA.r_data[7] I1=I2C_HID_DESC.VAL[7] I2=I2C_OUT_DESC_MASK[7] I3=$false O=$abc$19660$n881 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 -.gate SB_LUT4 I0=$abc$51270$n1775 I1=ring_rd[0] I2=$abc$51270$n740 I3=$false O=$10\ring_rd[3:0][0] +.gate SB_LUT4 I0=temp_output_report[0] I1=I2C.received_byte[0] I2=$abc$19660$n1094 I3=$false O=$0\temp_output_report[2:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$51270$n740 I1=ring_rd[0] I2=ring_rd[1] I3=$false O=$10\ring_rd[3:0][1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10110100 -.gate SB_LUT4 I0=$abc$51270$n1781 I1=ring_rd[2] I2=$abc$51270$n740 I3=$false O=$10\ring_rd[3:0][2] +.gate SB_LUT4 I0=temp_output_report[1] I1=I2C.received_byte[1] I2=$abc$19660$n1094 I3=$false O=$0\temp_output_report[2:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$51270$n1784 I1=ring_rd[3] I2=$abc$51270$n740 I3=$false O=$10\ring_rd[3:0][3] +.gate SB_LUT4 I0=temp_output_report[2] I1=I2C.received_byte[2] I2=$abc$19660$n1094 I3=$false O=$0\temp_output_report[2:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=report_data_radr[1] I1=$10\ring_rd[3:0][0] I2=$abc$51270$n21 I3=$false O=$0\report_data_radr[7:0][1] +.gate SB_LUT4 I0=$abc$19660$n622 I1=ring_rd[0] I2=ring_rd[1] I3=$false O=$2\ring_rd[3:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11000101 -.gate SB_LUT4 I0=$abc$51270$n1788 I1=$10\ring_rd[3:0][1] I2=$abc$51270$n21 I3=$false O=$0\report_data_radr[7:0][2] +.param LUT_INIT 01111000 +.gate SB_LUT4 I0=report_wr_en I1=$abc$19660$n891 I2=$abc$19660$n662 I3=IS_RAM_INIT O=$2\report_wr_en[0:0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1101000011001100 +.gate SB_LUT4 I0=IS_RAM_INIT I1=KEYBOARD.isr I2=last_isr I3=$false O=$abc$19660$n891 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$51270$n1791 I1=$10\ring_rd[3:0][0] I2=$10\ring_rd[3:0][2] I3=$abc$51270$n21 O=$0\report_data_radr[7:0][3] +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=report_wr_en I1=$abc$19660$n662 I2=IS_RAM_INIT I3=$false O=$2\IS_RAM_INIT[0:0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$19660$n661_1 I2=$abc$19660$n732 I3=$abc$19660$n891 O=$2\wr_cnt[3:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0011110010101010 -.gate SB_LUT4 I0=$abc$51270$n1794 I1=$abc$51270$n2413 I2=$abc$51270$n2411 I3=$abc$51270$n21 O=$0\report_data_radr[7:0][4] +.param LUT_INIT 1111111110110000 +.gate SB_LUT4 I0=$abc$19660$n891 I1=wr_cnt[0] I2=wr_cnt[1] I3=$false O=$2\wr_cnt[3:0][1] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010100 +.gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$19660$n661_1 I2=$abc$19660$n891 I3=$abc$19660$n735 O=$2\wr_cnt[3:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0011110010101010 -.gate SB_LUT4 I0=$10\ring_rd[3:0][0] I1=$10\ring_rd[3:0][2] I2=$false I3=$false O=$abc$51270$n2413 +.param LUT_INIT 0000101100000000 +.gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$19660$n661_1 I2=$abc$19660$n891 I3=$abc$19660$n736 O=$2\wr_cnt[3:0][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000101100000000 +.gate SB_LUT4 I0=$abc$19660$n898 I1=$abc$19660$n1245 I2=$false I3=$false O=$0\rststate[3:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$10\ring_rd[3:0][1] I1=$10\ring_rd[3:0][3] I2=$false I3=$false O=$abc$51270$n2411 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$19660$n672 I1=$abc$19660$n849 I2=$abc$19660$n523 I3=$abc$19660$n46 O=$abc$19660$n898 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$19660$n898 I1=$abc$19660$n1247 I2=$false I3=$false O=$0\rststate[3:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=$abc$51270$n1797 I1=$abc$51270$n1796 I2=$abc$51270$n21 I3=$false O=$0\report_data_radr[7:0][5] +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$19660$n898 I1=$abc$19660$n1249 I2=$false I3=$false O=$0\rststate[3:0][2] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$19660$n898 I1=$abc$19660$n1251 I2=$false I3=$false O=$0\rststate[3:0][3] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$19660$n1285 I1=$abc$19660$n614 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$19660$n1286 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$51270$n1800 I1=$abc$51270$n1799 I2=$abc$51270$n21 I3=$false O=$0\report_data_radr[7:0][6] +.gate SB_LUT4 I0=KEYBOARD.row_counter[1] I1=KEYBOARD.row_counter[0] I2=$false I3=$false O=$abc$19660$n1287 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0110 +.gate SB_LUT4 I0=$abc$19660$n1287 I1=KEYBOARD.ram_adr[1] I2=KEYBOARD.ram_adr[0] I3=KEYBOARD.IS_RAM_INIT O=$abc$19660$n1289 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0011110010101010 +.gate SB_LUT4 I0=$abc$19660$n1290 I1=$abc$19660$n617 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$19660$n1291 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$51270$n1803 I1=$abc$51270$n1802 I2=$abc$51270$n21 I3=$false O=$0\report_data_radr[7:0][7] +.gate SB_LUT4 I0=$abc$19660$n618 I1=$abc$19660$n16 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$19660$n1292 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$2\uart_double_ff[0:0] I1=$abc$51270$n847 I2=$abc$51270$n1073 I3=$abc$51270$n739 O=$0\i2c_input_data_type[3:0][0] +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19660$n620 I2=$false I3=$false O=$abc$19660$n1293 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19660$n621 I2=$false I3=$false O=$abc$19660$n1294 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19660$n623 I2=$false I3=$false O=$abc$19660$n1295 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19660$n1296 I2=$false I3=$false O=$abc$19660$n1297 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19660$n629 I2=$false I3=$false O=$abc$19660$n1298 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$19660$n802 I1=$abc$19660$n690 I2=$abc$19660$n913_1 I3=KEYBOARD.IS_RAM_INIT O=$abc$19660$n1300 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000111101000100 -.gate SB_LUT4 I0=I2C.received_byte[4] I1=$abc$51270$n1076 I2=$abc$51270$n1074 I3=I2C.received_byte[0] O=$abc$51270$n1073 +.param LUT_INIT 0000101000000011 +.gate SB_LUT4 I0=KEYBOARD.isr_internal I1=wr_cnt[0] I2=$abc$19660$n914_1 I3=$abc$19660$n802 O=$abc$19660$n913_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000101110111011 -.gate SB_LUT4 I0=$abc$51270$n1075 I1=$abc$51270$n21 I2=$abc$51270$n2421 I3=$false O=$abc$51270$n1074 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$51270$n823 I1=$abc$51270$n822 I2=I2C.received_byte[0] I3=$abc$51270$n2428 O=$abc$51270$n1075 +.param LUT_INIT 1011000010111011 +.gate SB_LUT4 I0=KEYBOARD.report_adress_rd[3] I1=$abc$19660$n701 I2=KEYBOARD.isr_internal I3=KEYBOARD.IS_RAM_INIT O=$abc$19660$n914_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111111100000000 -.gate SB_LUT4 I0=I2C.received_byte[7] I1=I2C.received_byte[6] I2=$abc$51270$n1077 I3=$abc$51270$n821 O=$abc$51270$n1076 +.param LUT_INIT 0000000001001111 +.gate SB_LUT4 I0=$abc$19660$n916_1 I1=$abc$19660$n914_1 I2=KEYBOARD.report_adress_rd[0] I3=KEYBOARD.report_adress_rd[1] O=$abc$19660$n1302 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$abc$51270$n809 I3=$false O=$abc$51270$n1077 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$2\uart_double_ff[0:0] I1=$abc$51270$n1079 I2=$abc$51270$n1080 I3=$abc$51270$n739 O=$0\i2c_input_data_type[3:0][1] +.param LUT_INIT 1010101110111010 +.gate SB_LUT4 I0=KEYBOARD.isr_internal I1=wr_cnt[1] I2=$abc$19660$n690 I3=KEYBOARD.IS_RAM_INIT O=$abc$19660$n916_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000111101000100 -.gate SB_LUT4 I0=$abc$51270$n848 I1=i2c_input_data_type[1] I2=$false I3=$false O=$abc$51270$n1079 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.received_byte[1] I1=$abc$51270$n1074 I2=$abc$51270$n1081 I3=$false O=$abc$51270$n1080 +.param LUT_INIT 0000000011110100 +.gate SB_LUT4 I0=$abc$19660$n805 I1=$abc$19660$n914_1 I2=$abc$19660$n918_1 I3=$false O=$abc$19660$n1304 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00000111 -.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[5] I2=$abc$51270$n1076 I3=$false O=$abc$51270$n1081 +.param LUT_INIT 00001110 +.gate SB_LUT4 I0=KEYBOARD.isr_internal I1=wr_cnt[2] I2=$abc$19660$n690 I3=KEYBOARD.IS_RAM_INIT O=$abc$19660$n918_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000011110001 +.gate SB_LUT4 I0=$abc$19660$n806 I1=$abc$19660$n690 I2=$abc$19660$n920_1 I3=KEYBOARD.IS_RAM_INIT O=$abc$19660$n1306 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000101000000011 +.gate SB_LUT4 I0=KEYBOARD.isr_internal I1=wr_cnt[3] I2=$abc$19660$n914_1 I3=$abc$19660$n806 O=$abc$19660$n920_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1011000010111011 +.gate SB_LUT4 I0=KEYBOARD.report_adress_rd[0] I1=KEYBOARD.report_adress_rd[1] I2=$abc$19660$n926_1 I3=$false O=$abc$19660$n925_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01100000 -.gate SB_LUT4 I0=$2\uart_double_ff[0:0] I1=$abc$51270$n860 I2=$abc$51270$n1083 I3=$abc$51270$n739 O=$0\i2c_input_data_type[3:0][2] +.param LUT_INIT 10010000 +.gate SB_LUT4 I0=$abc$19660$n802 I1=$abc$19660$n805 I2=$abc$19660$n806 I3=KEYBOARD.IS_RAM_INIT O=$abc$19660$n926_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19660$n928_1 I2=$abc$19660$n930_1 I3=$abc$19660$n925_1 O=$abc$19660$n1310 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000111101000100 -.gate SB_LUT4 I0=$abc$51270$n1081 I1=$abc$51270$n1073 I2=I2C.received_byte[2] I3=$abc$51270$n1074 O=$abc$51270$n1083 +.param LUT_INIT 1111111100000001 +.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.kbd_code_hid[3] I2=$abc$19660$n929_1 I3=$abc$19660$n699 O=$abc$19660$n928_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000111101000100 -.gate SB_LUT4 I0=I2C.received_byte[3] I1=$abc$51270$n1074 I2=$abc$51270$n739 I3=$abc$51270$n1086 O=$0\i2c_input_data_type[3:0][3] +.param LUT_INIT 0111000001110111 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=KEYBOARD.REPORT.r_data[3] O=$abc$19660$n929_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111111110000000 -.gate SB_LUT4 I0=$2\uart_double_ff[0:0] I1=$abc$51270$n739 I2=$abc$51270$n848 I3=i2c_input_data_type[3] O=$abc$51270$n1086 +.param LUT_INIT 0000000010111111 +.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.kbd_code_hid[1] I3=KEYBOARD.kbd_code_hid[0] O=$abc$19660$n930_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$51270$n741 I1=$abc$51270$n1088 I2=ring_wr[0] I3=$abc$51270$n1865 O=$2\ring_wr[3:0][0] +.gate SB_LUT4 I0=$abc$19660$n932_1 I1=KEYBOARD.IS_RAM_INIT I2=$false I3=$false O=$abc$19660$n1311 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KEYBOARD.ram_adr[1] I1=KEYBOARD.ram_adr[0] I2=$abc$19660$n933_1 I3=$abc$19660$n934_1 O=$abc$19660$n932_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111011101000000 -.gate SB_LUT4 I0=$abc$51270$n1454 I1=ring_rd[3] I2=$abc$51270$n1874 I3=$abc$51270$n1089 O=$abc$51270$n1088 +.param LUT_INIT 0110000000000000 +.gate SB_LUT4 I0=$abc$19660$n621 I1=$abc$19660$n623 I2=$abc$19660$n629 I3=$abc$19660$n1296 O=$abc$19660$n933_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100000100000000 -.gate SB_LUT4 I0=ring_rd[0] I1=$abc$51270$n1865 I2=ring_rd[2] I3=$abc$51270$n1871 O=$abc$51270$n1089 +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$19660$n614 I1=$abc$19660$n617 I2=$abc$19660$n618 I3=$abc$19660$n620 O=$abc$19660$n934_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=$abc$51270$n1091 I1=ring_wr[0] I2=ring_wr[1] I3=$false O=$2\ring_wr[3:0][1] +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=$abc$19660$n707 I2=$abc$19660$n701 I3=$false O=$abc$19660$n937_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10110100 -.gate SB_LUT4 I0=$abc$51270$n741 I1=ring_wr[0] I2=$abc$51270$n1088 I3=$false O=$abc$51270$n1091 +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=$abc$19660$n940_1 I1=KEYBOARD.report_wr_en I2=$abc$19660$n695 I3=$abc$19660$n690 O=$abc$19660$n1317 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111100010000 +.gate SB_LUT4 I0=KEYBOARD.report_adress_rd[3] I1=$abc$19660$n701 I2=$abc$19660$n937_1 I3=$abc$19660$n699 O=$abc$19660$n940_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000001011 +.gate SB_LUT4 I0=$abc$19660$n763_1 I1=KEYBOARD.ram_wr I2=$abc$19660$n690 I3=$abc$19660$n741 O=$abc$19660$n1323 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111010001000100 +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[4] I1=KBD_COLUMNS[4] I2=$abc$19660$n727 I3=$false O=$abc$19660$n1362 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01100000 -.gate SB_LUT4 I0=$abc$51270$n1871 I1=ring_wr[2] I2=$abc$51270$n1091 I3=$false O=$2\ring_wr[3:0][2] +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[7] I1=KBD_COLUMNS[7] I2=$abc$19660$n727 I3=$false O=$abc$19660$n1371 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$51270$n1874 I1=ring_wr[3] I2=$abc$51270$n1091 I3=$false O=$2\ring_wr[3:0][3] +.gate SB_LUT4 I0=KEYBOARD.temp[0] I1=KBD_COLUMNS[0] I2=$abc$19660$n727 I3=$false O=$abc$19660$n1373 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$51270$n1100 I1=$abc$51270$n1095 I2=$abc$51270$n1098 I3=$abc$51270$n1102 O=$2\I2C_OUT_DESC_MASK[7:0][7] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001010000000000 -.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[0] I1=$abc$51270$n860 I2=$abc$51270$n847 I3=$abc$51270$n1096 O=$abc$51270$n1095 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100001101010101 -.gate SB_LUT4 I0=i2c_input_data_type[0] I1=i2c_input_data_type[1] I2=i2c_input_data_type[3] I3=$abc$51270$n848 O=$abc$51270$n1096 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000111000000000 -.gate SB_LUT4 I0=$abc$51270$n1079 I1=$abc$51270$n1099 I2=$abc$51270$n860 I3=$abc$51270$n1096 O=$abc$51270$n1098 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100010111001100 -.gate SB_LUT4 I0=$abc$51270$n829 I1=$abc$51270$n848 I2=I2C_OUTPUT_TYPE[1] I3=$false O=$abc$51270$n1099 +.gate SB_LUT4 I0=$abc$19660$n727 I1=KEYBOARD.RAM.r_data[4] I2=$abc$19660$n947_1 I3=$false O=$abc$19660$n1374 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00000111 -.gate SB_LUT4 I0=i2c_input_data_type[0] I1=$abc$51270$n860 I2=$abc$51270$n1096 I3=I2C_OUTPUT_TYPE[2] O=$abc$51270$n1100 +.param LUT_INIT 10001111 +.gate SB_LUT4 I0=$abc$19660$n565 I1=$abc$19660$n567 I2=KEYBOARD.temp[4] I3=$abc$19660$n743 O=$abc$19660$n947_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000111100000000 -.gate SB_LUT4 I0=$abc$51270$n690 I1=I2C.is_read I2=$false I3=$false O=$abc$51270$n1102 +.param LUT_INIT 0000000000011111 +.gate SB_LUT4 I0=$abc$19660$n729 I1=$abc$19660$n949_1 I2=$false I3=$false O=$abc$19660$n1375 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$51270$n1095 I1=$abc$51270$n1102 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0111 -.gate SB_LUT4 I0=$abc$51270$n1098 I1=$abc$51270$n1102 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0111 -.gate SB_LUT4 I0=$abc$51270$n1100 I1=$abc$51270$n1102 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][2] +.param LUT_INIT 1110 +.gate SB_LUT4 I0=KEYBOARD.temp[2] I1=KEYBOARD.RAM.r_data[2] I2=$abc$19660$n731 I3=$abc$19660$n727 O=$abc$19660$n949_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000110000001010 +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[7] I1=$abc$19660$n951_1 I2=$abc$19660$n741 I3=$false O=$abc$19660$n1376 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10100011 +.gate SB_LUT4 I0=KEYBOARD.temp[7] I1=KEYBOARD.RAM.r_data[7] I2=$abc$19660$n727 I3=$false O=$abc$19660$n951_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=$abc$19660$n732_1 I1=$abc$19660$n953_1 I2=$false I3=$false O=$abc$19660$n1377 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51270$n796_1 I1=$abc$51270$n1857 I2=$abc$51270$n690 I3=$false O=$2\wr_cnt[3:0][0] +.param LUT_INIT 1110 +.gate SB_LUT4 I0=KEYBOARD.temp[1] I1=KEYBOARD.RAM.r_data[1] I2=$abc$19660$n734 I3=$abc$19660$n727 O=$abc$19660$n953_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000110000001010 +.gate SB_LUT4 I0=$abc$19660$n752_1 I1=$abc$19660$n1359 I2=$abc$19660$n728 I3=$false O=$abc$19660$n1378 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11110100 -.gate SB_LUT4 I0=$abc$51270$n690 I1=$abc$51270$n796_1 I2=wr_cnt[0] I3=wr_cnt[1] O=$2\wr_cnt[3:0][1] +.param LUT_INIT 11000101 +.gate SB_LUT4 I0=$abc$19660$n735_1 I1=$abc$19660$n956_1 I2=$false I3=$false O=$abc$19660$n1379 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=KEYBOARD.temp[5] I1=KEYBOARD.RAM.r_data[5] I2=$abc$19660$n737 I3=$abc$19660$n727 O=$abc$19660$n956_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000100010000 -.gate SB_LUT4 I0=$abc$51270$n690 I1=$abc$51270$n796_1 I2=$abc$51270$n1860 I3=$false O=$2\wr_cnt[3:0][2] +.param LUT_INIT 0000110000001010 +.gate SB_LUT4 I0=$abc$19660$n958 I1=$abc$19660$n1083 I2=$abc$19660$n742 I3=$false O=$abc$19660$n1380 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$51270$n690 I1=$abc$51270$n796_1 I2=$abc$51270$n1862 I3=$false O=$2\wr_cnt[3:0][3] +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=KEYBOARD.temp[6] I1=KEYBOARD.RAM.r_data[6] I2=$abc$19660$n727 I3=$false O=$abc$19660$n958 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$51270$n1120 I1=$abc$51270$n1125 I2=$abc$51270$n1111 I3=$abc$51270$n1129 O=$3\report_data_wr[7:0][0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=$abc$51270$n1112_1 I1=$abc$51270$n1116 I2=$abc$51270$n1119_1 I3=$abc$51270$n1122 O=$abc$51270$n1111 +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$19660$n699 I1=KEYBOARD.is_pressed I2=KEYBOARD.kbd_code_hid[0] I3=$abc$19660$n960 O=$abc$19660$n1393 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$51270$n1115 I1=KEYBOARD.report[2][0] I2=$abc$51270$n1113 I3=KEYBOARD.report[5][0] O=$abc$51270$n1112_1 +.param LUT_INIT 1111111101000000 +.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[0] I1=KEYBOARD.is_pressed I2=$abc$19660$n697 I3=$abc$19660$n699 O=$abc$19660$n960 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$51270$n1114 I1=$abc$51270$n260 I2=$false I3=$false O=$abc$51270$n1113 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=$abc$51270$n253 I3=$false O=$abc$51270$n1114 +.param LUT_INIT 1100101000000000 +.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.kbd_code_hid[4] I2=$abc$19660$n965_1 I3=$false O=$abc$19660$n1403 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10010000 -.gate SB_LUT4 I0=$abc$51270$n253 I1=$abc$51270$n260 I2=wr_cnt[0] I3=wr_cnt[1] O=$abc$51270$n1115 +.param LUT_INIT 11111000 +.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[4] I1=KEYBOARD.is_pressed I2=$abc$19660$n966 I3=$abc$19660$n699 O=$abc$19660$n965_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000100010000 -.gate SB_LUT4 I0=$abc$51270$n1118 I1=KEYBOARD.report[0][0] I2=$abc$51270$n1117_1 I3=KEYBOARD.report[1][0] O=$abc$51270$n1116 +.param LUT_INIT 1100101000000000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$19660$n966 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$19660$n699 I1=KEYBOARD.is_pressed I2=KEYBOARD.kbd_code_hid[5] I3=$abc$19660$n968 O=$abc$19660$n1406 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$51270$n260 I1=$abc$51270$n1114 I2=$false I3=$false O=$abc$51270$n1117_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51270$n253 I1=$abc$51270$n260 I2=wr_cnt[0] I3=wr_cnt[1] O=$abc$51270$n1118 +.param LUT_INIT 1111111101000000 +.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[5] I1=KEYBOARD.is_pressed I2=$abc$19660$n969_1 I3=$abc$19660$n699 O=$abc$19660$n968 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001100010000001 -.gate SB_LUT4 I0=KEYBOARD.report[4][0] I1=$abc$51270$n1121 I2=$abc$51270$n1120 I3=$false O=$abc$51270$n1119_1 +.param LUT_INIT 1100101000000000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$19660$n969_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00000111 -.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[2] I2=wr_cnt[3] I3=wr_cnt[1] O=$abc$51270$n1120 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$51270$n253 I1=wr_cnt[0] I2=wr_cnt[1] I3=$abc$51270$n260 O=$abc$51270$n1121 +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$19660$n699 I1=KEYBOARD.is_pressed I2=KEYBOARD.kbd_code_hid[6] I3=$abc$19660$n971_1 O=$abc$19660$n1409 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100000100000000 -.gate SB_LUT4 I0=KEYBOARD.report[6][0] I1=$abc$51270$n1124 I2=$abc$51270$n1123 I3=KEYBOARD.report[3][0] O=$abc$51270$n1122 +.param LUT_INIT 1111111101000000 +.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[6] I1=KEYBOARD.is_pressed I2=$abc$19660$n972 I3=$abc$19660$n699 O=$abc$19660$n971_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$51270$n260 I1=wr_cnt[0] I2=wr_cnt[1] I3=$abc$51270$n253 O=$abc$51270$n1123 +.param LUT_INIT 1100101000000000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$19660$n972 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$19660$n699 I1=KEYBOARD.is_pressed I2=KEYBOARD.kbd_code_hid[7] I3=$abc$19660$n974 O=$abc$19660$n1412 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001010000000000 -.gate SB_LUT4 I0=$abc$51270$n253 I1=wr_cnt[0] I2=wr_cnt[1] I3=$abc$51270$n260 O=$abc$51270$n1124 +.param LUT_INIT 1111111101000000 +.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[7] I1=KEYBOARD.is_pressed I2=$abc$19660$n975_1 I3=$abc$19660$n699 O=$abc$19660$n974 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001010000000000 -.gate SB_LUT4 I0=KEYBOARD.report[0][0] I1=KEYBOARD.report[1][0] I2=$abc$51270$n1128 I3=$false O=$abc$51270$n1125 +.param LUT_INIT 1100101000000000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$19660$n975_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01010011 -.gate SB_LUT4 I0=$abc$51270$n269 I1=wr_cnt[1] I2=$false I3=$false O=$abc$51270$n1127 +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$19660$n1436 I1=I2C.i2c_bit_counter[0] I2=$false I3=$false O=$abc$19660$n1438 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=wr_cnt[2] I1=$abc$51270$n269 I2=wr_cnt[1] I3=$false O=$abc$51270$n1128 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010100 -.gate SB_LUT4 I0=$abc$51270$n690 I1=$abc$51270$n1130 I2=$false I3=$false O=$abc$51270$n1129 +.gate SB_LUT4 I0=$abc$19660$n1436 I1=I2C.i2c_bit_counter[1] I2=$false I3=$false O=$abc$19660$n1440 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=wr_cnt[2] I1=wr_cnt[3] I2=wr_cnt[0] I3=$false O=$abc$51270$n1130 +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$19660$n1436 I1=I2C.i2c_bit_counter[2] I2=$false I3=$false O=$abc$19660$n1442 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C.i2c_bit_counter[3] I1=$abc$19660$n1436 I2=$false I3=$false O=$abc$19660$n1680 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.i2c_state_machine I1=$abc$19660$n994 I2=$abc$19660$n1105 I3=$false O=$abc$19660$n1454 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=KEYBOARD.report[0][1] I1=$abc$51270$n1118 I2=$abc$51270$n1133 I3=$abc$51270$n1135 O=$abc$51270$n1132 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=KEYBOARD.report[3][1] I1=$abc$51270$n1123 I2=$abc$51270$n1120 I3=$abc$51270$n1134 O=$abc$51270$n1133 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=KEYBOARD.report[4][1] I1=$abc$51270$n1121 I2=$abc$51270$n1117_1 I3=KEYBOARD.report[1][1] O=$abc$51270$n1134 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=KEYBOARD.report[2][1] I1=$abc$51270$n1115 I2=$abc$51270$n1136 I3=$false O=$abc$51270$n1135 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=KEYBOARD.report[6][1] I1=$abc$51270$n1124 I2=$abc$51270$n1113 I3=KEYBOARD.report[5][1] O=$abc$51270$n1136 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$51270$n1120 I1=$abc$51270$n1141 I2=$abc$51270$n1144 I3=$abc$51270$n1129 O=$3\report_data_wr[7:0][2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=KEYBOARD.report[0][2] I1=KEYBOARD.report[1][2] I2=$abc$51270$n1128 I3=$false O=$abc$51270$n1141 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01010011 -.gate SB_LUT4 I0=$abc$51270$n1145 I1=$abc$51270$n1146 I2=$abc$51270$n1147 I3=$abc$51270$n1148 O=$abc$51270$n1144 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$51270$n1115 I1=KEYBOARD.report[2][2] I2=$abc$51270$n1113 I3=KEYBOARD.report[5][2] O=$abc$51270$n1145 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=KEYBOARD.report[1][2] I1=$abc$51270$n1117_1 I2=$abc$51270$n1120 I3=$false O=$abc$51270$n1146 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00000111 -.gate SB_LUT4 I0=KEYBOARD.report[6][2] I1=$abc$51270$n1124 I2=$abc$51270$n1123 I3=KEYBOARD.report[3][2] O=$abc$51270$n1147 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$51270$n1118 I1=KEYBOARD.report[0][2] I2=$abc$51270$n1121 I3=KEYBOARD.report[4][2] O=$abc$51270$n1148 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$51270$n1130 I1=$abc$51270$n1155 I2=$abc$51270$n1150 I3=$abc$51270$n690 O=$3\report_data_wr[7:0][3] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111111100000001 -.gate SB_LUT4 I0=KEYBOARD.report[0][3] I1=$abc$51270$n1118 I2=$abc$51270$n1120 I3=$abc$51270$n1151 O=$abc$51270$n1150 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=KEYBOARD.report[2][3] I1=$abc$51270$n1115 I2=$abc$51270$n1152_1 I3=$abc$51270$n1154 O=$abc$51270$n1151 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=KEYBOARD.report[6][3] I1=$abc$51270$n1124 I2=$abc$51270$n1153 I3=$false O=$abc$51270$n1152_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=$abc$51270$n1123 I1=KEYBOARD.report[3][3] I2=$abc$51270$n1113 I3=KEYBOARD.report[5][3] O=$abc$51270$n1153 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=KEYBOARD.report[4][3] I1=$abc$51270$n1121 I2=$abc$51270$n1117_1 I3=KEYBOARD.report[1][3] O=$abc$51270$n1154 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=KEYBOARD.report[0][3] I1=KEYBOARD.report[1][3] I2=$abc$51270$n1128 I3=$abc$51270$n1120 O=$abc$51270$n1155 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0101001100000000 -.gate SB_LUT4 I0=$abc$51270$n1120 I1=$abc$51270$n1159_1 I2=$abc$51270$n1162 I3=$abc$51270$n1129 O=$3\report_data_wr[7:0][4] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=KEYBOARD.report[0][4] I1=KEYBOARD.report[1][4] I2=$abc$51270$n1128 I3=$false O=$abc$51270$n1159_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01010011 -.gate SB_LUT4 I0=KEYBOARD.report[4][4] I1=$abc$51270$n1121 I2=$abc$51270$n1120 I3=$abc$51270$n1163_1 O=$abc$51270$n1162 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=$abc$51270$n1165 I1=$abc$51270$n1166 I2=$abc$51270$n1164 I3=$abc$51270$n1167 O=$abc$51270$n1163_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=KEYBOARD.report[1][4] I1=KEYBOARD.report[5][4] I2=$abc$51270$n260 I3=$abc$51270$n1114 O=$abc$51270$n1164 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0011010111111111 -.gate SB_LUT4 I0=$abc$51270$n1124 I1=KEYBOARD.report[6][4] I2=$false I3=$false O=$abc$51270$n1165 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51270$n1123 I1=KEYBOARD.report[3][4] I2=$false I3=$false O=$abc$51270$n1166 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51270$n1118 I1=KEYBOARD.report[0][4] I2=$abc$51270$n1115 I3=KEYBOARD.report[2][4] O=$abc$51270$n1167 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$51270$n1118 I1=KEYBOARD.report[0][5] I2=$abc$51270$n1172 I3=$abc$51270$n1169 O=$3\report_data_wr[7:0][5] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000111100000000 -.gate SB_LUT4 I0=$abc$51270$n1120 I1=$abc$51270$n1170 I2=$abc$51270$n1129 I3=$false O=$abc$51270$n1169 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=KEYBOARD.report[0][5] I1=KEYBOARD.report[1][5] I2=$abc$51270$n1128 I3=$false O=$abc$51270$n1170 +.param LUT_INIT 11110100 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$abc$19660$n10 I2=$abc$19660$n212 I3=$false O=$abc$19660$n990 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01010011 -.gate SB_LUT4 I0=KEYBOARD.report[6][5] I1=$abc$51270$n1124 I2=$abc$51270$n1173 I3=$abc$51270$n1175 O=$abc$51270$n1172 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=KEYBOARD.report[2][5] I1=$abc$51270$n1115 I2=$abc$51270$n1174 I3=$false O=$abc$51270$n1173 +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$abc$19660$n993 I1=I2C.FLT_SCL.out I2=$abc$19660$n10 I3=$false O=$abc$19660$n992_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=KEYBOARD.report[1][5] I1=KEYBOARD.report[5][5] I2=$abc$51270$n260 I3=$abc$51270$n1114 O=$abc$51270$n1174 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0011010111111111 -.gate SB_LUT4 I0=KEYBOARD.report[3][5] I1=$abc$51270$n1123 I2=$abc$51270$n1176 I3=$abc$51270$n1120 O=$abc$51270$n1175 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000111 -.gate SB_LUT4 I0=$abc$51270$n1121 I1=KEYBOARD.report[4][5] I2=$false I3=$false O=$abc$51270$n1176 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51270$n1117_1 I1=KEYBOARD.report[1][6] I2=$false I3=$false O=$abc$51270$n1180 +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$abc$19660$n1436 I1=I2C.is_ack I2=$false I3=$false O=$abc$19660$n993 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51270$n1115 I1=KEYBOARD.report[2][6] I2=$abc$51270$n1113 I3=KEYBOARD.report[5][6] O=$abc$51270$n1181 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=KEYBOARD.report[6][6] I1=$abc$51270$n1124 I2=$abc$51270$n1123 I3=KEYBOARD.report[3][6] O=$abc$51270$n1183 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=KEYBOARD.report[1][6] I1=KEYBOARD.report[5][6] I2=wr_cnt[2] I3=$abc$51270$n1127 O=$abc$51270$n1185 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0011010111111111 -.gate SB_LUT4 I0=$abc$51270$n729 I1=$abc$51270$n690 I2=$false I3=$false O=$3\report_wr_en[0:0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51270$n758 I1=$6\report_data_wadr[7:0][0] I2=$abc$51270$n1197 I3=$abc$51270$n1198 O=$0\report_data_wadr[7:0][0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000111110001000 -.gate SB_LUT4 I0=init_ram_cnt[7] I1=init_ram_cnt[5] I2=$abc$51270$n730 I3=$abc$51270$n2423 O=$abc$51270$n1197 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=$abc$19660$n8 I3=I2C.SDA_DIR O=$abc$19660$n994 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1110111100000000 -.gate SB_LUT4 I0=$abc$51270$n22 I1=$abc$51270$n1916 I2=$false I3=$false O=$abc$51270$n1198 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=init_ram_cnt[1] I1=$abc$51270$n1197 I2=$abc$51270$n22 I3=$abc$51270$n1200_1 O=$0\report_data_wadr[7:0][1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000111111111 -.gate SB_LUT4 I0=$abc$51270$n1201 I1=$2\ring_wr[3:0][0] I2=$abc$51270$n690 I3=$abc$51270$n22 O=$abc$51270$n1200_1 +.param LUT_INIT 0111110100000000 +.gate SB_LUT4 I0=$abc$19660$n996 I1=$abc$19660$n992_1 I2=$abc$19660$n778_1 I3=$abc$19660$n212 O=$abc$19660$n995 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0011010111111111 -.gate SB_LUT4 I0=wr_cnt[1] I1=ring_wr[0] I2=$false I3=$false O=$abc$51270$n1201 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=$abc$51270$n1203 I1=$abc$51270$n1205_1 I2=$false I3=$false O=$0\report_data_wadr[7:0][2] +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$19660$n997 I1=$abc$19660$n998_1 I2=$false I3=$false O=$abc$19660$n996 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$51270$n1204 I1=$2\ring_wr[3:0][1] I2=$abc$51270$n690 I3=$abc$51270$n22 O=$abc$51270$n1203 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0011010100000000 -.gate SB_LUT4 I0=wr_cnt[1] I1=ring_wr[0] I2=wr_cnt[2] I3=ring_wr[1] O=$abc$51270$n1204 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000011101111000 -.gate SB_LUT4 I0=$abc$51270$n1197 I1=init_ram_cnt[1] I2=init_ram_cnt[2] I3=$abc$51270$n22 O=$abc$51270$n1205_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000010111110 -.gate SB_LUT4 I0=$abc$51270$n1197 I1=$abc$51270$n1922 I2=$abc$51270$n1207 I3=$abc$51270$n22 O=$0\report_data_wadr[7:0][3] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000111101000100 -.gate SB_LUT4 I0=$abc$51270$n690 I1=$2\ring_wr[3:0][0] I2=$2\ring_wr[3:0][2] I3=$abc$51270$n1208 O=$abc$51270$n1207 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000011010111 -.gate SB_LUT4 I0=$abc$51270$n690 I1=$abc$51270$n1209 I2=$abc$51270$n1211 I3=ring_wr[0] O=$abc$51270$n1208 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001010001000001 -.gate SB_LUT4 I0=ring_wr[1] I1=wr_cnt[2] I2=$abc$51270$n1210_1 I3=$false O=$abc$51270$n1209 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00000111 -.gate SB_LUT4 I0=wr_cnt[2] I1=ring_wr[1] I2=ring_wr[0] I3=wr_cnt[1] O=$abc$51270$n1210_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0110000000000000 -.gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[2] I2=$false I3=$false O=$abc$51270$n1211 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=$abc$51270$n1197 I1=$abc$51270$n1924 I2=$abc$51270$n1213 I3=$abc$51270$n22 O=$0\report_data_wadr[7:0][4] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000111101000100 -.gate SB_LUT4 I0=$abc$51270$n1216 I1=$abc$51270$n2417 I2=$abc$51270$n2419 I3=$abc$51270$n690 O=$abc$51270$n1213 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100001110101010 -.gate SB_LUT4 I0=$2\ring_wr[3:0][2] I1=$2\ring_wr[3:0][0] I2=$false I3=$false O=$abc$51270$n2419 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$2\ring_wr[3:0][1] I1=$2\ring_wr[3:0][3] I2=$false I3=$false O=$abc$51270$n2417 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=$abc$51270$n2416 I1=$abc$51270$n2414 I2=$false I3=$false O=$abc$51270$n1216 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1001 -.gate SB_LUT4 I0=$abc$51270$n1210_1 I1=$abc$51270$n1209 I2=ring_wr[0] I3=$abc$51270$n1211 O=$abc$51270$n2416 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1110101000110000 -.gate SB_LUT4 I0=$abc$51270$n1219 I1=$abc$51270$n1220 I2=ring_wr[1] I3=ring_wr[3] O=$abc$51270$n2414 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1110101100010100 -.gate SB_LUT4 I0=$abc$51270$n1211 I1=wr_cnt[2] I2=ring_wr[1] I3=$false O=$abc$51270$n1219 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[2] I2=$false I3=$false O=$abc$51270$n1220 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51270$n1197 I1=$abc$51270$n1926 I2=$abc$51270$n22 I3=$abc$51270$n1222 O=$0\report_data_wadr[7:0][5] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111010000000000 -.gate SB_LUT4 I0=$6\report_data_wadr[7:0][5] I1=$abc$51270$n1906 I2=$abc$51270$n690 I3=$abc$51270$n22 O=$abc$51270$n1222 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100101011111111 -.gate SB_LUT4 I0=$abc$51270$n1197 I1=$abc$51270$n1928 I2=$abc$51270$n22 I3=$abc$51270$n1224 O=$0\report_data_wadr[7:0][6] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111010000000000 -.gate SB_LUT4 I0=$6\report_data_wadr[7:0][6] I1=$abc$51270$n1909 I2=$abc$51270$n690 I3=$abc$51270$n22 O=$abc$51270$n1224 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100101011111111 -.gate SB_LUT4 I0=$abc$51270$n1197 I1=$abc$51270$n1930 I2=$abc$51270$n22 I3=$abc$51270$n1226 O=$0\report_data_wadr[7:0][7] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111010000000000 -.gate SB_LUT4 I0=$6\report_data_wadr[7:0][7] I1=$abc$51270$n1912 I2=$abc$51270$n690 I3=$abc$51270$n22 O=$abc$51270$n1226 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100101011111111 -.gate SB_LUT4 I0=$abc$51270$n846 I1=$abc$51270$n1228_1 I2=$abc$51270$n861 I3=$abc$51270$n1940 O=$0\rststate[3:0][0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111111100000000 -.gate SB_LUT4 I0=i2c_input_data_type[3] I1=$abc$51270$n860 I2=$false I3=$false O=$abc$51270$n1228_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51270$n846 I1=$abc$51270$n1228_1 I2=$abc$51270$n861 I3=$abc$51270$n1942 O=$0\rststate[3:0][1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111111100000000 -.gate SB_LUT4 I0=$abc$51270$n846 I1=$abc$51270$n1228_1 I2=$abc$51270$n861 I3=$abc$51270$n1944 O=$0\rststate[3:0][2] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111111100000000 -.gate SB_LUT4 I0=$abc$51270$n846 I1=$abc$51270$n1228_1 I2=$abc$51270$n861 I3=$abc$51270$n1946 O=$0\rststate[3:0][3] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111111100000000 -.gate SB_LUT4 I0=$abc$51270$n2006 I1=I2C.i2c_bit_counter[0] I2=$false I3=$false O=$abc$51270$n2008 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51270$n2006 I1=I2C.i2c_bit_counter[1] I2=$false I3=$false O=$abc$51270$n2010 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51270$n2006 I1=I2C.i2c_bit_counter[2] I2=$false I3=$false O=$abc$51270$n2012 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.i2c_bit_counter[3] I1=$abc$51270$n2006 I2=$false I3=$false O=$abc$51270$n2461 +.gate SB_LUT4 I0=I2C.is_adress I1=$abc$19660$n1436 I2=$false I3=$false O=$abc$19660$n997 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51270$n1482 I1=$abc$51270$n869 I2=$abc$51270$n871_1 I3=$abc$51270$n1484 O=$abc$51270$n2022 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100000011111111 -.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$abc$51270$n16 I2=$false I3=$false O=$abc$51270$n1246 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$51270$n872 I1=$abc$51270$n2006 I2=I2C.SDA_DIR I3=$false O=$abc$51270$n1248 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$51270$n1253 I1=$abc$51270$n1254 I2=$false I3=$false O=$abc$51270$n1252 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$abc$51270$n694 I3=$false O=$abc$51270$n1253 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11101111 -.gate SB_LUT4 I0=I2C.received_byte[1] I1=I2C.received_byte[7] I2=$abc$51270$n1255 I3=I2C.received_byte[6] O=$abc$51270$n1254 +.gate SB_LUT4 I0=I2C.received_byte[2] I1=I2C.received_byte[7] I2=$abc$19660$n999 I3=I2C.received_byte[6] O=$abc$19660$n998_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[2] I2=I2C.received_byte[5] I3=I2C.received_byte[3] O=$abc$51270$n1255 +.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[1] I2=I2C.received_byte[5] I3=I2C.received_byte[3] O=$abc$19660$n999 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$51270$n1257_1 I1=$abc$51270$n1258_1 I2=$false I3=$false O=$abc$51270$n1256 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51270$n2006 I1=I2C.is_ack I2=$false I3=$false O=$abc$51270$n1257_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51270$n1246 I1=$abc$51270$n1178 I2=$false I3=$false O=$abc$51270$n1258_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51270$n1262 I1=$abc$51270$n1261 I2=$abc$51270$n869 I3=$abc$51270$n871_1 O=$abc$51270$n2024 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0101001100000000 -.gate SB_LUT4 I0=$abc$51270$n1252 I1=$abc$51270$n1256 I2=$false I3=$false O=$abc$51270$n1261 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.SDA_DIR I1=$abc$51270$n872 I2=I2C.FLT_SDA.out I3=$abc$51270$n1263 O=$abc$51270$n1262 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1101000000000000 -.gate SB_LUT4 I0=$abc$51270$n1257_1 I1=I2C.FLT_SCL.out I2=$abc$51270$n16 I3=$abc$51270$n1178 O=$abc$51270$n1263 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$51270$n1265 I1=$abc$51270$n869 I2=$false I3=$false O=$abc$51270$n2026 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$51270$n1253 I1=$abc$51270$n1256 I2=$abc$51270$n871_1 I3=I2C.received_byte[0] O=$abc$51270$n1265 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$abc$19660$n10 I2=$abc$19660$n212 I3=$false O=$abc$19660$n1001 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$19660$n1003 I1=$abc$19660$n1004 I2=$abc$19660$n778_1 I3=$false O=$abc$19660$n1456 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$19660$n776_1 I1=$abc$19660$n992_1 I2=$abc$19660$n996 I3=$abc$19660$n212 O=$abc$19660$n1003 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$abc$51270$n1271 I1=$abc$51270$n305 I2=$abc$51270$n1267 I3=$abc$51270$n2008 O=$abc$51270$n2028 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000111110001000 -.gate SB_LUT4 I0=$abc$51270$n1270 I1=$abc$51270$n1263 I2=$abc$51270$n1268 I3=$false O=$abc$51270$n1267 +.gate SB_LUT4 I0=$abc$19660$n1005 I1=$abc$19660$n1006 I2=I2C.is_read I3=$false O=$abc$19660$n1004 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11010000 -.gate SB_LUT4 I0=$abc$51270$n873 I1=$abc$51270$n869 I2=$abc$51270$n1269 I3=$abc$51270$n871_1 O=$abc$51270$n1268 +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$19660$n993 I1=I2C.FLT_SCL.out I2=$abc$19660$n10 I3=$abc$19660$n212 O=$abc$19660$n1005 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111111000000000 -.gate SB_LUT4 I0=$abc$51270$n1257_1 I1=$abc$51270$n1258_1 I2=$false I3=$false O=$abc$51270$n1269 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51270$n1178 I1=$abc$51270$n1246 I2=$abc$51270$n869 I3=$false O=$abc$51270$n1270 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10110000 -.gate SB_LUT4 I0=$abc$51270$n873 I1=$abc$51270$n869 I2=$abc$51270$n1270 I3=$abc$51270$n871_1 O=$abc$51270$n1271 +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$abc$19660$n8 I2=I2C.SDA_DIR I3=I2C.FLT_SDA.out O=$abc$19660$n1006 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000111000000000 -.gate SB_LUT4 I0=$abc$51270$n875 I1=$abc$51270$n1271 I2=$abc$51270$n1267 I3=$abc$51270$n2010 O=$abc$51270$n2030 +.param LUT_INIT 1000111100000000 +.gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$19660$n1008 I2=$abc$19660$n778_1 I3=$abc$19660$n776_1 O=$abc$19660$n1458 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100111101000100 -.gate SB_LUT4 I0=$abc$51270$n1271 I1=$abc$51270$n307 I2=$abc$51270$n1267 I3=$abc$51270$n2012 O=$abc$51270$n2032 +.param LUT_INIT 1111111110000000 +.gate SB_LUT4 I0=$abc$19660$n997 I1=$abc$19660$n993 I2=$abc$19660$n1001 I3=$false O=$abc$19660$n1008 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$19660$n1013 I1=$abc$19660$n660 I2=$abc$19660$n1010 I3=$abc$19660$n1438 O=$abc$19660$n1460 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000111110001000 -.gate SB_LUT4 I0=$abc$51270$n1281 I1=$abc$51270$n2173 I2=$abc$51270$n1493 I3=$abc$51270$n1280 O=$abc$51270$n2036 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1110111011110000 -.gate SB_LUT4 I0=$abc$51270$n1263 I1=$abc$51270$n1256 I2=$abc$51270$n869 I3=$abc$51270$n871_1 O=$abc$51270$n1280 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1010110000000000 -.gate SB_LUT4 I0=$abc$51270$n1282 I1=$abc$51270$n1502 I2=$false I3=$false O=$abc$51270$n1281 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51270$n1497 I1=$abc$51270$n1283 I2=I2C.byte_counter[0] I3=I2C.byte_counter[1] O=$abc$51270$n1282 +.gate SB_LUT4 I0=$abc$19660$n1011 I1=$abc$19660$n782_1 I2=$abc$19660$n1005 I3=$abc$19660$n1012 O=$abc$19660$n1010 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=I2C.byte_counter[2] I1=I2C.byte_counter[4] I2=I2C.byte_counter[5] I3=I2C.byte_counter[7] O=$abc$51270$n1283 +.param LUT_INIT 1110000011101110 +.gate SB_LUT4 I0=$abc$19660$n993 I1=$abc$19660$n1001 I2=$abc$19660$n776_1 I3=$abc$19660$n778_1 O=$abc$19660$n1011 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$51270$n1494 I1=$abc$51270$n1285 I2=$abc$51270$n1280 I3=$false O=$abc$51270$n2038 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00111010 -.gate SB_LUT4 I0=$abc$51270$n1281 I1=$2\I2C_INPUT_LEN[7:0][1] I2=$false I3=$false O=$abc$51270$n1285 +.param LUT_INIT 1111100000000000 +.gate SB_LUT4 I0=$abc$19660$n990 I1=$abc$19660$n776_1 I2=$false I3=$false O=$abc$19660$n1012 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1001 -.gate SB_LUT4 I0=$abc$51270$n1281 I1=$abc$51270$n2175 I2=$abc$51270$n1496 I3=$abc$51270$n1280 O=$abc$51270$n2040 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1110111011110000 -.gate SB_LUT4 I0=$abc$51270$n1281 I1=$abc$51270$n2176 I2=$abc$51270$n1497 I3=$abc$51270$n1280 O=$abc$51270$n2042 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1110111011110000 -.gate SB_LUT4 I0=$abc$51270$n1281 I1=$abc$51270$n2177 I2=$abc$51270$n1499 I3=$abc$51270$n1280 O=$abc$51270$n2044 +.gate SB_LUT4 I0=$abc$19660$n775 I1=$abc$19660$n1014 I2=$abc$19660$n212 I3=$abc$19660$n778_1 O=$abc$19660$n1013 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1110111011110000 -.gate SB_LUT4 I0=$abc$51270$n1281 I1=$abc$51270$n2178 I2=$abc$51270$n1500 I3=$abc$51270$n1280 O=$abc$51270$n2046 +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$19660$n10 I1=I2C.FLT_SCL.out I2=$abc$19660$n776_1 I3=$false O=$abc$19660$n1014 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11100000 +.gate SB_LUT4 I0=$abc$19660$n780 I1=$abc$19660$n1013 I2=$abc$19660$n1010 I3=$abc$19660$n1440 O=$abc$19660$n1462 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1110111011110000 -.gate SB_LUT4 I0=$abc$51270$n1281 I1=$abc$51270$n2179 I2=$abc$51270$n1502 I3=$abc$51270$n1280 O=$abc$51270$n2048 +.param LUT_INIT 0100111101000100 +.gate SB_LUT4 I0=$abc$19660$n1013 I1=$abc$19660$n661 I2=$abc$19660$n1010 I3=$abc$19660$n1442 O=$abc$19660$n1464 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1110111011110000 -.gate SB_LUT4 I0=$abc$51270$n1281 I1=$abc$51270$n2180 I2=$abc$51270$n1503 I3=$abc$51270$n1280 O=$abc$51270$n2050 +.param LUT_INIT 1000111110001000 +.gate SB_LUT4 I0=$abc$19660$n635 I1=$abc$19660$n1013 I2=$abc$19660$n1010 I3=$abc$19660$n1680 O=$abc$19660$n1466 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1110111011110000 -.gate SB_LUT4 I0=$abc$51270$n1270 I1=$abc$51270$n871_1 I2=$abc$51270$n1295 I3=$abc$51270$n1294 O=$abc$51270$n2052 +.param LUT_INIT 1011000010111011 +.gate SB_LUT4 I0=$abc$19660$n776_1 I1=$abc$19660$n1005 I2=$abc$19660$n778_1 I3=$abc$19660$n997 O=$abc$19660$n1468 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111111100001011 -.gate SB_LUT4 I0=$abc$51270$n1252 I1=$abc$51270$n1257_1 I2=$abc$51270$n1258_1 I3=$abc$51270$n868 O=$abc$51270$n1294 +.param LUT_INIT 0000000010111111 +.gate SB_LUT4 I0=$abc$19660$n1014 I1=$abc$19660$n1011 I2=$abc$19660$n993 I3=$abc$19660$n1020 O=$abc$19660$n1470 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001110000000000 -.gate SB_LUT4 I0=I2C.i2c_state_machine I1=$abc$51270$n1246 I2=I2C.is_ack I3=$abc$51270$n2006 O=$abc$51270$n1295 +.param LUT_INIT 1011000011111111 +.gate SB_LUT4 I0=$abc$19660$n1001 I1=$abc$19660$n776_1 I2=$abc$19660$n778_1 I3=$abc$19660$n995 O=$abc$19660$n1020 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011100110011 -.gate SB_LUT4 I0=$abc$51270$n872 I1=$abc$51270$n2006 I2=I2C.wr I3=$false O=$abc$51270$n1300 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$51270$n1300 I1=$abc$51270$n1263 I2=$abc$51270$n871_1 I3=$abc$51270$n1270 O=$abc$51270$n1301 +.param LUT_INIT 0000000001111111 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=$abc$19660$n8 I3=I2C.wr O=$abc$19660$n1025 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1110000000000000 -.gate SB_LUT4 I0=$abc$51270$n1514 I1=$abc$51270$n888 I2=$false I3=$false O=$abc$51270$n2182 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1011 -.gate SB_LUT4 I0=UART.tx_clk_counter[1] I1=UART.tx_clk_counter[0] I2=UART.tx_activity I3=$false O=$abc$51270$n2184 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10010000 -.gate SB_LUT4 I0=$abc$51270$n1517 I1=$abc$51270$n888 I2=$false I3=$false O=$abc$51270$n2186 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1011 -.gate SB_LUT4 I0=$abc$51270$n1518 I1=$abc$51270$n888 I2=$false I3=$false O=$abc$51270$n2188 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1011 -.gate SB_LUT4 I0=$abc$51270$n891 I1=$abc$51270$n889 I2=$abc$51270$n893 I3=$false O=$abc$51270$n2189 +.param LUT_INIT 0111110100000000 +.gate SB_LUT4 I0=$abc$19660$n801 I1=$abc$19660$n799 I2=$abc$19660$n797_1 I3=$false O=$abc$19660$n1547 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000111 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[0] I1=$abc$51270$n2193 I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$abc$51270$n2194 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[1] I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=KEYBOARD.init_ram_cnt[8] O=$abc$51270$n2197 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0011110010101010 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[2] I1=$abc$51270$n2199 I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$abc$51270$n2200 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[3] I1=$abc$51270$n30 I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$abc$51270$n2202 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=$abc$51270$n2193 I2=$abc$51270$n1001 I3=$false O=$abc$51270$n2206 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$51270$n1001 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$51270$n2208 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01111000 -.gate SB_LUT4 I0=KEYBOARD.row_counter[2] I1=$abc$51270$n2199 I2=$abc$51270$n1001 I3=$false O=$abc$51270$n2210 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.row_counter[3] I1=$abc$51270$n30 I2=$abc$51270$n1001 I3=$false O=$abc$51270$n2212 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$51270$n1001 I1=KEYBOARD.ram_wr I2=$abc$51270$n29 I3=$abc$51270$n704 O=$abc$51270$n2216 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100111101000100 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[1] I1=KBD_COLUMNS[1] I2=$abc$51270$n700 I3=$false O=$abc$51270$n2246 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[3] I1=KBD_COLUMNS[3] I2=$abc$51270$n700 I3=$false O=$abc$51270$n2252 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[4] I1=KBD_COLUMNS[4] I2=$abc$51270$n700 I3=$false O=$abc$51270$n2255 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[5] I1=KBD_COLUMNS[5] I2=$abc$51270$n700 I3=$false O=$abc$51270$n2258 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[6] I1=KBD_COLUMNS[6] I2=$abc$51270$n700 I3=$false O=$abc$51270$n2261 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[7] I1=KBD_COLUMNS[7] I2=$abc$51270$n700 I3=$false O=$abc$51270$n2264 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$51270$n2274 I1=$abc$51270$n2265 I2=$false I3=$false O=$abc$51270$n2267 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$51270$n697 I1=$abc$51270$n1447 I2=$false I3=$false O=$abc$51270$n2274 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1001 -.gate SB_LUT4 I0=$abc$51270$n2274 I1=$abc$51270$n394 I2=$false I3=$false O=$abc$51270$n2268 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$51270$n2274 I1=$abc$51270$n383 I2=$false I3=$false O=$abc$51270$n2269 +.gate SB_LUT4 I0=$abc$19660$n799 I1=$abc$19660$n819 I2=$false I3=$false O=$abc$19660$n1549 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$51270$n2274 I1=$abc$51270$n2206 I2=$false I3=$false O=$abc$51270$n2270 +.gate SB_LUT4 I0=$abc$19660$n799 I1=$abc$19660$n822 I2=$false I3=$false O=$abc$19660$n1551 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$51270$n2274 I1=$abc$51270$n2208 I2=$false I3=$false O=$abc$51270$n2271 +.gate SB_LUT4 I0=$abc$19660$n799 I1=$abc$19660$n823 I2=$false I3=$false O=$abc$19660$n1552 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$51270$n2274 I1=$abc$51270$n2210 I2=$false I3=$false O=$abc$51270$n2272 +.gate SB_LUT4 I0=$abc$19660$n805_1 I1=$abc$19660$n828 I2=$false I3=$false O=$abc$19660$n1557 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$51270$n2274 I1=$abc$51270$n2212 I2=$false I3=$false O=$abc$51270$n2273 +.gate SB_LUT4 I0=$abc$19660$n811 I1=$abc$19660$n832 I2=$false I3=$false O=$abc$19660$n1560 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 -.gate SB_LUT4 I0=KEYBOARD.temp[0] I1=KBD_COLUMNS[0] I2=$abc$51270$n700 I3=$false O=$abc$51270$n2276 +.gate SB_LUT4 I0=$abc$19660$n1287 I1=$abc$19660$n1285 I2=$abc$19660$n1290 I3=$false O=$abc$19660$n1727 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[4] I1=$abc$51270$n700 I2=$abc$51270$n1333 I3=$abc$51270$n706 O=$abc$51270$n2277 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111111111111000 -.gate SB_LUT4 I0=$abc$51270$n396 I1=$abc$51270$n394 I2=KEYBOARD.temp[4] I3=$false O=$abc$51270$n1333 +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$abc$19660$n1287 I1=$abc$19660$n1290 I2=$abc$19660$n1285 I3=$false O=$abc$19660$n1728 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11100000 -.gate SB_LUT4 I0=$abc$51270$n698 I1=$abc$51270$n1335 I2=$false I3=$false O=$abc$51270$n2278 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=KEYBOARD.temp[2] I1=KEYBOARD.RAM.r_data[2] I2=$abc$51270$n701 I3=$abc$51270$n700 O=$abc$51270$n1335 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000110000001010 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[7] I1=$abc$51270$n1337 I2=$abc$51270$n704 I3=$false O=$abc$51270$n2279 +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$19660$n1285 I1=$abc$19660$n1290 I2=$abc$19660$n1287 I3=$false O=$abc$19660$n1729 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10100011 -.gate SB_LUT4 I0=KEYBOARD.temp[7] I1=KEYBOARD.RAM.r_data[7] I2=$abc$51270$n700 I3=$false O=$abc$51270$n1337 +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$19660$n1290 I1=$abc$19660$n1285 I2=$abc$19660$n1287 I3=$false O=$abc$19660$n1730 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00110101 -.gate SB_LUT4 I0=$abc$51270$n712 I1=$abc$51270$n1339 I2=$false I3=$false O=$abc$51270$n2280 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=KEYBOARD.temp[1] I1=KEYBOARD.RAM.r_data[1] I2=$abc$51270$n713 I3=$abc$51270$n700 O=$abc$51270$n1339 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000110000001010 -.gate SB_LUT4 I0=$abc$51270$n721 I1=$abc$51270$n709 I2=$abc$51270$n708 I3=$false O=$abc$51270$n2281 +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$19660$n1287 I1=$abc$19660$n1285 I2=$abc$19660$n1290 I3=$false O=$abc$19660$n1731 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11110001 -.gate SB_LUT4 I0=$abc$51270$n710 I1=$abc$51270$n1342 I2=$false I3=$false O=$abc$51270$n2282 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=KEYBOARD.temp[5] I1=KEYBOARD.RAM.r_data[5] I2=$abc$51270$n711 I3=$abc$51270$n700 O=$abc$51270$n1342 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000110000001010 -.gate SB_LUT4 I0=$abc$51270$n1344 I1=$abc$51270$n697 I2=$abc$51270$n705 I3=$false O=$abc$51270$n2283 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00111010 -.gate SB_LUT4 I0=KEYBOARD.temp[6] I1=KEYBOARD.RAM.r_data[6] I2=$abc$51270$n700 I3=$false O=$abc$51270$n1344 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$51270$n27 I1=$abc$51270$n953 I2=$abc$51270$n924 I3=$abc$51270$n911 O=$abc$51270$n2285 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000011101111 -.gate SB_LUT4 I0=KEYBOARD.report[0][0] I1=$abc$51270$n27 I2=$abc$51270$n912 I3=$false O=$abc$51270$n2289 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00111010 -.gate SB_LUT4 I0=KEYBOARD.report[0][1] I1=$abc$51270$n27 I2=$abc$51270$n1348 I3=$false O=$abc$51270$n2292 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00111010 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$51270$n1348 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=KEYBOARD.report[0][2] I1=$abc$51270$n27 I2=$abc$51270$n1350 I3=$false O=$abc$51270$n2295 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00111010 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.kbd_code_hid[1] I3=$false O=$abc$51270$n1350 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=KEYBOARD.report[0][3] I1=$abc$51270$n27 I2=$abc$51270$n1352 I3=$false O=$abc$51270$n2298 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00111010 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$51270$n1352 +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$19660$n1287 I1=$abc$19660$n1285 I2=$abc$19660$n1290 I3=$false O=$abc$19660$n1732 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=KEYBOARD.report[0][4] I1=$abc$51270$n27 I2=$abc$51270$n1354_1 I3=$false O=$abc$51270$n2301 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00111010 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$51270$n1354_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=KEYBOARD.report[0][5] I1=$abc$51270$n27 I2=$abc$51270$n1356 I3=$false O=$abc$51270$n2304 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00111010 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$51270$n1356 +.gate SB_LUT4 I0=$abc$19660$n1285 I1=$abc$19660$n1287 I2=$abc$19660$n1290 I3=$false O=$abc$19660$n1733 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=KEYBOARD.report[0][6] I1=$abc$51270$n27 I2=$abc$51270$n1358 I3=$false O=$abc$51270$n2307 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00111010 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$51270$n1358 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=KEYBOARD.report[0][7] I1=$abc$51270$n27 I2=$abc$51270$n1360 I3=$false O=$abc$51270$n2310 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00111010 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$51270$n1360 +.gate SB_LUT4 I0=$abc$19660$n1287 I1=$abc$19660$n1285 I2=$abc$19660$n1290 I3=$false O=$abc$19660$n1734 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$51270$n27 I1=KEYBOARD.kbd_code_hid[0] I2=$false I3=$false O=$abc$51270$n2311 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51270$n27 I1=KEYBOARD.kbd_code_hid[1] I2=$false I3=$false O=$abc$51270$n2312 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51270$n27 I1=KEYBOARD.kbd_code_hid[2] I2=$false I3=$false O=$abc$51270$n2313 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51270$n27 I1=KEYBOARD.kbd_code_hid[3] I2=$false I3=$false O=$abc$51270$n2314 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51270$n27 I1=KEYBOARD.kbd_code_hid[4] I2=$false I3=$false O=$abc$51270$n2315 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51270$n27 I1=KEYBOARD.kbd_code_hid[5] I2=$false I3=$false O=$abc$51270$n2316 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51270$n27 I1=KEYBOARD.kbd_code_hid[6] I2=$false I3=$false O=$abc$51270$n2317 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51270$n27 I1=KEYBOARD.kbd_code_hid[7] I2=$false I3=$false O=$abc$51270$n2318 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.byte_counter[0] I1=$abc$51270$n2324 I2=I2C_OUTPUT_TYPE[1] I3=I2C_OUTPUT_TYPE[0] O=$abc$51270$n2325 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100101011001100 -.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=I2C_OUTPUT_TYPE[0] I2=I2C.byte_counter[5] I3=$false O=$abc$51270$n2327 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01001011 -.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=I2C_OUTPUT_TYPE[0] I2=I2C.byte_counter[5] I3=I2C.byte_counter[6] O=$abc$51270$n2329 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100111110110000 -.gate SB_LUT4 I0=I2C.byte_counter[7] I1=$abc$51270$n2330 I2=I2C_OUTPUT_TYPE[1] I3=I2C_OUTPUT_TYPE[0] O=$abc$51270$n2331 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100101011001100 -.gate SB_LUT4 I0=$abc$51270$n1011 I1=$abc$51270$n1629 I2=$false I3=$false O=$abc$51270$n2332 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$abc$51270$n1636 O=$abc$51270$n2337 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111111100000001 -.gate SB_LUT4 I0=init_ram_cnt[1] I1=init_ram_cnt[0] I2=$false I3=$false O=$2\init_ram_cnt[7:0][1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=$2\ring_wr[3:0][1] I1=$2\ring_wr[3:0][3] I2=$false I3=$false O=$abc$51270$n2512 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[1] I2=ring_wr[3] I3=ring_wr[2] O=$abc$51270$n2519 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001011111000000 -.gate SB_LUT4 I0=ring_wr[3] I1=$abc$51270$n1219 I2=$false I3=$false O=$abc$51270$n2521 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[1] I2=ring_wr[3] I3=ring_wr[2] O=$abc$51270$n2522 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1110100000000000 -.gate SB_LUT4 I0=$10\ring_rd[3:0][1] I1=$10\ring_rd[3:0][3] I2=$false I3=$false O=$abc$51270$n2532 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51270$n2193 I1=$abc$51270$n2199 I2=KEYBOARD.row_counter[0] I3=KEYBOARD.row_counter[1] O=$abc$51270$n2575 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000000000001 -.gate SB_LUT4 I0=$abc$51270$n2199 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$51270$n2193 O=$abc$51270$n2576 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100000100000000 -.gate SB_LUT4 I0=$abc$51270$n2193 I1=$abc$51270$n2199 I2=KEYBOARD.row_counter[0] I3=KEYBOARD.row_counter[1] O=$abc$51270$n2577 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000100010000 -.gate SB_LUT4 I0=$abc$51270$n2199 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$51270$n2193 O=$abc$51270$n2578 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001010000000000 -.gate SB_LUT4 I0=$abc$51270$n2193 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$51270$n2199 O=$abc$51270$n2579 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100000100000000 -.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=KEYBOARD.row_counter[1] I2=$abc$51270$n2193 I3=$abc$51270$n2199 O=$abc$51270$n2580 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000000000 -.gate SB_LUT4 I0=$abc$51270$n2193 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$51270$n2199 O=$abc$51270$n2581 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001010000000000 -.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=KEYBOARD.row_counter[1] I2=$abc$51270$n2193 I3=$abc$51270$n2199 O=$abc$51270$n2582 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0110000000000000 -.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$false I2=$false I3=$false O=$abc$51270$n5 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$false I2=$false I3=$false O=$abc$51270$n7 +.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$false I2=$false I3=$false O=$abc$19660$n1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$51270$n8 I1=$false I2=$false I3=$false O=COM_DSR +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$false I2=$false I3=$false O=$abc$19660$n3 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$51270$n10 I1=$false I2=$false I3=$false O=COM_TX +.gate SB_LUT4 I0=$abc$19660$n12 I1=$false I2=$false I3=$false O=COM_TX .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=LED1 I1=$false I2=$false I3=$false O=COM_DCD .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$51270$n22 I1=$false I2=$false I3=$false O=$abc$51270$n23 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=UART.tx_activity I1=$false I2=$false I3=$false O=$abc$51270$n25 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$51270$n27 I1=$false I2=$false I3=$false O=$abc$51270$n26 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$51270$n30 I1=$false I2=$false I3=$false O=$abc$51270$n31 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[8] I1=$false I2=$false I3=$false O=$abc$51270$n33 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$false I2=$false I3=$false O=$abc$51270$n35 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[7] I1=$false I2=$false I3=$false O=$abc$51270$n184 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[6] I1=$false I2=$false I3=$false O=$abc$51270$n185 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[5] I1=$false I2=$false I3=$false O=$abc$51270$n187 +.gate SB_LUT4 I0=$abc$19660$n16 I1=$false I2=$false I3=$false O=$abc$19660$n17 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[4] I1=$false I2=$false I3=$false O=$abc$51270$n188 +.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$false I2=$false I3=$false O=$abc$19660$n19 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[2] I1=$false I2=$false I3=$false O=$abc$51270$n191 +.gate SB_LUT4 I0=UART.tx_activity I1=$false I2=$false I3=$false O=$abc$19660$n21 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[0] I1=$false I2=$false I3=$false O=$abc$51270$n194 +.gate SB_LUT4 I0=I2C.received_byte[7] I1=$false I2=$false I3=$false O=$abc$19660$n527 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=i2c_input_data_type[3] I1=$false I2=$false I3=$false O=$abc$51270$n214 +.gate SB_LUT4 I0=I2C.received_byte[6] I1=$false I2=$false I3=$false O=$abc$19660$n528 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=i2c_input_data_type[1] I1=$false I2=$false I3=$false O=$abc$51270$n217 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=i2c_input_data_type[0] I1=$false I2=$false I3=$false O=$abc$51270$n218 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[7] I1=$false I2=$false I3=$false O=$abc$51270$n221 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[6] I1=$false I2=$false I3=$false O=$abc$51270$n222 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[5] I1=$false I2=$false I3=$false O=$abc$51270$n224 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[4] I1=$false I2=$false I3=$false O=$abc$51270$n225 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[3] I1=$false I2=$false I3=$false O=$abc$51270$n227 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[2] I1=$false I2=$false I3=$false O=$abc$51270$n228 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[1] I1=$false I2=$false I3=$false O=$abc$51270$n230 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$51270$n1320 I1=$false I2=$false I3=$false O=$abc$51270$n1392 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[1] I1=$false I2=$false I3=$false O=$abc$51270$n1918 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$51270$n2461 I1=$false I2=$false I3=$false O=$abc$51270$n2014 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$51270$n2383 I1=$false I2=$false I3=$false O=$abc$51270$n2382 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$51270$n1494 I1=$false I2=$false I3=$false O=$abc$51270$n2393 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$51270$n2010 I1=$false I2=$false I3=$false O=$abc$51270$n2395 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=KEYBOARD.init_delay_cnt[1] I1=$false I2=$false I3=$false O=$abc$51270$n2399 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[1] I1=$false I2=$false I3=$false O=$abc$51270$n2400 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$51270$n2384 I1=$false I2=$false I3=$false O=$abc$51270$n2451 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[1] I1=$false I2=$false I3=$false O=$abc$51270$n2452 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=$false I2=$false I3=$false O=$abc$51270$n2453 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=$false I2=$false I3=$false O=$abc$51270$n2454 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[4] I1=$false I2=$false I3=$false O=$abc$51270$n2455 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[5] I1=$false I2=$false I3=$false O=$abc$51270$n2456 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[6] I1=$false I2=$false I3=$false O=$abc$51270$n2457 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[7] I1=$false I2=$false I3=$false O=$abc$51270$n2458 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$51270$n2008 I1=$false I2=$false I3=$false O=$abc$51270$n2459 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$51270$n2012 I1=$false I2=$false I3=$false O=$abc$51270$n2460 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=i2c_input_data_type[2] I1=$false I2=$false I3=$false O=$abc$51270$n2463 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$51270$n2465 I1=$false I2=$false I3=$false O=$abc$51270$n2466 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$51270$n2467 I1=$false I2=$false I3=$false O=$abc$51270$n2468 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$51270$n1499 I1=$false I2=$false I3=$false O=$abc$51270$n2469 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$51270$n1500 I1=$false I2=$false I3=$false O=$abc$51270$n2470 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$51270$n1503 I1=$false I2=$false I3=$false O=$abc$51270$n2471 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$51270$n1496 I1=$false I2=$false I3=$false O=$abc$51270$n2472 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$51270$n1497 I1=$false I2=$false I3=$false O=$abc$51270$n2473 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$51270$n1502 I1=$false I2=$false I3=$false O=$abc$51270$n2474 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[3] I1=$false I2=$false I3=$false O=$abc$51270$n2475 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$51270$n721 I1=KEYBOARD.temp[1] I2=$abc$51270$n383 I3=$abc$51270$n394 O=$abc$51270$n1445_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000010111111100 -.gate SB_LUT4 I0=KEYBOARD.temp[4] I1=KEYBOARD.temp[5] I2=$abc$51270$n383 I3=$abc$51270$n396 O=$abc$51270$n1446 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100111110100000 -.gate SB_LUT4 I0=$abc$51270$n1446 I1=$abc$51270$n1445_1 I2=$abc$51270$n718 I3=$false O=$abc$51270$n1447 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=UART_WR I1=$abc$51270$n747 I2=$abc$51270$n763 I3=$abc$51270$n761 O=$abc$51270$n1448 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100000011111111 -.gate SB_LUT4 I0=$abc$51270$n765 I1=$abc$51270$n768 I2=$abc$51270$n1448 I3=$abc$51270$n733 O=$abc$51270$n788 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$51270$n812 I1=$abc$51270$n813 I2=I2C.byte_counter[3] I3=I2C.byte_counter[2] O=$abc$51270$n1450 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000101011000000 -.gate SB_LUT4 I0=I2C.byte_counter[0] I1=$abc$51270$n1450 I2=I2C.byte_counter[1] I3=$abc$51270$n695 O=$abc$51270$n1451 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$abc$51270$n1451 I1=$abc$51270$n790 I2=$false I3=$false O=$abc$51270$n1046 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51270$n827 I1=$abc$51270$n831 I2=$abc$51270$n1499_1 I3=$abc$51270$n791 O=$abc$51270$n1455 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111000011111111 -.gate SB_LUT4 I0=$abc$51270$n1455 I1=$abc$51270$n836 I2=$abc$51270$n838 I3=$abc$51270$n839 O=$abc$51270$n1112 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$51270$n952_1 I1=$abc$51270$n946 I2=$abc$51270$n27 I3=$abc$51270$n910 O=$abc$51270$n1457_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100010100000000 -.gate SB_LUT4 I0=$abc$51270$n920 I1=$abc$51270$n899 I2=$abc$51270$n1457_1 I3=$abc$51270$n935 O=$abc$51270$n1313 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.report[4][3] I2=KEYBOARD.kbd_code_hid[4] I3=KEYBOARD.report[4][4] O=$abc$51270$n1459 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.report[4][1] I2=KEYBOARD.kbd_code_hid[6] I3=KEYBOARD.report[4][6] O=$abc$51270$n1460_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=$abc$51270$n1459 I1=$abc$51270$n1460_1 I2=$abc$51270$n963 I3=$abc$51270$n966 O=$abc$51270$n1461 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.report[1][5] I2=KEYBOARD.kbd_code_hid[6] I3=KEYBOARD.report[1][6] O=$abc$51270$n1463 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.report[0][1] I1=$abc$51270$n1128 I2=KEYBOARD.report[1][1] I3=$abc$51270$n1127 O=$abc$51270$n1466 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=wr_cnt[1] I1=$abc$51270$n1466 I2=wr_cnt[0] I3=wr_cnt[3] O=$abc$51270$n1467 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000011111000 -.gate SB_LUT4 I0=wr_cnt[2] I1=$abc$51270$n1467 I2=$abc$51270$n1132 I3=$abc$51270$n690 O=$3\report_data_wr[7:0][1] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111111100001011 -.gate SB_LUT4 I0=$abc$51270$n1121 I1=KEYBOARD.report[4][6] I2=$abc$51270$n1181 I3=$abc$51270$n1183 O=$abc$51270$n1469 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=$abc$51270$n1180 I1=$abc$51270$n1469 I2=$abc$51270$n1185 I3=$abc$51270$n1120 O=$abc$51270$n1470_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111000001000100 -.gate SB_LUT4 I0=$abc$51270$n1128 I1=$abc$51270$n1118 I2=$abc$51270$n1120 I3=KEYBOARD.report[0][6] O=$abc$51270$n1471 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0101001111111111 -.gate SB_LUT4 I0=$abc$51270$n1471 I1=$abc$51270$n1470_1 I2=$abc$51270$n1129 I3=$false O=$3\report_data_wr[7:0][6] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=KEYBOARD.report[3][7] I1=$abc$51270$n1123 I2=KEYBOARD.report[4][7] I3=$abc$51270$n1121 O=$abc$51270$n1473 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$51270$n1128 I1=$abc$51270$n1118 I2=$abc$51270$n1120 I3=KEYBOARD.report[0][7] O=$abc$51270$n1475_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0101001111111111 -.gate SB_LUT4 I0=$abc$51270$n1046_1 I1=$abc$51270$n1054 I2=$abc$51270$n307 I3=$abc$51270$n877 O=$abc$51270$n1478_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100101011111111 -.gate SB_LUT4 I0=$abc$51270$n1050 I1=$abc$51270$n1058 I2=$abc$51270$n307 I3=$abc$51270$n880 O=$abc$51270$n1479 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100101011111111 -.gate SB_LUT4 I0=I2C.is_ack I1=$abc$51270$n1248 I2=$abc$51270$n1507 I3=$abc$51270$n1178 O=$abc$51270$n1481 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111011100001111 -.gate SB_LUT4 I0=$abc$51270$n1248 I1=$abc$51270$n1481 I2=$abc$51270$n1246 I3=$false O=$abc$51270$n1482 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11000101 -.gate SB_LUT4 I0=$abc$51270$n1258_1 I1=$abc$51270$n868 I2=I2C.i2c_state_machine I3=$abc$51270$n1248 O=$abc$51270$n1483_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1011000011111111 -.gate SB_LUT4 I0=$abc$51270$n1252 I1=$abc$51270$n868 I2=$abc$51270$n1256 I3=$abc$51270$n1483_1 O=$abc$51270$n1484 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1011111100000000 -.gate SB_LUT4 I0=$abc$51270$n1178 I1=$abc$51270$n1246 I2=$abc$51270$n873 I3=$abc$51270$n869 O=$abc$51270$n1485 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100010011110000 -.gate SB_LUT4 I0=$abc$51270$n308 I1=$abc$51270$n1485 I2=$abc$51270$n871_1 I3=$abc$51270$n2461 O=$abc$51270$n1486 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111000001001111 -.gate SB_LUT4 I0=$abc$51270$n1269 I1=$abc$51270$n1263 I2=$abc$51270$n869 I3=$false O=$abc$51270$n1487 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$51270$n1487 I1=$abc$51270$n1486 I2=$abc$51270$n871_1 I3=$false O=$abc$51270$n2034 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10111100 -.gate SB_LUT4 I0=$abc$51270$n1269 I1=$abc$51270$n868 I2=I2C.i2c_state_machine I3=$abc$51270$n1300 O=$abc$51270$n1489 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1011000011111111 -.gate SB_LUT4 I0=$abc$51270$n2431 I1=$abc$51270$n868 I2=$abc$51270$n1263 I3=$abc$51270$n1489 O=$abc$51270$n1490 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1011111100000000 -.gate SB_LUT4 I0=$abc$51270$n1262 I1=$abc$51270$n1301 I2=$abc$51270$n1490 I3=$false O=$abc$51270$n2054 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01001111 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.report[1][3] I2=KEYBOARD.kbd_code_hid[4] I3=KEYBOARD.report[1][4] O=$abc$51270$n1492 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.report[1][0] I2=KEYBOARD.kbd_code_hid[2] I3=KEYBOARD.report[1][2] O=$abc$51270$n1493_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=$abc$51270$n1492 I1=$abc$51270$n1493_1 I2=$abc$51270$n994 I3=$abc$51270$n1463 O=$abc$51270$n1494_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111111111111111 -.gate SB_LUT4 I0=$abc$51270$n902 I1=$abc$51270$n1494_1 I2=$abc$51270$n971 I3=$false O=$abc$51270$n1495 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[3] I2=$abc$51270$n823 I3=$false O=$abc$51270$n1496_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$51270$n809 I1=I2C.byte_counter[0] I2=I2C.received_byte[2] I3=I2C.received_byte[1] O=$abc$51270$n1497_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0010000000001100 -.gate SB_LUT4 I0=$abc$51270$n814 I1=$abc$51270$n809 I2=$abc$51270$n1496_1 I3=$abc$51270$n1497_1 O=$abc$51270$n1498 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111010001000100 -.gate SB_LUT4 I0=$abc$51270$n809 I1=$abc$51270$n694 I2=$abc$51270$n1498 I3=I2C.byte_counter[1] O=$abc$51270$n1499_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001111111111111 -.gate SB_LUT4 I0=KEYBOARD.report[5][7] I1=KEYBOARD.report[1][7] I2=$abc$51270$n1120 I3=$abc$51270$n260 O=$abc$51270$n1500_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100101011001100 -.gate SB_LUT4 I0=$abc$51270$n1115 I1=KEYBOARD.report[2][7] I2=KEYBOARD.report[6][7] I3=$abc$51270$n1124 O=$abc$51270$n1501 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$51270$n1501 I1=$abc$51270$n1473 I2=$abc$51270$n1127 I3=$abc$51270$n1120 O=$abc$51270$n1502_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111000010001000 -.gate SB_LUT4 I0=$abc$51270$n1114 I1=$abc$51270$n1500_1 I2=$abc$51270$n1120 I3=$abc$51270$n1502_1 O=$abc$51270$n1503_1 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0011011111110000 -.gate SB_LUT4 I0=$abc$51270$n1475_1 I1=$abc$51270$n1503_1 I2=$abc$51270$n1129 I3=$false O=$3\report_data_wr[7:0][7] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=$abc$51270$n1056 I1=$abc$51270$n1052 I2=$abc$51270$n307 I3=$abc$51270$n875 O=$abc$51270$n1505 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0011111101010000 -.gate SB_LUT4 I0=$abc$51270$n1048 I1=$abc$51270$n1044 I2=$abc$51270$n307 I3=$abc$51270$n1505 O=$abc$51270$n1506 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111001100000101 -.gate SB_LUT4 I0=$abc$51270$n1506 I1=$abc$51270$n305 I2=$abc$51270$n1478_1 I3=$abc$51270$n1479 O=$abc$51270$n1507 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1101000000000000 -.gate SB_CARRY CI=$abc$51270$n2451 CO=$auto$alumacc.cc:474:replace_alu$9509.C[2] I0=$false I1=$abc$51270$n2383 -.attr src "top.v:30|uart.v:39|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9509.C[2] CO=$auto$alumacc.cc:474:replace_alu$9509.C[3] I0=$false I1=$abc$51270$n2466 -.attr src "top.v:30|uart.v:39|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9509.C[3] CO=$abc$51270$n2192 I0=$false I1=$abc$51270$n2468 -.attr src "top.v:30|uart.v:39|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$abc$51270$n230 CO=$auto$alumacc.cc:474:replace_alu$9514.C[3] I0=$true I1=$abc$51270$n228 -.attr src "top.v:136|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9514.C[3] CO=$auto$alumacc.cc:474:replace_alu$9514.C[4] I0=$false I1=$abc$51270$n227 -.attr src "top.v:136|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9514.C[4] CO=$auto$alumacc.cc:474:replace_alu$9514.C[5] I0=$false I1=$abc$51270$n225 -.attr src "top.v:136|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9514.C[5] CO=$auto$alumacc.cc:474:replace_alu$9514.C[6] I0=$false I1=$abc$51270$n224 -.attr src "top.v:136|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9514.C[6] CO=$auto$alumacc.cc:474:replace_alu$9514.C[7] I0=$false I1=$abc$51270$n222 -.attr src "top.v:136|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9514.C[7] CO=$abc$51270$n2421 I0=$false I1=$abc$51270$n221 -.attr src "top.v:136|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$abc$51270$n2452 CO=$auto$alumacc.cc:474:replace_alu$9519.C[3] I0=$false I1=$abc$51270$n2453 -.attr src "top.v:243|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9519.C[3] CO=$auto$alumacc.cc:474:replace_alu$9519.C[4] I0=$false I1=$abc$51270$n2454 -.attr src "top.v:243|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9519.C[4] CO=$auto$alumacc.cc:474:replace_alu$9519.C[5] I0=$false I1=$abc$51270$n2455 -.attr src "top.v:243|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9519.C[5] CO=$auto$alumacc.cc:474:replace_alu$9519.C[6] I0=$false I1=$abc$51270$n2456 -.attr src "top.v:243|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9519.C[6] CO=$auto$alumacc.cc:474:replace_alu$9519.C[7] I0=$false I1=$abc$51270$n2457 -.attr src "top.v:243|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9519.C[7] CO=$abc$51270$n2422 I0=$false I1=$abc$51270$n2458 -.attr src "top.v:243|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$abc$51270$n2459 CO=$auto$alumacc.cc:474:replace_alu$9529.C[2] I0=$false I1=$abc$51270$n2395 -.attr src "top.v:21|i2c_slave.v:104|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9529.C[2] CO=$auto$alumacc.cc:474:replace_alu$9529.C[3] I0=$false I1=$abc$51270$n2460 -.attr src "top.v:21|i2c_slave.v:104|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9529.C[3] CO=$abc$51270$n1178 I0=$false I1=$abc$51270$n2461 -.attr src "top.v:21|i2c_slave.v:104|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$abc$51270$n194 CO=$auto$alumacc.cc:474:replace_alu$9534.C[2] I0=$true I1=$abc$51270$n1918 -.attr src "top.v:87|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9534.C[2] CO=$auto$alumacc.cc:474:replace_alu$9534.C[3] I0=$false I1=$abc$51270$n191 -.attr src "top.v:87|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9534.C[3] CO=$auto$alumacc.cc:474:replace_alu$9534.C[4] I0=$true I1=$abc$51270$n2475 -.attr src "top.v:87|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9534.C[4] CO=$auto$alumacc.cc:474:replace_alu$9534.C[5] I0=$false I1=$abc$51270$n188 -.attr src "top.v:87|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9534.C[5] CO=$auto$alumacc.cc:474:replace_alu$9534.C[6] I0=$false I1=$abc$51270$n187 -.attr src "top.v:87|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9534.C[6] CO=$auto$alumacc.cc:474:replace_alu$9534.C[7] I0=$false I1=$abc$51270$n185 -.attr src "top.v:87|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9534.C[7] CO=$abc$51270$n2423 I0=$false I1=$abc$51270$n184 -.attr src "top.v:87|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=init_ram_cnt[1] CO=$auto$alumacc.cc:474:replace_alu$9545.C[3] I0=init_ram_cnt[2] I1=$true -.attr src "top.v:85|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9545.C[3] CO=$auto$alumacc.cc:474:replace_alu$9545.C[4] I0=init_ram_cnt[3] I1=$false -.attr src "top.v:85|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9545.C[4] CO=$auto$alumacc.cc:474:replace_alu$9545.C[5] I0=init_ram_cnt[4] I1=$true -.attr src "top.v:85|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9545.C[5] CO=$auto$alumacc.cc:474:replace_alu$9545.C[6] I0=init_ram_cnt[5] I1=$false -.attr src "top.v:85|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9545.C[6] CO=$auto$alumacc.cc:474:replace_alu$9545.C[7] I0=init_ram_cnt[6] I1=$true -.attr src "top.v:85|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9545.C[7] CO=$abc$51270$n22 I0=init_ram_cnt[7] I1=$false -.attr src "top.v:85|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$abc$51270$n218 CO=$auto$alumacc.cc:474:replace_alu$9552.C[2] I0=$false I1=$abc$51270$n217 -.attr src "top.v:225|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9552.C[2] CO=$auto$alumacc.cc:474:replace_alu$9552.C[3] I0=$true I1=$abc$51270$n2463 -.attr src "top.v:225|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9552.C[3] CO=$abc$51270$n2425 I0=$false I1=$abc$51270$n214 -.attr src "top.v:225|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$abc$51270$n230 CO=$auto$alumacc.cc:474:replace_alu$9563.C[3] I0=$false I1=$abc$51270$n228 -.attr src "top.v:136|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9563.C[3] CO=$auto$alumacc.cc:474:replace_alu$9563.C[4] I0=$false I1=$abc$51270$n227 -.attr src "top.v:136|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9563.C[4] CO=$auto$alumacc.cc:474:replace_alu$9563.C[5] I0=$false I1=$abc$51270$n225 -.attr src "top.v:136|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9563.C[5] CO=$auto$alumacc.cc:474:replace_alu$9563.C[6] I0=$false I1=$abc$51270$n224 -.attr src "top.v:136|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9563.C[6] CO=$auto$alumacc.cc:474:replace_alu$9563.C[7] I0=$false I1=$abc$51270$n222 -.attr src "top.v:136|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9563.C[7] CO=$abc$51270$n2428 I0=$false I1=$abc$51270$n221 -.attr src "top.v:136|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$51270$n2451 I3=$true O=$abc$51270$n2362 -.attr src "top.v:30|uart.v:40|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$abc$51270$n2451 CO=$auto$alumacc.cc:474:replace_alu$9576.C[2] I0=$false I1=$abc$51270$n2383 -.attr src "top.v:30|uart.v:40|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$51270$n2466 I3=$auto$alumacc.cc:474:replace_alu$9576.C[2] O=$abc$51270$n2348 -.attr src "top.v:30|uart.v:40|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=ring_wr[0] I3=$false O=$abc$51270$n1865 -.attr src "top.v:100|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=ring_wr[0] CO=$auto$alumacc.cc:474:replace_alu$9579.C[2] I0=$false I1=ring_wr[1] -.attr src "top.v:100|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=ring_wr[2] I3=$auto$alumacc.cc:474:replace_alu$9579.C[2] O=$abc$51270$n1871 -.attr src "top.v:100|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9579.C[2] CO=$auto$alumacc.cc:474:replace_alu$9579.C[3] I0=$false I1=ring_wr[2] -.attr src "top.v:100|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=ring_wr[3] I3=$auto$alumacc.cc:474:replace_alu$9579.C[3] O=$abc$51270$n1874 -.attr src "top.v:100|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9579.C[3] CO=$auto$alumacc.cc:474:replace_alu$9579.C[4] I0=$false I1=ring_wr[3] -.attr src "top.v:100|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$alumacc.cc:474:replace_alu$9579.C[4] O=$abc$51270$n1454 -.attr src "top.v:100|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=wr_cnt[0] I3=$false O=$abc$51270$n1857 -.attr src "top.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=wr_cnt[0] CO=$auto$alumacc.cc:474:replace_alu$9582.C[2] I0=$false I1=wr_cnt[1] -.attr src "top.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[2] I3=$auto$alumacc.cc:474:replace_alu$9582.C[2] O=$abc$51270$n1860 -.attr src "top.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9582.C[2] CO=$auto$alumacc.cc:474:replace_alu$9582.C[3] I0=$false I1=wr_cnt[2] -.attr src "top.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[3] I3=$auto$alumacc.cc:474:replace_alu$9582.C[3] O=$abc$51270$n1862 -.attr src "top.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=ring_rd[0] I3=$false O=$abc$51270$n1775 -.attr src "top.v:178|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=ring_rd[0] CO=$auto$alumacc.cc:474:replace_alu$9585.C[2] I0=$false I1=ring_rd[1] -.attr src "top.v:178|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=ring_rd[2] I3=$auto$alumacc.cc:474:replace_alu$9585.C[2] O=$abc$51270$n1781 -.attr src "top.v:178|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9585.C[2] CO=$auto$alumacc.cc:474:replace_alu$9585.C[3] I0=$false I1=ring_rd[2] -.attr src "top.v:178|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=ring_rd[3] I3=$auto$alumacc.cc:474:replace_alu$9585.C[3] O=$abc$51270$n1784 -.attr src "top.v:178|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=report_data_radr[0] I3=$false O=$add$top.v:182$302_Y[0] -.attr src "top.v:182|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=report_data_radr[0] CO=$auto$alumacc.cc:474:replace_alu$9588.C[2] I0=$false I1=report_data_radr[1] -.attr src "top.v:182|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[2] I3=$auto$alumacc.cc:474:replace_alu$9588.C[2] O=$abc$51270$n1788 -.attr src "top.v:182|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9588.C[2] CO=$auto$alumacc.cc:474:replace_alu$9588.C[3] I0=$false I1=report_data_radr[2] -.attr src "top.v:182|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[3] I3=$auto$alumacc.cc:474:replace_alu$9588.C[3] O=$abc$51270$n1791 -.attr src "top.v:182|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9588.C[3] CO=$auto$alumacc.cc:474:replace_alu$9588.C[4] I0=$false I1=report_data_radr[3] -.attr src "top.v:182|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[4] I3=$auto$alumacc.cc:474:replace_alu$9588.C[4] O=$abc$51270$n1794 -.attr src "top.v:182|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9588.C[4] CO=$auto$alumacc.cc:474:replace_alu$9588.C[5] I0=$false I1=report_data_radr[4] -.attr src "top.v:182|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[5] I3=$auto$alumacc.cc:474:replace_alu$9588.C[5] O=$abc$51270$n1797 -.attr src "top.v:182|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9588.C[5] CO=$auto$alumacc.cc:474:replace_alu$9588.C[6] I0=$false I1=report_data_radr[5] -.attr src "top.v:182|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[6] I3=$auto$alumacc.cc:474:replace_alu$9588.C[6] O=$abc$51270$n1800 -.attr src "top.v:182|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9588.C[6] CO=$auto$alumacc.cc:474:replace_alu$9588.C[7] I0=$false I1=report_data_radr[6] -.attr src "top.v:182|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[7] I3=$auto$alumacc.cc:474:replace_alu$9588.C[7] O=$abc$51270$n1803 -.attr src "top.v:182|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=int_tmr[0] I3=$false O=$13\int_tmr[14:0][0] -.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[10] I3=$auto$alumacc.cc:474:replace_alu$9591.C[10] O=$13\int_tmr[14:0][10] -.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9591.C[10] CO=$auto$alumacc.cc:474:replace_alu$9591.C[11] I0=$false I1=int_tmr[10] -.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[11] I3=$auto$alumacc.cc:474:replace_alu$9591.C[11] O=$13\int_tmr[14:0][11] -.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9591.C[11] CO=$auto$alumacc.cc:474:replace_alu$9591.C[12] I0=$false I1=int_tmr[11] -.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[12] I3=$auto$alumacc.cc:474:replace_alu$9591.C[12] O=$13\int_tmr[14:0][12] -.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9591.C[12] CO=$auto$alumacc.cc:474:replace_alu$9591.C[13] I0=$false I1=int_tmr[12] -.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[13] I3=$auto$alumacc.cc:474:replace_alu$9591.C[13] O=$13\int_tmr[14:0][13] -.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9591.C[13] CO=$auto$alumacc.cc:474:replace_alu$9591.C[14] I0=$false I1=int_tmr[13] -.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[14] I3=$auto$alumacc.cc:474:replace_alu$9591.C[14] O=$13\int_tmr[14:0][14] -.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=int_tmr[0] CO=$auto$alumacc.cc:474:replace_alu$9591.C[2] I0=$false I1=int_tmr[1] -.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[2] I3=$auto$alumacc.cc:474:replace_alu$9591.C[2] O=$13\int_tmr[14:0][2] -.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9591.C[2] CO=$auto$alumacc.cc:474:replace_alu$9591.C[3] I0=$false I1=int_tmr[2] -.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[3] I3=$auto$alumacc.cc:474:replace_alu$9591.C[3] O=$13\int_tmr[14:0][3] -.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9591.C[3] CO=$auto$alumacc.cc:474:replace_alu$9591.C[4] I0=$false I1=int_tmr[3] -.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[4] I3=$auto$alumacc.cc:474:replace_alu$9591.C[4] O=$13\int_tmr[14:0][4] -.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9591.C[4] CO=$auto$alumacc.cc:474:replace_alu$9591.C[5] I0=$false I1=int_tmr[4] -.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[5] I3=$auto$alumacc.cc:474:replace_alu$9591.C[5] O=$13\int_tmr[14:0][5] -.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9591.C[5] CO=$auto$alumacc.cc:474:replace_alu$9591.C[6] I0=$false I1=int_tmr[5] -.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[6] I3=$auto$alumacc.cc:474:replace_alu$9591.C[6] O=$13\int_tmr[14:0][6] -.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9591.C[6] CO=$auto$alumacc.cc:474:replace_alu$9591.C[7] I0=$false I1=int_tmr[6] -.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[7] I3=$auto$alumacc.cc:474:replace_alu$9591.C[7] O=$13\int_tmr[14:0][7] -.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9591.C[7] CO=$auto$alumacc.cc:474:replace_alu$9591.C[8] I0=$false I1=int_tmr[7] -.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[8] I3=$auto$alumacc.cc:474:replace_alu$9591.C[8] O=$13\int_tmr[14:0][8] -.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9591.C[8] CO=$auto$alumacc.cc:474:replace_alu$9591.C[9] I0=$false I1=int_tmr[8] -.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[9] I3=$auto$alumacc.cc:474:replace_alu$9591.C[9] O=$13\int_tmr[14:0][9] -.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9591.C[9] CO=$auto$alumacc.cc:474:replace_alu$9591.C[10] I0=$false I1=int_tmr[9] -.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$abc$51270$n35 I2=rststate[0] I3=$false O=$abc$51270$n1940 -.attr src "top.v:70|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$false CO=$auto$alumacc.cc:474:replace_alu$9594.C[1] I0=$abc$51270$n35 I1=rststate[0] -.attr src "top.v:70|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=rststate[1] I3=$auto$alumacc.cc:474:replace_alu$9594.C[1] O=$abc$51270$n1942 -.attr src "top.v:70|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9594.C[1] CO=$auto$alumacc.cc:474:replace_alu$9594.C[2] I0=$false I1=rststate[1] -.attr src "top.v:70|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=rststate[2] I3=$auto$alumacc.cc:474:replace_alu$9594.C[2] O=$abc$51270$n1944 -.attr src "top.v:70|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9594.C[2] CO=$auto$alumacc.cc:474:replace_alu$9594.C[3] I0=$false I1=rststate[2] -.attr src "top.v:70|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=rststate[3] I3=$auto$alumacc.cc:474:replace_alu$9594.C[3] O=$abc$51270$n1946 -.attr src "top.v:70|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=init_ram_cnt[0] I3=$false O=$2\init_ram_cnt[7:0][0] -.attr src "top.v:92|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=init_ram_cnt[0] CO=$auto$alumacc.cc:474:replace_alu$9597.C[2] I0=$false I1=init_ram_cnt[1] -.attr src "top.v:92|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[2] I3=$auto$alumacc.cc:474:replace_alu$9597.C[2] O=$2\init_ram_cnt[7:0][2] -.attr src "top.v:92|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9597.C[2] CO=$auto$alumacc.cc:474:replace_alu$9597.C[3] I0=$false I1=init_ram_cnt[2] -.attr src "top.v:92|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[3] I3=$auto$alumacc.cc:474:replace_alu$9597.C[3] O=$2\init_ram_cnt[7:0][3] -.attr src "top.v:92|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9597.C[3] CO=$auto$alumacc.cc:474:replace_alu$9597.C[4] I0=$false I1=init_ram_cnt[3] -.attr src "top.v:92|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[4] I3=$auto$alumacc.cc:474:replace_alu$9597.C[4] O=$2\init_ram_cnt[7:0][4] -.attr src "top.v:92|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9597.C[4] CO=$auto$alumacc.cc:474:replace_alu$9597.C[5] I0=$false I1=init_ram_cnt[4] -.attr src "top.v:92|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[5] I3=$auto$alumacc.cc:474:replace_alu$9597.C[5] O=$2\init_ram_cnt[7:0][5] -.attr src "top.v:92|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9597.C[5] CO=$auto$alumacc.cc:474:replace_alu$9597.C[6] I0=$false I1=init_ram_cnt[5] -.attr src "top.v:92|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[6] I3=$auto$alumacc.cc:474:replace_alu$9597.C[6] O=$2\init_ram_cnt[7:0][6] -.attr src "top.v:92|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9597.C[6] CO=$auto$alumacc.cc:474:replace_alu$9597.C[7] I0=$false I1=init_ram_cnt[6] -.attr src "top.v:92|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[7] I3=$auto$alumacc.cc:474:replace_alu$9597.C[7] O=$2\init_ram_cnt[7:0][7] -.attr src "top.v:92|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=wr_cnt[0] I2=$true I3=$true O=$abc$51270$n269 -.attr src "top.v:124|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=wr_cnt[0] I2=$false I3=$true O=$abc$51270$n253 -.attr src "top.v:126|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=wr_cnt[0] CO=$auto$alumacc.cc:474:replace_alu$9603.C[2] I0=wr_cnt[1] I1=$false -.attr src "top.v:126|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=wr_cnt[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9603.C[2] O=$abc$51270$n260 -.attr src "top.v:126|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[0] I2=$false I3=$true O=$2\I2C_INPUT_LEN[7:0][0] -.attr src "top.v:132|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=I2C.byte_counter[0] CO=$auto$alumacc.cc:474:replace_alu$9606.C[2] I0=I2C.byte_counter[1] I1=$true -.attr src "top.v:132|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9606.C[2] O=$2\I2C_INPUT_LEN[7:0][2] -.attr src "top.v:132|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9606.C[2] CO=$auto$alumacc.cc:474:replace_alu$9606.C[3] I0=I2C.byte_counter[2] I1=$true -.attr src "top.v:132|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[3] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9606.C[3] O=$2\I2C_INPUT_LEN[7:0][3] -.attr src "top.v:132|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9606.C[3] CO=$auto$alumacc.cc:474:replace_alu$9606.C[4] I0=I2C.byte_counter[3] I1=$true -.attr src "top.v:132|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[4] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9606.C[4] O=$2\I2C_INPUT_LEN[7:0][4] -.attr src "top.v:132|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9606.C[4] CO=$auto$alumacc.cc:474:replace_alu$9606.C[5] I0=I2C.byte_counter[4] I1=$true -.attr src "top.v:132|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[5] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9606.C[5] O=$2\I2C_INPUT_LEN[7:0][5] -.attr src "top.v:132|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9606.C[5] CO=$auto$alumacc.cc:474:replace_alu$9606.C[6] I0=I2C.byte_counter[5] I1=$true -.attr src "top.v:132|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[6] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9606.C[6] O=$2\I2C_INPUT_LEN[7:0][6] -.attr src "top.v:132|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9606.C[6] CO=$auto$alumacc.cc:474:replace_alu$9606.C[7] I0=I2C.byte_counter[6] I1=$true -.attr src "top.v:132|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[7] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9606.C[7] O=$2\I2C_INPUT_LEN[7:0][7] -.attr src "top.v:132|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=init_ram_cnt[0] I2=$true I3=$true O=$abc$51270$n1916 -.attr src "top.v:90|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=init_ram_cnt[1] CO=$auto$alumacc.cc:474:replace_alu$9609.C[3] I0=init_ram_cnt[2] I1=$true -.attr src "top.v:90|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=init_ram_cnt[3] I2=$false I3=$auto$alumacc.cc:474:replace_alu$9609.C[3] O=$abc$51270$n1922 -.attr src "top.v:90|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9609.C[3] CO=$auto$alumacc.cc:474:replace_alu$9609.C[4] I0=init_ram_cnt[3] I1=$false -.attr src "top.v:90|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=init_ram_cnt[4] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9609.C[4] O=$abc$51270$n1924 -.attr src "top.v:90|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9609.C[4] CO=$auto$alumacc.cc:474:replace_alu$9609.C[5] I0=init_ram_cnt[4] I1=$true -.attr src "top.v:90|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=init_ram_cnt[5] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9609.C[5] O=$abc$51270$n1926 -.attr src "top.v:90|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9609.C[5] CO=$auto$alumacc.cc:474:replace_alu$9609.C[6] I0=init_ram_cnt[5] I1=$true -.attr src "top.v:90|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=init_ram_cnt[6] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9609.C[6] O=$abc$51270$n1928 -.attr src "top.v:90|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9609.C[6] CO=$auto$alumacc.cc:474:replace_alu$9609.C[7] I0=init_ram_cnt[6] I1=$true -.attr src "top.v:90|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=init_ram_cnt[7] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9609.C[7] O=$abc$51270$n1930 -.attr src "top.v:90|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$abc$51270$n2393 CO=$auto$alumacc.cc:474:replace_alu$9612.C[3] I0=$false I1=$abc$51270$n2472 -.attr src "top.v:21|i2c_slave.v:128|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9612.C[3] CO=$auto$alumacc.cc:474:replace_alu$9612.C[4] I0=$false I1=$abc$51270$n2473 -.attr src "top.v:21|i2c_slave.v:128|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9612.C[4] CO=$auto$alumacc.cc:474:replace_alu$9612.C[5] I0=$false I1=$abc$51270$n2469 -.attr src "top.v:21|i2c_slave.v:128|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9612.C[5] CO=$auto$alumacc.cc:474:replace_alu$9612.C[6] I0=$false I1=$abc$51270$n2470 -.attr src "top.v:21|i2c_slave.v:128|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9612.C[6] CO=$auto$alumacc.cc:474:replace_alu$9612.C[7] I0=$false I1=$abc$51270$n2474 -.attr src "top.v:21|i2c_slave.v:128|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9612.C[7] CO=$abc$51270$n2431 I0=$false I1=$abc$51270$n2471 -.attr src "top.v:21|i2c_slave.v:128|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$true I2=$abc$51270$n1493 I3=$false O=$abc$51270$n2173 -.attr src "top.v:21|i2c_slave.v:121|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$abc$51270$n1493 CO=$auto$alumacc.cc:474:replace_alu$9617.C[2] I0=$false I1=$abc$51270$n1494 -.attr src "top.v:21|i2c_slave.v:121|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$51270$n1496 I3=$auto$alumacc.cc:474:replace_alu$9617.C[2] O=$abc$51270$n2175 -.attr src "top.v:21|i2c_slave.v:121|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9617.C[2] CO=$auto$alumacc.cc:474:replace_alu$9617.C[3] I0=$false I1=$abc$51270$n1496 -.attr src "top.v:21|i2c_slave.v:121|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$51270$n1497 I3=$auto$alumacc.cc:474:replace_alu$9617.C[3] O=$abc$51270$n2176 -.attr src "top.v:21|i2c_slave.v:121|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9617.C[3] CO=$auto$alumacc.cc:474:replace_alu$9617.C[4] I0=$false I1=$abc$51270$n1497 -.attr src "top.v:21|i2c_slave.v:121|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$51270$n1499 I3=$auto$alumacc.cc:474:replace_alu$9617.C[4] O=$abc$51270$n2177 -.attr src "top.v:21|i2c_slave.v:121|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9617.C[4] CO=$auto$alumacc.cc:474:replace_alu$9617.C[5] I0=$false I1=$abc$51270$n1499 -.attr src "top.v:21|i2c_slave.v:121|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$51270$n1500 I3=$auto$alumacc.cc:474:replace_alu$9617.C[5] O=$abc$51270$n2178 -.attr src "top.v:21|i2c_slave.v:121|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9617.C[5] CO=$auto$alumacc.cc:474:replace_alu$9617.C[6] I0=$false I1=$abc$51270$n1500 -.attr src "top.v:21|i2c_slave.v:121|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$51270$n1502 I3=$auto$alumacc.cc:474:replace_alu$9617.C[6] O=$abc$51270$n2179 -.attr src "top.v:21|i2c_slave.v:121|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9617.C[6] CO=$auto$alumacc.cc:474:replace_alu$9617.C[7] I0=$false I1=$abc$51270$n1502 -.attr src "top.v:21|i2c_slave.v:121|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$51270$n1503 I3=$auto$alumacc.cc:474:replace_alu$9617.C[7] O=$abc$51270$n2180 -.attr src "top.v:21|i2c_slave.v:121|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$abc$51270$n2008 I2=$false I3=$true O=$abc$51270$n305 -.attr src "top.v:21|i2c_slave.v:106|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$abc$51270$n2008 CO=$auto$alumacc.cc:474:replace_alu$9620.C[2] I0=$abc$51270$n2010 I1=$true -.attr src "top.v:21|i2c_slave.v:106|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$abc$51270$n2012 I2=$true I3=$auto$alumacc.cc:474:replace_alu$9620.C[2] O=$abc$51270$n307 -.attr src "top.v:21|i2c_slave.v:106|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9620.C[2] CO=$auto$alumacc.cc:474:replace_alu$9620.C[3] I0=$abc$51270$n2012 I1=$true -.attr src "top.v:21|i2c_slave.v:106|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$abc$51270$n2014 I2=$true I3=$auto$alumacc.cc:474:replace_alu$9620.C[3] O=$abc$51270$n308 -.attr src "top.v:21|i2c_slave.v:106|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9620.C[3] CO=$abc$51270$n2394 I0=$abc$51270$n2014 I1=$true -.attr src "top.v:21|i2c_slave.v:106|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$true I3=$abc$51270$n2394 O=$abc$51270$n319 -.attr src "top.v:21|i2c_slave.v:106|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[0] I2=$false I3=$true O=$abc$51270$n1633 -.attr src "top.v:21|i2c_slave.v:45|simple_filter.v:14|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=I2C.FLT_SCL.counter[0] CO=$auto$alumacc.cc:474:replace_alu$9623.C[2] I0=I2C.FLT_SCL.counter[1] I1=$true -.attr src "top.v:21|i2c_slave.v:45|simple_filter.v:14|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9623.C[2] O=$abc$51270$n1636 -.attr src "top.v:21|i2c_slave.v:45|simple_filter.v:14|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[0] I2=$false I3=$true O=$abc$51270$n1629 -.attr src "top.v:21|i2c_slave.v:46|simple_filter.v:14|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=I2C.FLT_SDA.counter[0] CO=$auto$alumacc.cc:474:replace_alu$9626.C[2] I0=I2C.FLT_SDA.counter[1] I1=$true -.attr src "top.v:21|i2c_slave.v:46|simple_filter.v:14|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9626.C[2] O=$abc$51270$n1632 -.attr src "top.v:21|i2c_slave.v:46|simple_filter.v:14|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=I2C.byte_counter[0] I3=$false O=$abc$51270$n2324 -.attr src "top.v:41|descriptors.v:36|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=I2C.byte_counter[5] CO=$auto$alumacc.cc:474:replace_alu$9629.C[7] I0=$false I1=I2C.byte_counter[6] -.attr src "top.v:41|descriptors.v:36|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=I2C.byte_counter[7] I3=$auto$alumacc.cc:474:replace_alu$9629.C[7] O=$abc$51270$n2330 -.attr src "top.v:41|descriptors.v:36|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$abc$51270$n396 I2=$false I3=$false O=$abc$51270$n2265 -.attr src "top.v:39|matrix_kbd.v:171|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.init_delay_cnt[0] I3=$false O=$auto$wreduce.cc:347:run$9491[0] -.attr src "top.v:39|matrix_kbd.v:60|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=KEYBOARD.init_delay_cnt[0] CO=$auto$alumacc.cc:474:replace_alu$9635.C[2] I0=$false I1=KEYBOARD.init_delay_cnt[1] -.attr src "top.v:39|matrix_kbd.v:60|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_delay_cnt[2] I3=$auto$alumacc.cc:474:replace_alu$9635.C[2] O=$auto$wreduce.cc:347:run$9491[2] -.attr src "top.v:39|matrix_kbd.v:60|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9635.C[2] CO=$auto$alumacc.cc:474:replace_alu$9635.C[3] I0=$false I1=KEYBOARD.init_delay_cnt[2] -.attr src "top.v:39|matrix_kbd.v:60|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_delay_cnt[3] I3=$auto$alumacc.cc:474:replace_alu$9635.C[3] O=$auto$wreduce.cc:347:run$9491[3] -.attr src "top.v:39|matrix_kbd.v:60|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.init_ram_cnt[0] I3=$false O=$auto$wreduce.cc:347:run$9492[0] -.attr src "top.v:39|matrix_kbd.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=KEYBOARD.init_ram_cnt[0] CO=$auto$alumacc.cc:474:replace_alu$9638.C[2] I0=$false I1=KEYBOARD.init_ram_cnt[1] -.attr src "top.v:39|matrix_kbd.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[2] I3=$auto$alumacc.cc:474:replace_alu$9638.C[2] O=$auto$wreduce.cc:347:run$9492[2] -.attr src "top.v:39|matrix_kbd.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9638.C[2] CO=$auto$alumacc.cc:474:replace_alu$9638.C[3] I0=$false I1=KEYBOARD.init_ram_cnt[2] -.attr src "top.v:39|matrix_kbd.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[3] I3=$auto$alumacc.cc:474:replace_alu$9638.C[3] O=$auto$wreduce.cc:347:run$9492[3] -.attr src "top.v:39|matrix_kbd.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9638.C[3] CO=$auto$alumacc.cc:474:replace_alu$9638.C[4] I0=$false I1=KEYBOARD.init_ram_cnt[3] -.attr src "top.v:39|matrix_kbd.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[4] I3=$auto$alumacc.cc:474:replace_alu$9638.C[4] O=$auto$wreduce.cc:347:run$9492[4] -.attr src "top.v:39|matrix_kbd.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9638.C[4] CO=$auto$alumacc.cc:474:replace_alu$9638.C[5] I0=$false I1=KEYBOARD.init_ram_cnt[4] -.attr src "top.v:39|matrix_kbd.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[5] I3=$auto$alumacc.cc:474:replace_alu$9638.C[5] O=$auto$wreduce.cc:347:run$9492[5] -.attr src "top.v:39|matrix_kbd.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9638.C[5] CO=$auto$alumacc.cc:474:replace_alu$9638.C[6] I0=$false I1=KEYBOARD.init_ram_cnt[5] -.attr src "top.v:39|matrix_kbd.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[6] I3=$auto$alumacc.cc:474:replace_alu$9638.C[6] O=$auto$wreduce.cc:347:run$9492[6] -.attr src "top.v:39|matrix_kbd.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9638.C[6] CO=$auto$alumacc.cc:474:replace_alu$9638.C[7] I0=$false I1=KEYBOARD.init_ram_cnt[6] -.attr src "top.v:39|matrix_kbd.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[7] I3=$auto$alumacc.cc:474:replace_alu$9638.C[7] O=$auto$wreduce.cc:347:run$9492[7] -.attr src "top.v:39|matrix_kbd.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9638.C[7] CO=$auto$alumacc.cc:474:replace_alu$9638.C[8] I0=$false I1=KEYBOARD.init_ram_cnt[7] -.attr src "top.v:39|matrix_kbd.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[8] I3=$auto$alumacc.cc:474:replace_alu$9638.C[8] O=$auto$wreduce.cc:347:run$9492[8] -.attr src "top.v:39|matrix_kbd.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_time[0] I3=$false O=$abc$51270$n1609 -.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[10] I3=$auto$alumacc.cc:474:replace_alu$9641.C[10] O=$abc$51270$n1620 -.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9641.C[10] CO=$auto$alumacc.cc:474:replace_alu$9641.C[11] I0=$false I1=KEYBOARD.row_time[10] -.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[11] I3=$auto$alumacc.cc:474:replace_alu$9641.C[11] O=$abc$51270$n1621 -.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9641.C[11] CO=$auto$alumacc.cc:474:replace_alu$9641.C[12] I0=$false I1=KEYBOARD.row_time[11] -.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[12] I3=$auto$alumacc.cc:474:replace_alu$9641.C[12] O=$abc$51270$n1626 -.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=KEYBOARD.row_time[0] CO=$auto$alumacc.cc:474:replace_alu$9641.C[2] I0=$false I1=KEYBOARD.row_time[1] -.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[2] I3=$auto$alumacc.cc:474:replace_alu$9641.C[2] O=$abc$51270$n1612 -.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9641.C[2] CO=$auto$alumacc.cc:474:replace_alu$9641.C[3] I0=$false I1=KEYBOARD.row_time[2] -.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[3] I3=$auto$alumacc.cc:474:replace_alu$9641.C[3] O=$abc$51270$n1613 -.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9641.C[3] CO=$auto$alumacc.cc:474:replace_alu$9641.C[4] I0=$false I1=KEYBOARD.row_time[3] -.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[4] I3=$auto$alumacc.cc:474:replace_alu$9641.C[4] O=$abc$51270$n1607 -.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9641.C[4] CO=$auto$alumacc.cc:474:replace_alu$9641.C[5] I0=$false I1=KEYBOARD.row_time[4] -.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[5] I3=$auto$alumacc.cc:474:replace_alu$9641.C[5] O=$abc$51270$n396 -.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9641.C[5] CO=$auto$alumacc.cc:474:replace_alu$9641.C[6] I0=$false I1=KEYBOARD.row_time[5] -.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[6] I3=$auto$alumacc.cc:474:replace_alu$9641.C[6] O=$abc$51270$n394 -.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9641.C[6] CO=$auto$alumacc.cc:474:replace_alu$9641.C[7] I0=$false I1=KEYBOARD.row_time[6] -.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[7] I3=$auto$alumacc.cc:474:replace_alu$9641.C[7] O=$abc$51270$n383 -.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9641.C[7] CO=$auto$alumacc.cc:474:replace_alu$9641.C[8] I0=$false I1=KEYBOARD.row_time[7] -.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[8] I3=$auto$alumacc.cc:474:replace_alu$9641.C[8] O=$abc$51270$n1617 -.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9641.C[8] CO=$auto$alumacc.cc:474:replace_alu$9641.C[9] I0=$false I1=KEYBOARD.row_time[8] -.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[9] I3=$auto$alumacc.cc:474:replace_alu$9641.C[9] O=$abc$51270$n1618 -.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9641.C[9] CO=$auto$alumacc.cc:474:replace_alu$9641.C[10] I0=$false I1=KEYBOARD.row_time[9] -.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_counter[0] I3=$false O=$abc$51270$n2193 -.attr src "top.v:39|matrix_kbd.v:76|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=KEYBOARD.row_counter[0] CO=$auto$alumacc.cc:474:replace_alu$9644.C[2] I0=$false I1=KEYBOARD.row_counter[1] -.attr src "top.v:39|matrix_kbd.v:76|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[2] I3=$auto$alumacc.cc:474:replace_alu$9644.C[2] O=$abc$51270$n2199 -.attr src "top.v:39|matrix_kbd.v:76|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9644.C[2] CO=$auto$alumacc.cc:474:replace_alu$9644.C[3] I0=$false I1=KEYBOARD.row_counter[2] -.attr src "top.v:39|matrix_kbd.v:76|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[3] I3=$auto$alumacc.cc:474:replace_alu$9644.C[3] O=$abc$51270$n30 -.attr src "top.v:39|matrix_kbd.v:76|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[0] I2=$false I3=$true O=$abc$51270$n1514 -.attr src "top.v:30|uart.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=UART.tx_clk_counter[0] CO=$auto$alumacc.cc:474:replace_alu$9647.C[2] I0=UART.tx_clk_counter[1] I1=$true -.attr src "top.v:30|uart.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9647.C[2] O=$abc$51270$n1517 -.attr src "top.v:30|uart.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9647.C[2] CO=$auto$alumacc.cc:474:replace_alu$9647.C[3] I0=UART.tx_clk_counter[2] I1=$true -.attr src "top.v:30|uart.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[3] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9647.C[3] O=$abc$51270$n1518 -.attr src "top.v:30|uart.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[0] I2=$false I3=$true O=$abc$51270$n2384 -.attr src "top.v:30|uart.v:38|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=UART.tx_bit_counter[0] CO=$auto$alumacc.cc:474:replace_alu$9650.C[2] I0=UART.tx_bit_counter[1] I1=$true -.attr src "top.v:30|uart.v:38|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9650.C[2] O=$abc$51270$n2465 -.attr src "top.v:30|uart.v:38|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9650.C[2] CO=$auto$alumacc.cc:474:replace_alu$9650.C[3] I0=UART.tx_bit_counter[2] I1=$true -.attr src "top.v:30|uart.v:38|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[3] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9650.C[3] O=$abc$51270$n2467 -.attr src "top.v:30|uart.v:38|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$false CO=$auto$maccmap.cc:240:synth$10473.C[5] I0=$abc$51270$n2411 I1=$abc$51270$n2413 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$10\ring_rd[3:0][2] I2=$abc$51270$n2532 I3=$auto$maccmap.cc:240:synth$10473.C[5] O=$abc$51270$n1796 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$10473.C[5] CO=$auto$maccmap.cc:240:synth$10473.C[6] I0=$10\ring_rd[3:0][2] I1=$abc$51270$n2532 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$10\ring_rd[3:0][3] I2=$false I3=$auto$maccmap.cc:240:synth$10473.C[6] O=$abc$51270$n1799 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$10473.C[6] CO=$auto$maccmap.cc:240:synth$10473.C[7] I0=$10\ring_rd[3:0][3] I1=$false -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$maccmap.cc:240:synth$10473.C[7] O=$abc$51270$n1802 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=wr_cnt[0] I2=$false I3=$false O=$6\report_data_wadr[7:0][0] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$false CO=$auto$maccmap.cc:240:synth$10495.C[5] I0=$abc$51270$n2414 I1=$abc$51270$n2416 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$abc$51270$n2519 I2=$abc$51270$n2521 I3=$auto$maccmap.cc:240:synth$10495.C[5] O=$6\report_data_wadr[7:0][5] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$10495.C[5] CO=$auto$maccmap.cc:240:synth$10495.C[6] I0=$abc$51270$n2519 I1=$abc$51270$n2521 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=ring_wr[3] I2=$abc$51270$n2522 I3=$auto$maccmap.cc:240:synth$10495.C[6] O=$6\report_data_wadr[7:0][6] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$10495.C[6] CO=$auto$maccmap.cc:240:synth$10495.C[7] I0=ring_wr[3] I1=$abc$51270$n2522 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$maccmap.cc:240:synth$10495.C[7] O=$6\report_data_wadr[7:0][7] -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$false CO=$auto$maccmap.cc:240:synth$10566.C[5] I0=$abc$51270$n2417 I1=$abc$51270$n2419 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$2\ring_wr[3:0][2] I2=$abc$51270$n2512 I3=$auto$maccmap.cc:240:synth$10566.C[5] O=$abc$51270$n1906 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$10566.C[5] CO=$auto$maccmap.cc:240:synth$10566.C[6] I0=$2\ring_wr[3:0][2] I1=$abc$51270$n2512 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$2\ring_wr[3:0][3] I2=$false I3=$auto$maccmap.cc:240:synth$10566.C[6] O=$abc$51270$n1909 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$10566.C[6] CO=$auto$maccmap.cc:240:synth$10566.C[7] I0=$2\ring_wr[3:0][3] I1=$false -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$maccmap.cc:240:synth$10566.C[7] O=$abc$51270$n1912 -.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_DFFE C=CLK D=$abc$51270$n3 E=$abc$51270$n788 Q=LED1 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][0] E=$abc$51270$n764 Q=init_ram_cnt[0] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][1] E=$abc$51270$n764 Q=init_ram_cnt[1] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][2] E=$abc$51270$n764 Q=init_ram_cnt[2] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][3] E=$abc$51270$n764 Q=init_ram_cnt[3] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][4] E=$abc$51270$n764 Q=init_ram_cnt[4] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][5] E=$abc$51270$n764 Q=init_ram_cnt[5] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][6] E=$abc$51270$n764 Q=init_ram_cnt[6] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][7] E=$abc$51270$n764 Q=init_ram_cnt[7] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C.received_byte[5] I1=$false I2=$false I3=$false O=$abc$19660$n530 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C.received_byte[4] I1=$false I2=$false I3=$false O=$abc$19660$n531 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C.received_byte[3] I1=$false I2=$false I3=$false O=$abc$19660$n533 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C.received_byte[2] I1=$false I2=$false I3=$false O=$abc$19660$n534 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" +.param LUT_INIT 01 +.gate SB_LUT4 I0=I2C.received_byte[1] I1=$false I2=$false I3=$false O=$abc$19660$n536 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$19660$n1680 I1=$false I2=$false I3=$false O=$abc$19660$n1444 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$19660$n1440 I1=$false I2=$false I3=$false O=$abc$19660$n1604 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$19660$n1623 I1=$false I2=$false I3=$false O=$abc$19660$n1622 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$19660$n1624 I1=$false I2=$false I3=$false O=$abc$19660$n1625 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$19660$n1626 I1=$false I2=$false I3=$false O=$abc$19660$n1627 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$19660$n1438 I1=$false I2=$false I3=$false O=$abc$19660$n1678 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$19660$n1442 I1=$false I2=$false I3=$false O=$abc$19660$n1679 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$19660$n1681 I1=$false I2=$false I3=$false O=$abc$19660$n1682 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" +.param LUT_INIT 01 +.gate SB_LUT4 I0=CLK I1=$false I2=$false I3=$false O=I2C_HID_DESC.CLK +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" +.param LUT_INIT 01 +.gate SB_LUT4 I0=$abc$19660$n1673 I1=$abc$19660$n565_1 I2=$abc$19660$n1672 I3=$false O=$abc$19660$n1065_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=I2C.received_byte[3] I1=I2C_COUNTER[0] I2=I2C.received_byte[1] I3=I2C.received_byte[2] O=$abc$19660$n1068 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000100 +.gate SB_LUT4 I0=I2C_COUNTER[3] I1=I2C_COUNTER[1] I2=$abc$19660$n535 I3=$abc$19660$n543 O=$abc$19660$n1069_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$19660$n1068 I2=$abc$19660$n531_1 I3=$abc$19660$n1069_1 O=$abc$19660$n1070 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1011111100000000 +.gate SB_LUT4 I0=$abc$19660$n558 I1=$abc$19660$n556 I2=i2c_input_data_type[2] I3=$false O=$abc$19660$n1071_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000011 +.gate SB_LUT4 I0=$abc$19660$n584_1 I1=$abc$19660$n1071_1 I2=$abc$19660$n590_1 I3=$abc$19660$n556 O=$abc$19660$n1677 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000101111001100 +.gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$19660$n651 I2=$abc$19660$n671 I3=I2C.FLT_SCL.RESET O=$abc$19660$n72 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000011111111 +.gate SB_LUT4 I0=$abc$19660$n1359 I1=$abc$19660$n1368 I2=$abc$19660$n555 I3=$abc$19660$n567 O=$abc$19660$n1081 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000101011000000 +.gate SB_LUT4 I0=$abc$19660$n732_1 I1=$abc$19660$n735_1 I2=$abc$19660$n743 I3=$abc$19660$n740 O=$abc$19660$n1082_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$19660$n565 I1=$abc$19660$n1081 I2=$abc$19660$n729 I3=$abc$19660$n1082_1 O=$abc$19660$n1083 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000011100000000 +.gate SB_LUT4 I0=KEYBOARD.temp[4] I1=KEYBOARD.RAM.r_data[0] I2=$abc$19660$n565 I3=$abc$19660$n555 O=$abc$19660$n1084_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000101011111100 +.gate SB_LUT4 I0=$abc$19660$n752_1 I1=KEYBOARD.temp[2] I2=$abc$19660$n565 I3=$abc$19660$n567 O=$abc$19660$n1085 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0101000011001111 +.gate SB_LUT4 I0=KEYBOARD.isr_internal I1=KEYBOARD.report_wr_en I2=$abc$19660$n757_1 I3=IS_RAM_INIT O=$abc$19660$n1088_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111110000000 +.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$19660$n1088_1 I2=$abc$19660$n755_1 I3=$abc$19660$n703 O=$abc$19660$n1089 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000011100000000 +.gate SB_LUT4 I0=$22\i2c_input_data_type[3:0][0] I1=$abc$19660$n524 I2=I2C.is_read I3=$abc$19660$n644 O=$20\i2c_input_data_type[3:0][0] +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0011101000110011 +.gate SB_LUT4 I0=I2C_COUNTER[0] I1=i2c_input_data_type[3] I2=I2C_COUNTER[1] I3=i2c_input_data_type[2] O=$abc$19660$n1091_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$19660$n543 I1=$abc$19660$n539 I2=i2c_input_data_type[1] I3=i2c_input_data_type[0] O=$abc$19660$n1092 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100000000001010 +.gate SB_LUT4 I0=i2c_input_data_type[1] I1=I2C_COUNTER[3] I2=$abc$19660$n1092 I3=$abc$19660$n556 O=$abc$19660$n1093_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1001000000000000 +.gate SB_LUT4 I0=$abc$19660$n1091_1 I1=$abc$19660$n1093_1 I2=$false I3=$false O=$abc$19660$n1094 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.kbd_code_hid[1] I3=$abc$19660$n699 O=$abc$19660$n1095 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000010011110000 +.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[1] I1=$abc$19660$n699 I2=KEYBOARD.is_pressed I3=$abc$19660$n1095 O=$abc$19660$n1096_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111000010001000 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19660$n1096_1 I2=$abc$19660$n925_1 I3=$false O=$abc$19660$n1308 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11110100 +.gate SB_LUT4 I0=$abc$19660$n716 I1=$abc$19660$n701 I2=$abc$19660$n707 I3=KEYBOARD.is_pressed O=$abc$19660$n1098 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111110111001111 +.gate SB_LUT4 I0=$abc$19660$n699 I1=$abc$19660$n1098 I2=$abc$19660$n761 I3=$abc$19660$n1311 O=$abc$19660$n1313 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111110110000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$abc$19660$n699 O=$abc$19660$n1100_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000010011110000 +.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[2] I1=$abc$19660$n699 I2=KEYBOARD.is_pressed I3=$abc$19660$n1100_1 O=$abc$19660$n1398 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111000010001000 +.gate SB_LUT4 I0=$abc$19660$n1107 I1=$abc$19660$n1109 I2=$abc$19660$n661 I3=$false O=$abc$19660$n1102 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$19660$n1001 I1=$abc$19660$n992_1 I2=$abc$19660$n776_1 I3=$abc$19660$n994 O=$abc$19660$n1103 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0011010100000000 +.gate SB_LUT4 I0=$abc$19660$n1102 I1=$abc$19660$n1103 I2=$abc$19660$n776_1 I3=$abc$19660$n990 O=$abc$19660$n1104 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1010110011001100 +.gate SB_LUT4 I0=$abc$19660$n776_1 I1=$abc$19660$n778_1 I2=$abc$19660$n1104 I3=$abc$19660$n995 O=$abc$19660$n1105 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111010111000000 +.gate SB_LUT4 I0=$abc$19660$n873 I1=$abc$19660$n869 I2=$abc$19660$n660 I3=$abc$19660$n780 O=$abc$19660$n1106 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100111110100000 +.gate SB_LUT4 I0=$abc$19660$n871 I1=$abc$19660$n867 I2=$abc$19660$n660 I3=$abc$19660$n1106 O=$abc$19660$n1107 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111110000001010 +.gate SB_LUT4 I0=$abc$19660$n881 I1=$abc$19660$n877 I2=$abc$19660$n660 I3=$abc$19660$n780 O=$abc$19660$n1108 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100111110100000 +.gate SB_LUT4 I0=$abc$19660$n879 I1=$abc$19660$n875_1 I2=$abc$19660$n660 I3=$abc$19660$n1108 O=$abc$19660$n1109 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111110000001010 +.gate SB_LUT4 I0=$abc$19660$n997 I1=$abc$19660$n776_1 I2=$abc$19660$n1005 I3=$false O=$abc$19660$n1110_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11100000 +.gate SB_LUT4 I0=$abc$19660$n1110_1 I1=$abc$19660$n1025 I2=$abc$19660$n1004 I3=$abc$19660$n778_1 O=$abc$19660$n1111 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000111011001100 +.gate SB_LUT4 I0=$abc$19660$n1012 I1=$abc$19660$n1011 I2=$abc$19660$n1111 I3=$false O=$abc$19660$n1472 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10110000 +.gate SB_LUT4 I0=$abc$19660$n559 I1=$abc$19660$n527_1 I2=$abc$19660$n576 I3=$abc$19660$n581 O=$abc$19660$n1113 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100111100100000 +.gate SB_LUT4 I0=$abc$19660$n1065_1 I1=$abc$19660$n581 I2=$abc$19660$n524 I3=$abc$19660$n1671 O=$abc$19660$n1114_1 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000101100000000 +.gate SB_LUT4 I0=$abc$19660$n1677 I1=$abc$19660$n520 I2=$false I3=$false O=$abc$19660$n1115 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$19660$n1114_1 I1=$abc$19660$n1113 I2=$abc$19660$n1115 I3=$abc$19660$n599 O=$abc$19660$n1116 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000010001111 +.gate SB_LUT4 I0=$abc$19660$n716 I1=KEYBOARD.is_pressed I2=$abc$19660$n719_1 I3=$abc$19660$n701 O=$abc$19660$n1117 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111101110000 +.gate SB_LUT4 I0=$abc$19660$n699 I1=$abc$19660$n695 I2=$abc$19660$n1117 I3=$abc$19660$n702 O=$abc$19660$n1118 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1011111111111111 +.gate SB_LUT4 I0=$abc$19660$n688 I1=$abc$19660$n1118 I2=$abc$19660$n703 I3=$false O=$abc$19660$n155 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=$abc$19660$n707 I2=KEYBOARD.report_adress_rd[3] I3=$abc$19660$n701 O=$abc$19660$n1122 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111000001000100 +.gate SB_LUT4 I0=$abc$19660$n1122 I1=I2C.FLT_SCL.RESET I2=$abc$19660$n695 I3=$abc$19660$n702 O=$abc$19660$n1123 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$19660$n689 I1=$abc$19660$n761 I2=$abc$19660$n1123 I3=$abc$19660$n699 O=$abc$19660$n1124 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0111011100001111 +.gate SB_LUT4 I0=$abc$19660$n721_1 I1=$abc$19660$n1124 I2=$abc$19660$n1089 I3=$false O=$abc$19660$n177 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=KEYBOARD.temp[1] I1=KEYBOARD.temp[5] I2=$abc$19660$n565 I3=$abc$19660$n555 O=$abc$19660$n1128 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111110000001010 +.gate SB_LUT4 I0=KEYBOARD.temp[6] I1=KEYBOARD.temp[7] I2=$abc$19660$n565 I3=$abc$19660$n567 O=$abc$19660$n1129 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100111110100000 +.gate SB_LUT4 I0=$abc$19660$n1084_1 I1=$abc$19660$n1085 I2=$abc$19660$n1128 I3=$abc$19660$n1129 O=$abc$19660$n1130 +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000011101110111 +.gate SB_CARRY CI=$abc$19660$n1625 CO=$auto$alumacc.cc:474:replace_alu$5154.C[2] I0=$false I1=$abc$19660$n1623 +.attr src "top.v:29|uart.v:40|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5154.C[2] CO=$auto$alumacc.cc:474:replace_alu$5154.C[3] I0=$false I1=$abc$19660$n1682 +.attr src "top.v:29|uart.v:40|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5154.C[3] CO=$abc$19660$n1554 I0=$false I1=$abc$19660$n1627 +.attr src "top.v:29|uart.v:40|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$19660$n1678 CO=$auto$alumacc.cc:474:replace_alu$5159.C[2] I0=$false I1=$abc$19660$n1604 +.attr src "top.v:20|i2c_slave.v:119|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5159.C[2] CO=$auto$alumacc.cc:474:replace_alu$5159.C[3] I0=$false I1=$abc$19660$n1679 +.attr src "top.v:20|i2c_slave.v:119|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5159.C[3] CO=$abc$19660$n212 I0=$false I1=$abc$19660$n1680 +.attr src "top.v:20|i2c_slave.v:119|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$19660$n524 CO=$auto$alumacc.cc:474:replace_alu$5164.C[2] I0=$false I1=$abc$19660$n523 +.attr src "top.v:217|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5164.C[2] CO=$auto$alumacc.cc:474:replace_alu$5164.C[3] I0=$true I1=$abc$19660$n1677 +.attr src "top.v:217|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5164.C[3] CO=$abc$19660$n1645 I0=$false I1=$abc$19660$n520 +.attr src "top.v:217|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$19660$n536 CO=$auto$alumacc.cc:474:replace_alu$5175.C[3] I0=$false I1=$abc$19660$n534 +.attr src "top.v:144|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5175.C[3] CO=$auto$alumacc.cc:474:replace_alu$5175.C[4] I0=$false I1=$abc$19660$n533 +.attr src "top.v:144|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5175.C[4] CO=$auto$alumacc.cc:474:replace_alu$5175.C[5] I0=$false I1=$abc$19660$n531 +.attr src "top.v:144|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5175.C[5] CO=$auto$alumacc.cc:474:replace_alu$5175.C[6] I0=$false I1=$abc$19660$n530 +.attr src "top.v:144|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5175.C[6] CO=$auto$alumacc.cc:474:replace_alu$5175.C[7] I0=$false I1=$abc$19660$n528 +.attr src "top.v:144|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5175.C[7] CO=$abc$19660$n1648 I0=$false I1=$abc$19660$n527 +.attr src "top.v:144|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$19660$n1671 CO=$auto$alumacc.cc:474:replace_alu$5188.C[3] I0=$false I1=$abc$19660$n1672 +.attr src "top.v:235|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5188.C[3] CO=$auto$alumacc.cc:474:replace_alu$5188.C[4] I0=$false I1=$abc$19660$n1673 +.attr src "top.v:235|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5188.C[4] CO=$auto$alumacc.cc:474:replace_alu$5188.C[5] I0=$false I1=$abc$19660$n1674 +.attr src "top.v:235|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5188.C[5] CO=$auto$alumacc.cc:474:replace_alu$5188.C[6] I0=$false I1=$abc$19660$n1675 +.attr src "top.v:235|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5188.C[6] CO=$abc$19660$n1651 I0=$false I1=$abc$19660$n1676 +.attr src "top.v:235|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$19660$n536 CO=$auto$alumacc.cc:474:replace_alu$5193.C[3] I0=$true I1=$abc$19660$n534 +.attr src "top.v:144|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5193.C[3] CO=$auto$alumacc.cc:474:replace_alu$5193.C[4] I0=$false I1=$abc$19660$n533 +.attr src "top.v:144|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5193.C[4] CO=$auto$alumacc.cc:474:replace_alu$5193.C[5] I0=$false I1=$abc$19660$n531 +.attr src "top.v:144|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5193.C[5] CO=$auto$alumacc.cc:474:replace_alu$5193.C[6] I0=$false I1=$abc$19660$n530 +.attr src "top.v:144|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5193.C[6] CO=$auto$alumacc.cc:474:replace_alu$5193.C[7] I0=$false I1=$abc$19660$n528 +.attr src "top.v:144|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5193.C[7] CO=$abc$19660$n1652 I0=$false I1=$abc$19660$n527 +.attr src "top.v:144|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$true I2=ring_wr[0] I3=$false O=$abc$19660$n1218 +.attr src "top.v:109|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=ring_wr[0] CO=$auto$alumacc.cc:474:replace_alu$5198.C[2] I0=$false I1=ring_wr[1] +.attr src "top.v:109|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=ring_wr[2] I3=$auto$alumacc.cc:474:replace_alu$5198.C[2] O=$abc$19660$n1224 +.attr src "top.v:109|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5198.C[2] CO=$auto$alumacc.cc:474:replace_alu$5198.C[3] I0=$false I1=ring_wr[2] +.attr src "top.v:109|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=ring_wr[3] I3=$auto$alumacc.cc:474:replace_alu$5198.C[3] O=$abc$19660$n1227 +.attr src "top.v:109|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5198.C[3] CO=$auto$alumacc.cc:474:replace_alu$5198.C[4] I0=$false I1=ring_wr[3] +.attr src "top.v:109|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$alumacc.cc:474:replace_alu$5198.C[4] O=$abc$19660$n344 +.attr src "top.v:109|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$true I2=wr_cnt[0] I3=$false O=$abc$19660$n732 +.attr src "top.v:133|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=wr_cnt[0] CO=$auto$alumacc.cc:474:replace_alu$5201.C[2] I0=$false I1=wr_cnt[1] +.attr src "top.v:133|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[2] I3=$auto$alumacc.cc:474:replace_alu$5201.C[2] O=$abc$19660$n735 +.attr src "top.v:133|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5201.C[2] CO=$auto$alumacc.cc:474:replace_alu$5201.C[3] I0=$false I1=wr_cnt[2] +.attr src "top.v:133|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[3] I3=$auto$alumacc.cc:474:replace_alu$5201.C[3] O=$abc$19660$n736 +.attr src "top.v:133|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$true I2=ring_rd[0] I3=$false O=$abc$19660$n1091 +.attr src "top.v:184|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=ring_rd[0] CO=$auto$alumacc.cc:474:replace_alu$5204.C[2] I0=$false I1=ring_rd[1] +.attr src "top.v:184|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=ring_rd[2] I3=$auto$alumacc.cc:474:replace_alu$5204.C[2] O=$abc$19660$n1097 +.attr src "top.v:184|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5204.C[2] CO=$auto$alumacc.cc:474:replace_alu$5204.C[3] I0=$false I1=ring_rd[2] +.attr src "top.v:184|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=ring_rd[3] I3=$auto$alumacc.cc:474:replace_alu$5204.C[3] O=$abc$19660$n1100 +.attr src "top.v:184|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$true I2=I2C_COUNTER[0] I3=$false O=$abc$19660$n1076 +.attr src "top.v:193|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=I2C_COUNTER[0] CO=$auto$alumacc.cc:474:replace_alu$5207.C[2] I0=$false I1=I2C_COUNTER[1] +.attr src "top.v:193|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[2] I3=$auto$alumacc.cc:474:replace_alu$5207.C[2] O=$abc$19660$n1080 +.attr src "top.v:193|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5207.C[2] CO=$auto$alumacc.cc:474:replace_alu$5207.C[3] I0=$false I1=I2C_COUNTER[2] +.attr src "top.v:193|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[3] I3=$auto$alumacc.cc:474:replace_alu$5207.C[3] O=$abc$19660$n1082 +.attr src "top.v:193|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5207.C[3] CO=$auto$alumacc.cc:474:replace_alu$5207.C[4] I0=$false I1=I2C_COUNTER[3] +.attr src "top.v:193|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[4] I3=$auto$alumacc.cc:474:replace_alu$5207.C[4] O=$abc$19660$n1084 +.attr src "top.v:193|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5207.C[4] CO=$auto$alumacc.cc:474:replace_alu$5207.C[5] I0=$false I1=I2C_COUNTER[4] +.attr src "top.v:193|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[5] I3=$auto$alumacc.cc:474:replace_alu$5207.C[5] O=$abc$19660$n1086 +.attr src "top.v:193|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5207.C[5] CO=$auto$alumacc.cc:474:replace_alu$5207.C[6] I0=$false I1=I2C_COUNTER[5] +.attr src "top.v:193|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[6] I3=$auto$alumacc.cc:474:replace_alu$5207.C[6] O=$abc$19660$n1088 +.attr src "top.v:193|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$true I2=$3\int_tmr[14:0][0] I3=$false O=$abc$19660$n913 +.attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][10] I3=$auto$alumacc.cc:474:replace_alu$5210.C[10] O=$abc$19660$n944 +.attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5210.C[10] CO=$auto$alumacc.cc:474:replace_alu$5210.C[11] I0=$false I1=$3\int_tmr[14:0][10] +.attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][11] I3=$auto$alumacc.cc:474:replace_alu$5210.C[11] O=$abc$19660$n947 +.attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5210.C[11] CO=$auto$alumacc.cc:474:replace_alu$5210.C[12] I0=$false I1=$3\int_tmr[14:0][11] +.attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][12] I3=$auto$alumacc.cc:474:replace_alu$5210.C[12] O=$abc$19660$n950 +.attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5210.C[12] CO=$auto$alumacc.cc:474:replace_alu$5210.C[13] I0=$false I1=$3\int_tmr[14:0][12] +.attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][13] I3=$auto$alumacc.cc:474:replace_alu$5210.C[13] O=$abc$19660$n953 +.attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5210.C[13] CO=$auto$alumacc.cc:474:replace_alu$5210.C[14] I0=$false I1=$3\int_tmr[14:0][13] +.attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][14] I3=$auto$alumacc.cc:474:replace_alu$5210.C[14] O=$abc$19660$n956 +.attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$3\int_tmr[14:0][0] CO=$auto$alumacc.cc:474:replace_alu$5210.C[2] I0=$false I1=$3\int_tmr[14:0][1] +.attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][2] I3=$auto$alumacc.cc:474:replace_alu$5210.C[2] O=$abc$19660$n920 +.attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5210.C[2] CO=$auto$alumacc.cc:474:replace_alu$5210.C[3] I0=$false I1=$3\int_tmr[14:0][2] +.attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][3] I3=$auto$alumacc.cc:474:replace_alu$5210.C[3] O=$abc$19660$n923 +.attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5210.C[3] CO=$auto$alumacc.cc:474:replace_alu$5210.C[4] I0=$false I1=$3\int_tmr[14:0][3] +.attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][4] I3=$auto$alumacc.cc:474:replace_alu$5210.C[4] O=$abc$19660$n926 +.attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5210.C[4] CO=$auto$alumacc.cc:474:replace_alu$5210.C[5] I0=$false I1=$3\int_tmr[14:0][4] +.attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][5] I3=$auto$alumacc.cc:474:replace_alu$5210.C[5] O=$abc$19660$n929 +.attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5210.C[5] CO=$auto$alumacc.cc:474:replace_alu$5210.C[6] I0=$false I1=$3\int_tmr[14:0][5] +.attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][6] I3=$auto$alumacc.cc:474:replace_alu$5210.C[6] O=$abc$19660$n932 +.attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5210.C[6] CO=$auto$alumacc.cc:474:replace_alu$5210.C[7] I0=$false I1=$3\int_tmr[14:0][6] +.attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][7] I3=$auto$alumacc.cc:474:replace_alu$5210.C[7] O=$abc$19660$n935 +.attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5210.C[7] CO=$auto$alumacc.cc:474:replace_alu$5210.C[8] I0=$false I1=$3\int_tmr[14:0][7] +.attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][8] I3=$auto$alumacc.cc:474:replace_alu$5210.C[8] O=$abc$19660$n938 +.attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5210.C[8] CO=$auto$alumacc.cc:474:replace_alu$5210.C[9] I0=$false I1=$3\int_tmr[14:0][8] +.attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][9] I3=$auto$alumacc.cc:474:replace_alu$5210.C[9] O=$abc$19660$n941 +.attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5210.C[9] CO=$auto$alumacc.cc:474:replace_alu$5210.C[10] I0=$false I1=$3\int_tmr[14:0][9] +.attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$abc$19660$n19 I2=rststate[0] I3=$false O=$abc$19660$n1245 +.attr src "top.v:69|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$false CO=$auto$alumacc.cc:474:replace_alu$5213.C[1] I0=$abc$19660$n19 I1=rststate[0] +.attr src "top.v:69|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=rststate[1] I3=$auto$alumacc.cc:474:replace_alu$5213.C[1] O=$abc$19660$n1247 +.attr src "top.v:69|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5213.C[1] CO=$auto$alumacc.cc:474:replace_alu$5213.C[2] I0=$false I1=rststate[1] +.attr src "top.v:69|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=rststate[2] I3=$auto$alumacc.cc:474:replace_alu$5213.C[2] O=$abc$19660$n1249 +.attr src "top.v:69|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5213.C[2] CO=$auto$alumacc.cc:474:replace_alu$5213.C[3] I0=$false I1=rststate[2] +.attr src "top.v:69|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=rststate[3] I3=$auto$alumacc.cc:474:replace_alu$5213.C[3] O=$abc$19660$n1251 +.attr src "top.v:69|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$22\i2c_input_data_type[3:0][0] I2=$false I3=$true O=$abc$19660$n998 +.attr src "top.v:220|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$22\i2c_input_data_type[3:0][0] CO=$auto$alumacc.cc:474:replace_alu$5216.C[2] I0=$22\i2c_input_data_type[3:0][1] I1=$true +.attr src "top.v:220|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$22\i2c_input_data_type[3:0][2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5216.C[2] O=$abc$19660$n1000 +.attr src "top.v:220|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$abc$19660$n1438 I2=$false I3=$true O=$abc$19660$n660 +.attr src "top.v:20|i2c_slave.v:122|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$abc$19660$n1438 CO=$auto$alumacc.cc:474:replace_alu$5219.C[2] I0=$abc$19660$n1440 I1=$true +.attr src "top.v:20|i2c_slave.v:122|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$abc$19660$n1442 I2=$true I3=$auto$alumacc.cc:474:replace_alu$5219.C[2] O=$abc$19660$n661 +.attr src "top.v:20|i2c_slave.v:122|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5219.C[2] CO=$auto$alumacc.cc:474:replace_alu$5219.C[3] I0=$abc$19660$n1442 I1=$true +.attr src "top.v:20|i2c_slave.v:122|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$abc$19660$n1444 I2=$true I3=$auto$alumacc.cc:474:replace_alu$5219.C[3] O=$abc$19660$n635 +.attr src "top.v:20|i2c_slave.v:122|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5219.C[3] CO=$abc$19660$n1603 I0=$abc$19660$n1444 I1=$true +.attr src "top.v:20|i2c_slave.v:122|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$true I3=$abc$19660$n1603 O=$abc$19660$n667 +.attr src "top.v:20|i2c_slave.v:122|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[0] I2=$false I3=$true O=$abc$19660$n829 +.attr src "top.v:20|i2c_slave.v:45|simple_filter.v:14|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=I2C.FLT_SCL.counter[0] CO=$auto$alumacc.cc:474:replace_alu$5222.C[2] I0=I2C.FLT_SCL.counter[1] I1=$true +.attr src "top.v:20|i2c_slave.v:45|simple_filter.v:14|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5222.C[2] O=$abc$19660$n832 +.attr src "top.v:20|i2c_slave.v:45|simple_filter.v:14|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[0] I2=$false I3=$true O=$abc$19660$n825 +.attr src "top.v:20|i2c_slave.v:46|simple_filter.v:14|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=I2C.FLT_SDA.counter[0] CO=$auto$alumacc.cc:474:replace_alu$5225.C[2] I0=I2C.FLT_SDA.counter[1] I1=$true +.attr src "top.v:20|i2c_slave.v:46|simple_filter.v:14|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$abc$19660$n721 I2=$true I3=$auto$alumacc.cc:474:replace_alu$5225.C[2] O=$abc$19660$n828 +.attr src "top.v:20|i2c_slave.v:46|simple_filter.v:14|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.report_adress_rd[0] I3=$false O=$abc$19660$n802 +.attr src "top.v:37|matrix_kbd.v:101|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=KEYBOARD.report_adress_rd[0] CO=$auto$alumacc.cc:474:replace_alu$5228.C[2] I0=$false I1=KEYBOARD.report_adress_rd[1] +.attr src "top.v:37|matrix_kbd.v:101|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.report_adress_rd[2] I3=$auto$alumacc.cc:474:replace_alu$5228.C[2] O=$abc$19660$n805 +.attr src "top.v:37|matrix_kbd.v:101|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5228.C[2] CO=$auto$alumacc.cc:474:replace_alu$5228.C[3] I0=$false I1=KEYBOARD.report_adress_rd[2] +.attr src "top.v:37|matrix_kbd.v:101|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.report_adress_rd[3] I3=$auto$alumacc.cc:474:replace_alu$5228.C[3] O=$abc$19660$n806 +.attr src "top.v:37|matrix_kbd.v:101|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_time[0] I3=$false O=$abc$19660$n778 +.attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[10] I3=$auto$alumacc.cc:474:replace_alu$5231.C[10] O=$abc$19660$n789 +.attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5231.C[10] CO=$auto$alumacc.cc:474:replace_alu$5231.C[11] I0=$false I1=KEYBOARD.row_time[10] +.attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[11] I3=$auto$alumacc.cc:474:replace_alu$5231.C[11] O=$abc$19660$n790 +.attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5231.C[11] CO=$auto$alumacc.cc:474:replace_alu$5231.C[12] I0=$false I1=KEYBOARD.row_time[11] +.attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[12] I3=$auto$alumacc.cc:474:replace_alu$5231.C[12] O=$abc$19660$n792 +.attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5231.C[12] CO=$auto$alumacc.cc:474:replace_alu$5231.C[13] I0=$false I1=KEYBOARD.row_time[12] +.attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[13] I3=$auto$alumacc.cc:474:replace_alu$5231.C[13] O=$abc$19660$n793 +.attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5231.C[13] CO=$auto$alumacc.cc:474:replace_alu$5231.C[14] I0=$false I1=KEYBOARD.row_time[13] +.attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[14] I3=$auto$alumacc.cc:474:replace_alu$5231.C[14] O=$abc$19660$n797 +.attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=KEYBOARD.row_time[0] CO=$auto$alumacc.cc:474:replace_alu$5231.C[2] I0=$false I1=KEYBOARD.row_time[1] +.attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[2] I3=$auto$alumacc.cc:474:replace_alu$5231.C[2] O=$abc$19660$n781 +.attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5231.C[2] CO=$auto$alumacc.cc:474:replace_alu$5231.C[3] I0=$false I1=KEYBOARD.row_time[2] +.attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[3] I3=$auto$alumacc.cc:474:replace_alu$5231.C[3] O=$abc$19660$n782 +.attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5231.C[3] CO=$auto$alumacc.cc:474:replace_alu$5231.C[4] I0=$false I1=KEYBOARD.row_time[3] +.attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[4] I3=$auto$alumacc.cc:474:replace_alu$5231.C[4] O=$abc$19660$n776 +.attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5231.C[4] CO=$auto$alumacc.cc:474:replace_alu$5231.C[5] I0=$false I1=KEYBOARD.row_time[4] +.attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[5] I3=$auto$alumacc.cc:474:replace_alu$5231.C[5] O=$abc$19660$n567 +.attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5231.C[5] CO=$auto$alumacc.cc:474:replace_alu$5231.C[6] I0=$false I1=KEYBOARD.row_time[5] +.attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[6] I3=$auto$alumacc.cc:474:replace_alu$5231.C[6] O=$abc$19660$n565 +.attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5231.C[6] CO=$auto$alumacc.cc:474:replace_alu$5231.C[7] I0=$false I1=KEYBOARD.row_time[6] +.attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[7] I3=$auto$alumacc.cc:474:replace_alu$5231.C[7] O=$abc$19660$n555 +.attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5231.C[7] CO=$auto$alumacc.cc:474:replace_alu$5231.C[8] I0=$false I1=KEYBOARD.row_time[7] +.attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[8] I3=$auto$alumacc.cc:474:replace_alu$5231.C[8] O=$abc$19660$n786 +.attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5231.C[8] CO=$auto$alumacc.cc:474:replace_alu$5231.C[9] I0=$false I1=KEYBOARD.row_time[8] +.attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[9] I3=$auto$alumacc.cc:474:replace_alu$5231.C[9] O=$abc$19660$n787 +.attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5231.C[9] CO=$auto$alumacc.cc:474:replace_alu$5231.C[10] I0=$false I1=KEYBOARD.row_time[9] +.attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_counter[0] I3=$false O=$abc$19660$n1285 +.attr src "top.v:37|matrix_kbd.v:122|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=KEYBOARD.row_counter[0] CO=$auto$alumacc.cc:474:replace_alu$5234.C[2] I0=$false I1=KEYBOARD.row_counter[1] +.attr src "top.v:37|matrix_kbd.v:122|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[2] I3=$auto$alumacc.cc:474:replace_alu$5234.C[2] O=$abc$19660$n1290 +.attr src "top.v:37|matrix_kbd.v:122|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5234.C[2] CO=$auto$alumacc.cc:474:replace_alu$5234.C[3] I0=$false I1=KEYBOARD.row_counter[2] +.attr src "top.v:37|matrix_kbd.v:122|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[3] I3=$auto$alumacc.cc:474:replace_alu$5234.C[3] O=$abc$19660$n16 +.attr src "top.v:37|matrix_kbd.v:122|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.ram_adr[0] I3=$false O=$abc$19660$n614 +.attr src "top.v:37|matrix_kbd.v:99|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=KEYBOARD.ram_adr[0] CO=$auto$alumacc.cc:474:replace_alu$5237.C[2] I0=$false I1=KEYBOARD.ram_adr[1] +.attr src "top.v:37|matrix_kbd.v:99|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.ram_adr[2] I3=$auto$alumacc.cc:474:replace_alu$5237.C[2] O=$abc$19660$n617 +.attr src "top.v:37|matrix_kbd.v:99|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5237.C[2] CO=$auto$alumacc.cc:474:replace_alu$5237.C[3] I0=$false I1=KEYBOARD.ram_adr[2] +.attr src "top.v:37|matrix_kbd.v:99|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.ram_adr[3] I3=$auto$alumacc.cc:474:replace_alu$5237.C[3] O=$abc$19660$n618 +.attr src "top.v:37|matrix_kbd.v:99|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5237.C[3] CO=$auto$alumacc.cc:474:replace_alu$5237.C[4] I0=$false I1=KEYBOARD.ram_adr[3] +.attr src "top.v:37|matrix_kbd.v:99|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.ram_adr[4] I3=$auto$alumacc.cc:474:replace_alu$5237.C[4] O=$abc$19660$n620 +.attr src "top.v:37|matrix_kbd.v:99|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5237.C[4] CO=$auto$alumacc.cc:474:replace_alu$5237.C[5] I0=$false I1=KEYBOARD.ram_adr[4] +.attr src "top.v:37|matrix_kbd.v:99|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.ram_adr[5] I3=$auto$alumacc.cc:474:replace_alu$5237.C[5] O=$abc$19660$n621 +.attr src "top.v:37|matrix_kbd.v:99|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5237.C[5] CO=$auto$alumacc.cc:474:replace_alu$5237.C[6] I0=$false I1=KEYBOARD.ram_adr[5] +.attr src "top.v:37|matrix_kbd.v:99|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.ram_adr[6] I3=$auto$alumacc.cc:474:replace_alu$5237.C[6] O=$abc$19660$n623 +.attr src "top.v:37|matrix_kbd.v:99|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5237.C[6] CO=$auto$alumacc.cc:474:replace_alu$5237.C[7] I0=$false I1=KEYBOARD.ram_adr[6] +.attr src "top.v:37|matrix_kbd.v:99|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.ram_adr[7] I3=$auto$alumacc.cc:474:replace_alu$5237.C[7] O=$abc$19660$n1296 +.attr src "top.v:37|matrix_kbd.v:99|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5237.C[7] CO=$auto$alumacc.cc:474:replace_alu$5237.C[8] I0=$false I1=KEYBOARD.ram_adr[7] +.attr src "top.v:37|matrix_kbd.v:99|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.ram_adr[8] I3=$auto$alumacc.cc:474:replace_alu$5237.C[8] O=$abc$19660$n629 +.attr src "top.v:37|matrix_kbd.v:99|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[0] I2=$false I3=$true O=$abc$19660$n819 +.attr src "top.v:29|uart.v:33|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=UART.tx_clk_counter[0] CO=$auto$alumacc.cc:474:replace_alu$5240.C[2] I0=UART.tx_clk_counter[1] I1=$true +.attr src "top.v:29|uart.v:33|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5240.C[2] O=$abc$19660$n822 +.attr src "top.v:29|uart.v:33|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5240.C[2] CO=$auto$alumacc.cc:474:replace_alu$5240.C[3] I0=UART.tx_clk_counter[2] I1=$true +.attr src "top.v:29|uart.v:33|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[3] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5240.C[3] O=$abc$19660$n823 +.attr src "top.v:29|uart.v:33|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[0] I2=$false I3=$true O=$abc$19660$n1624 +.attr src "top.v:29|uart.v:39|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=UART.tx_bit_counter[0] CO=$auto$alumacc.cc:474:replace_alu$5243.C[2] I0=UART.tx_bit_counter[1] I1=$true +.attr src "top.v:29|uart.v:39|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5243.C[2] O=$abc$19660$n1681 +.attr src "top.v:29|uart.v:39|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5243.C[2] CO=$auto$alumacc.cc:474:replace_alu$5243.C[3] I0=UART.tx_bit_counter[2] I1=$true +.attr src "top.v:29|uart.v:39|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[3] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5243.C[3] O=$abc$19660$n1626 +.attr src "top.v:29|uart.v:39|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$false I2=$abc$19660$n1625 I3=$true O=$abc$19660$n1596 +.attr src "top.v:29|uart.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_CARRY CI=$abc$19660$n1625 CO=$auto$alumacc.cc:474:replace_alu$5246.C[2] I0=$false I1=$abc$19660$n1623 +.attr src "top.v:29|uart.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$abc$19660$n1682 I3=$auto$alumacc.cc:474:replace_alu$5246.C[2] O=$abc$19660$n1582 +.attr src "top.v:29|uart.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_DFFE C=CLK D=$abc$19660$n7 E=$abc$19660$n41 Q=LED1 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFSS C=CLK D=$2\IS_RAM_INIT[0:0] Q=IS_RAM_INIT S=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFESR C=CLK D=$2\report_wr_en[0:0] E=$abc$19660$n37 Q=report_wr_en R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFF C=CLK D=$0\rststate[3:0][0] Q=rststate[0] -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$0\rststate[3:0][1] Q=rststate[1] -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$0\rststate[3:0][2] Q=rststate[2] -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$0\rststate[3:0][3] Q=rststate[3] -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFESR C=CLK D=$2\UART_WR[0:0] E=$abc$51270$n796 Q=UART_WR R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][0] E=$abc$51270$n799 Q=UART_TX_DATA[0] S=$abc$51270$n19 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][1] E=$abc$51270$n799 Q=UART_TX_DATA[1] S=$abc$51270$n19 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][2] E=$abc$51270$n799 Q=UART_TX_DATA[2] S=$abc$51270$n19 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][3] E=$abc$51270$n799 Q=UART_TX_DATA[3] S=$abc$51270$n19 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][4] E=$abc$51270$n799 Q=UART_TX_DATA[4] S=$abc$51270$n19 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][5] E=$abc$51270$n799 Q=UART_TX_DATA[5] S=$abc$51270$n19 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][6] E=$abc$51270$n799 Q=UART_TX_DATA[6] S=$abc$51270$n19 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][7] E=$abc$51270$n799 Q=UART_TX_DATA[7] S=$abc$51270$n19 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][0] E=$abc$51270$n871 Q=int_tmr[0] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][1] E=$abc$51270$n867 Q=int_tmr[1] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][2] E=$abc$51270$n871 Q=int_tmr[2] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][3] E=$abc$51270$n871 Q=int_tmr[3] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][4] E=$abc$51270$n871 Q=int_tmr[4] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][5] E=$abc$51270$n871 Q=int_tmr[5] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][6] E=$abc$51270$n871 Q=int_tmr[6] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][7] E=$abc$51270$n871 Q=int_tmr[7] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][8] E=$abc$51270$n871 Q=int_tmr[8] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][9] E=$abc$51270$n871 Q=int_tmr[9] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][10] E=$abc$51270$n871 Q=int_tmr[10] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][11] E=$abc$51270$n871 Q=int_tmr[11] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][12] E=$abc$51270$n871 Q=int_tmr[12] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][13] E=$abc$51270$n871 Q=int_tmr[13] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][14] E=$abc$51270$n871 Q=int_tmr[14] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$true E=$abc$51270$n917 Q=$abc$51270$n8 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][0] E=$abc$51270$n921 Q=ring_wr[0] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][1] E=$abc$51270$n921 Q=ring_wr[1] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][2] E=$abc$51270$n921 Q=ring_wr[2] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][3] E=$abc$51270$n921 Q=ring_wr[3] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$10\ring_rd[3:0][0] E=$abc$51270$n934 Q=ring_rd[0] S=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$10\ring_rd[3:0][1] E=$abc$51270$n934 Q=ring_rd[1] S=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$10\ring_rd[3:0][2] E=$abc$51270$n934 Q=ring_rd[2] S=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$10\ring_rd[3:0][3] E=$abc$51270$n934 Q=ring_rd[3] S=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][0] E=$abc$51270$n937 Q=wr_cnt[0] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][1] E=$abc$51270$n937 Q=wr_cnt[1] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][2] E=$abc$51270$n937 Q=wr_cnt[2] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][3] E=$abc$51270$n937 Q=wr_cnt[3] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$3\report_wr_en[0:0] E=$abc$51270$n945 Q=report_wr_en S=$abc$51270$n23 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][0] E=$abc$51270$n950 Q=report_data_wadr[0] -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][1] E=$abc$51270$n950 Q=report_data_wadr[1] -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][2] E=$abc$51270$n950 Q=report_data_wadr[2] -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][3] E=$abc$51270$n950 Q=report_data_wadr[3] -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][4] E=$abc$51270$n950 Q=report_data_wadr[4] -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][5] E=$abc$51270$n950 Q=report_data_wadr[5] -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][6] E=$abc$51270$n950 Q=report_data_wadr[6] -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][7] E=$abc$51270$n950 Q=report_data_wadr[7] -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$add$top.v:182$302_Y[0] E=$abc$51270$n974 Q=report_data_radr[0] R=$abc$51270$n21 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][1] E=$abc$51270$n968 Q=report_data_radr[1] -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][2] E=$abc$51270$n974 Q=report_data_radr[2] -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][3] E=$abc$51270$n974 Q=report_data_radr[3] -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][4] E=$abc$51270$n974 Q=report_data_radr[4] -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][5] E=$abc$51270$n974 Q=report_data_radr[5] -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][6] E=$abc$51270$n974 Q=report_data_radr[6] -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][7] E=$abc$51270$n974 Q=report_data_radr[7] -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][0] E=$abc$51270$n950 Q=report_data_wr[0] R=$abc$51270$n23 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][1] E=$abc$51270$n950 Q=report_data_wr[1] R=$abc$51270$n23 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][2] E=$abc$51270$n950 Q=report_data_wr[2] R=$abc$51270$n23 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][3] E=$abc$51270$n950 Q=report_data_wr[3] R=$abc$51270$n23 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][4] E=$abc$51270$n950 Q=report_data_wr[4] R=$abc$51270$n23 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][5] E=$abc$51270$n950 Q=report_data_wr[5] R=$abc$51270$n23 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][6] E=$abc$51270$n950 Q=report_data_wr[6] R=$abc$51270$n23 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][7] E=$abc$51270$n950 Q=report_data_wr[7] R=$abc$51270$n23 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$abc$51270$n1046 Q=temp_output_report[0] -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$abc$51270$n1046 Q=temp_output_report[1] -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$abc$51270$n1046 Q=temp_output_report[2] -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][0] E=$abc$51270$n1112 Q=i2c_input_data_type[0] -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][1] E=$abc$51270$n1112 Q=i2c_input_data_type[1] -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][2] E=$abc$51270$n1112 Q=i2c_input_data_type[2] -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][3] E=$abc$51270$n1112 Q=i2c_input_data_type[3] -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][0] E=$abc$51270$n1117 Q=I2C_INPUT_LEN[0] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][1] E=$abc$51270$n1117 Q=I2C_INPUT_LEN[1] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][2] E=$abc$51270$n1117 Q=I2C_INPUT_LEN[2] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][3] E=$abc$51270$n1117 Q=I2C_INPUT_LEN[3] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][4] E=$abc$51270$n1117 Q=I2C_INPUT_LEN[4] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][5] E=$abc$51270$n1117 Q=I2C_INPUT_LEN[5] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][6] E=$abc$51270$n1117 Q=I2C_INPUT_LEN[6] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][7] E=$abc$51270$n1117 Q=I2C_INPUT_LEN[7] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][0] E=$abc$51270$n1119 Q=I2C_OUTPUT_TYPE[0] S=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][1] E=$abc$51270$n1119 Q=I2C_OUTPUT_TYPE[1] S=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][2] E=$abc$51270$n1119 Q=I2C_OUTPUT_TYPE[2] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51270$n1119 Q=I2C_OUT_DESC_MASK[0] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51270$n1119 Q=I2C_OUT_DESC_MASK[1] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51270$n1119 Q=I2C_OUT_DESC_MASK[2] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51270$n1119 Q=I2C_OUT_DESC_MASK[3] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51270$n1119 Q=I2C_OUT_DESC_MASK[4] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51270$n1119 Q=I2C_OUT_DESC_MASK[5] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51270$n1119 Q=I2C_OUT_DESC_MASK[6] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51270$n1119 Q=I2C_OUT_DESC_MASK[7] R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=temp_output_report[0] E=$abc$51270$n1138 Q=LED2 S=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=temp_output_report[1] E=$abc$51270$n1138 Q=LED3 R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=temp_output_report[2] E=$abc$51270$n1138 Q=LED4 S=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.wr E=$abc$51270$n1149 Q=last_wr -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=I2C_TRANS E=$abc$51270$n1152 Q=last_trans R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=UART.tx_activity E=$abc$51270$n1159 Q=last_uart_active R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.isr E=$abc$51270$n1163 Q=last_isr R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\uart_double_ff[0:0] E=$abc$51270$n1168 Q=uart_double_ff R=$abc$51270$n35 -.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFN C=CLK D=SCL Q=I2C.SCLF -.attr src "top.v:21|i2c_slave.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" -.gate SB_DFFN C=CLK D=I2C.SDA_IN Q=I2C.SDAF -.attr src "top.v:21|i2c_slave.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" -.gate SB_DFF C=CLK D=$abc$51270$n2054 Q=I2C.wr -.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$51270$n2052 Q=I2C.is_ack -.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$51270$n2036 Q=I2C.byte_counter[0] -.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$51270$n2038 Q=I2C.byte_counter[1] -.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$51270$n2040 Q=I2C.byte_counter[2] -.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$51270$n2042 Q=I2C.byte_counter[3] -.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$51270$n2044 Q=I2C.byte_counter[4] -.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$51270$n2046 Q=I2C.byte_counter[5] -.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$51270$n2048 Q=I2C.byte_counter[6] -.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$51270$n2050 Q=I2C.byte_counter[7] -.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51270$n1177 Q=I2C.received_byte[0] -.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51270$n1190 Q=I2C.received_byte[1] -.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51270$n1195 Q=I2C.received_byte[2] -.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51270$n1200 Q=I2C.received_byte[3] -.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51270$n1205 Q=I2C.received_byte[4] -.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51270$n1210 Q=I2C.received_byte[5] -.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51270$n1217 Q=I2C.received_byte[6] -.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51270$n1225 Q=I2C.received_byte[7] -.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFF C=CLK D=$abc$51270$n2028 Q=I2C.i2c_bit_counter[0] -.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$51270$n2030 Q=I2C.i2c_bit_counter[1] -.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$51270$n2032 Q=I2C.i2c_bit_counter[2] -.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$51270$n2034 Q=I2C.i2c_bit_counter[3] -.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$51270$n2026 Q=I2C.is_read -.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFE C=CLK D=$abc$51270$n2006 E=$abc$51270$n1228 Q=I2C.i2c_start_latency -.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFF C=CLK D=$abc$51270$n5 Q=$abc$51270$n14 -.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$51270$n7 Q=$abc$51270$n16 -.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$51270$n2022 Q=I2C.SDA_DIR -.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFE C=CLK D=$abc$51270$n2189 E=I2C.FLT_SCL.RESET Q=UART.tx_activity -.attr src "top.v:30|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$51270$n1 E=$abc$51270$n1231 Q=$abc$51270$n10 -.attr src "top.v:30|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2182 E=$abc$51270$n1238 Q=UART.tx_clk_counter[0] R=$abc$51270$n35 -.attr src "top.v:30|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2184 E=$abc$51270$n1238 Q=UART.tx_clk_counter[1] R=$abc$51270$n35 -.attr src "top.v:30|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2186 E=$abc$51270$n1238 Q=UART.tx_clk_counter[2] R=$abc$51270$n35 -.attr src "top.v:30|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2188 E=$abc$51270$n1238 Q=UART.tx_clk_counter[3] R=$abc$51270$n35 -.attr src "top.v:30|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$51270$n2384 E=$abc$51270$n1231 Q=UART.tx_bit_counter[0] S=$abc$51270$n25 -.attr src "top.v:30|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2382 E=$abc$51270$n1231 Q=UART.tx_bit_counter[1] R=$abc$51270$n25 -.attr src "top.v:30|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2465 E=$abc$51270$n1231 Q=UART.tx_bit_counter[2] R=$abc$51270$n25 -.attr src "top.v:30|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$51270$n2467 E=$abc$51270$n1231 Q=UART.tx_bit_counter[3] S=$abc$51270$n25 -.attr src "top.v:30|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=UART_WR E=I2C.FLT_SCL.RESET Q=UART.TX_sig_last -.attr src "top.v:30|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2311 E=$abc$51270$n1258 Q=KEYBOARD.report[5][0] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2312 E=$abc$51270$n1258 Q=KEYBOARD.report[5][1] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2313 E=$abc$51270$n1258 Q=KEYBOARD.report[5][2] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2314 E=$abc$51270$n1258 Q=KEYBOARD.report[5][3] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2315 E=$abc$51270$n1258 Q=KEYBOARD.report[5][4] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2316 E=$abc$51270$n1258 Q=KEYBOARD.report[5][5] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2317 E=$abc$51270$n1258 Q=KEYBOARD.report[5][6] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2318 E=$abc$51270$n1258 Q=KEYBOARD.report[5][7] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[0] E=$abc$51270$n1313 Q=KEYBOARD.report[6][0] R=$abc$51270$n27 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[1] E=$abc$51270$n1313 Q=KEYBOARD.report[6][1] R=$abc$51270$n27 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[2] E=$abc$51270$n1313 Q=KEYBOARD.report[6][2] R=$abc$51270$n27 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[3] E=$abc$51270$n1313 Q=KEYBOARD.report[6][3] R=$abc$51270$n27 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[4] E=$abc$51270$n1313 Q=KEYBOARD.report[6][4] R=$abc$51270$n27 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[5] E=$abc$51270$n1313 Q=KEYBOARD.report[6][5] R=$abc$51270$n27 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[6] E=$abc$51270$n1313 Q=KEYBOARD.report[6][6] R=$abc$51270$n27 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[7] E=$abc$51270$n1313 Q=KEYBOARD.report[6][7] R=$abc$51270$n27 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2311 E=$abc$51270$n1325 Q=KEYBOARD.report[4][0] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2312 E=$abc$51270$n1325 Q=KEYBOARD.report[4][1] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2313 E=$abc$51270$n1325 Q=KEYBOARD.report[4][2] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2314 E=$abc$51270$n1325 Q=KEYBOARD.report[4][3] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2315 E=$abc$51270$n1325 Q=KEYBOARD.report[4][4] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2316 E=$abc$51270$n1325 Q=KEYBOARD.report[4][5] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2317 E=$abc$51270$n1325 Q=KEYBOARD.report[4][6] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2318 E=$abc$51270$n1325 Q=KEYBOARD.report[4][7] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2311 E=$abc$51270$n1354 Q=KEYBOARD.report[3][0] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2312 E=$abc$51270$n1354 Q=KEYBOARD.report[3][1] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2313 E=$abc$51270$n1354 Q=KEYBOARD.report[3][2] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2314 E=$abc$51270$n1354 Q=KEYBOARD.report[3][3] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2315 E=$abc$51270$n1354 Q=KEYBOARD.report[3][4] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2316 E=$abc$51270$n1354 Q=KEYBOARD.report[3][5] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2317 E=$abc$51270$n1354 Q=KEYBOARD.report[3][6] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2318 E=$abc$51270$n1354 Q=KEYBOARD.report[3][7] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2311 E=$abc$51270$n1365 Q=KEYBOARD.report[2][0] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2312 E=$abc$51270$n1365 Q=KEYBOARD.report[2][1] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2313 E=$abc$51270$n1365 Q=KEYBOARD.report[2][2] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2314 E=$abc$51270$n1365 Q=KEYBOARD.report[2][3] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2315 E=$abc$51270$n1365 Q=KEYBOARD.report[2][4] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2316 E=$abc$51270$n1365 Q=KEYBOARD.report[2][5] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2317 E=$abc$51270$n1365 Q=KEYBOARD.report[2][6] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2318 E=$abc$51270$n1365 Q=KEYBOARD.report[2][7] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2311 E=$abc$51270$n1374 Q=KEYBOARD.report[1][0] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2312 E=$abc$51270$n1374 Q=KEYBOARD.report[1][1] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2313 E=$abc$51270$n1374 Q=KEYBOARD.report[1][2] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2314 E=$abc$51270$n1374 Q=KEYBOARD.report[1][3] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2315 E=$abc$51270$n1374 Q=KEYBOARD.report[1][4] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2316 E=$abc$51270$n1374 Q=KEYBOARD.report[1][5] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2317 E=$abc$51270$n1374 Q=KEYBOARD.report[1][6] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2318 E=$abc$51270$n1374 Q=KEYBOARD.report[1][7] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2289 E=$abc$51270$n1381 Q=KEYBOARD.report[0][0] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2292 E=$abc$51270$n1381 Q=KEYBOARD.report[0][1] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2295 E=$abc$51270$n1381 Q=KEYBOARD.report[0][2] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2298 E=$abc$51270$n1381 Q=KEYBOARD.report[0][3] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2301 E=$abc$51270$n1381 Q=KEYBOARD.report[0][4] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2304 E=$abc$51270$n1381 Q=KEYBOARD.report[0][5] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2307 E=$abc$51270$n1381 Q=KEYBOARD.report[0][6] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2310 E=$abc$51270$n1381 Q=KEYBOARD.report[0][7] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9492[0] E=$abc$51270$n1388 Q=KEYBOARD.init_ram_cnt[0] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2400 E=$abc$51270$n1387 Q=KEYBOARD.init_ram_cnt[1] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9492[2] E=$abc$51270$n1388 Q=KEYBOARD.init_ram_cnt[2] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9492[3] E=$abc$51270$n1388 Q=KEYBOARD.init_ram_cnt[3] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9492[4] E=$abc$51270$n1388 Q=KEYBOARD.init_ram_cnt[4] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9492[5] E=$abc$51270$n1388 Q=KEYBOARD.init_ram_cnt[5] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9492[6] E=$abc$51270$n1388 Q=KEYBOARD.init_ram_cnt[6] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9492[7] E=$abc$51270$n1388 Q=KEYBOARD.init_ram_cnt[7] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9492[8] E=$abc$51270$n1388 Q=KEYBOARD.init_ram_cnt[8] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9491[0] E=$abc$51270$n1392 Q=KEYBOARD.init_delay_cnt[0] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2399 E=$abc$51270$n1393 Q=KEYBOARD.init_delay_cnt[1] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9491[2] E=$abc$51270$n1392 Q=KEYBOARD.init_delay_cnt[2] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9491[3] E=$abc$51270$n1392 Q=KEYBOARD.init_delay_cnt[3] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$51270$n2194 E=$abc$51270$n1398 Q=KEYBOARD.ram_adr[0] -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$51270$n2197 E=$abc$51270$n1398 Q=KEYBOARD.ram_adr[1] -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$51270$n2200 E=$abc$51270$n1398 Q=KEYBOARD.ram_adr[2] -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$51270$n2202 E=$abc$51270$n1398 Q=KEYBOARD.ram_adr[3] -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[4] E=$abc$51270$n1398 Q=KEYBOARD.ram_adr[4] R=KEYBOARD.init_ram_cnt[8] -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[5] E=$abc$51270$n1398 Q=KEYBOARD.ram_adr[5] R=KEYBOARD.init_ram_cnt[8] -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[6] E=$abc$51270$n1398 Q=KEYBOARD.ram_adr[6] R=KEYBOARD.init_ram_cnt[8] -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[7] E=$abc$51270$n1398 Q=KEYBOARD.ram_adr[7] R=KEYBOARD.init_ram_cnt[8] -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[8] E=$abc$51270$n1398 Q=KEYBOARD.ram_adr[8] R=KEYBOARD.init_ram_cnt[8] -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$51270$n2216 E=$abc$51270$n1320 Q=KEYBOARD.ram_wr S=$abc$51270$n33 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$51270$n26 E=$abc$51270$n1418 Q=KEYBOARD.is_pressed -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$51270$n2267 E=$abc$51270$n1418 Q=KEYBOARD.kbd_code[0] S=$abc$51270$n29 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$51270$n2268 E=$abc$51270$n1418 Q=KEYBOARD.kbd_code[1] S=$abc$51270$n29 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$51270$n2269 E=$abc$51270$n1418 Q=KEYBOARD.kbd_code[2] S=$abc$51270$n29 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$51270$n2270 E=$abc$51270$n1418 Q=KEYBOARD.kbd_code[3] S=$abc$51270$n29 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$51270$n2271 E=$abc$51270$n1418 Q=KEYBOARD.kbd_code[4] S=$abc$51270$n29 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$51270$n2272 E=$abc$51270$n1418 Q=KEYBOARD.kbd_code[5] S=$abc$51270$n29 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$51270$n2273 E=$abc$51270$n1418 Q=KEYBOARD.kbd_code[6] S=$abc$51270$n29 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$51270$n2274 E=$abc$51270$n1418 Q=KEYBOARD.kbd_code[7] S=$abc$51270$n29 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$51270$n2246 E=$abc$51270$n1402 Q=KEYBOARD.COLS_SHADOW[1] -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$51270$n2249 E=$abc$51270$n1402 Q=KEYBOARD.COLS_SHADOW[2] -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$51270$n2252 E=$abc$51270$n1402 Q=KEYBOARD.COLS_SHADOW[3] -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$51270$n2255 E=$abc$51270$n1402 Q=KEYBOARD.COLS_SHADOW[4] -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$51270$n2258 E=$abc$51270$n1402 Q=KEYBOARD.COLS_SHADOW[5] -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$51270$n2261 E=$abc$51270$n1402 Q=KEYBOARD.COLS_SHADOW[6] -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$51270$n2264 E=$abc$51270$n1402 Q=KEYBOARD.COLS_SHADOW[7] -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2575 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[0] R=$abc$51270$n30 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2576 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[1] R=$abc$51270$n30 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2577 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[2] R=$abc$51270$n30 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2578 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[3] R=$abc$51270$n30 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2579 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[4] R=$abc$51270$n30 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2580 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[5] R=$abc$51270$n30 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2581 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[6] R=$abc$51270$n30 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2582 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[7] R=$abc$51270$n30 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2575 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[8] R=$abc$51270$n31 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2576 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[9] R=$abc$51270$n31 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2577 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[10] R=$abc$51270$n31 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2578 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[11] R=$abc$51270$n31 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2579 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[12] R=$abc$51270$n31 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2580 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[13] R=$abc$51270$n31 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2581 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[14] R=$abc$51270$n31 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2582 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[15] R=$abc$51270$n31 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2285 E=$abc$51270$n1415 Q=KEYBOARD.isr R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$51270$n2276 E=$abc$51270$n1417 Q=KEYBOARD.temp[0] S=$abc$51270$n33 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$51270$n2280 E=$abc$51270$n1417 Q=KEYBOARD.temp[1] S=$abc$51270$n33 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$51270$n2278 E=$abc$51270$n1417 Q=KEYBOARD.temp[2] S=$abc$51270$n33 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$51270$n2281 E=$abc$51270$n1417 Q=KEYBOARD.temp[3] S=$abc$51270$n33 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$51270$n2277 E=$abc$51270$n1417 Q=KEYBOARD.temp[4] S=$abc$51270$n33 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$51270$n2282 E=$abc$51270$n1417 Q=KEYBOARD.temp[5] S=$abc$51270$n33 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$51270$n2283 E=$abc$51270$n1417 Q=KEYBOARD.temp[6] S=$abc$51270$n33 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$51270$n2279 E=$abc$51270$n1417 Q=KEYBOARD.temp[7] S=$abc$51270$n33 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$51270$n2206 E=$abc$51270$n1418 Q=KEYBOARD.row_counter[0] -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$51270$n2208 E=$abc$51270$n1418 Q=KEYBOARD.row_counter[1] -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$51270$n2210 E=$abc$51270$n1418 Q=KEYBOARD.row_counter[2] -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$51270$n2212 E=$abc$51270$n1418 Q=KEYBOARD.row_counter[3] -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n1609 E=$abc$51270$n1257 Q=KEYBOARD.row_time[0] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n1610 E=$abc$51270$n1257 Q=KEYBOARD.row_time[1] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n1612 E=$abc$51270$n1257 Q=KEYBOARD.row_time[2] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n1613 E=$abc$51270$n1257 Q=KEYBOARD.row_time[3] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n1607 E=$abc$51270$n1257 Q=KEYBOARD.row_time[4] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n396 E=$abc$51270$n1257 Q=KEYBOARD.row_time[5] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n394 E=$abc$51270$n1257 Q=KEYBOARD.row_time[6] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n383 E=$abc$51270$n1257 Q=KEYBOARD.row_time[7] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n1617 E=$abc$51270$n1257 Q=KEYBOARD.row_time[8] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n1618 E=$abc$51270$n1257 Q=KEYBOARD.row_time[9] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n1620 E=$abc$51270$n1257 Q=KEYBOARD.row_time[10] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n1621 E=$abc$51270$n1257 Q=KEYBOARD.row_time[11] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n1626 E=$abc$51270$n1257 Q=KEYBOARD.row_time[12] R=$abc$51270$n35 -.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2325 E=$abc$51270$n1420 Q=I2C_HID_DESC.real_adress[0] R=$abc$51270$n35 -.attr src "top.v:41|descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=I2C.byte_counter[1] E=$abc$51270$n1420 Q=I2C_HID_DESC.real_adress[1] R=$abc$51270$n35 -.attr src "top.v:41|descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=I2C.byte_counter[2] E=$abc$51270$n1420 Q=I2C_HID_DESC.real_adress[2] R=$abc$51270$n35 -.attr src "top.v:41|descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=I2C.byte_counter[3] E=$abc$51270$n1420 Q=I2C_HID_DESC.real_adress[3] R=$abc$51270$n35 -.attr src "top.v:41|descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=I2C.byte_counter[4] E=$abc$51270$n1420 Q=I2C_HID_DESC.real_adress[4] R=$abc$51270$n35 -.attr src "top.v:41|descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2327 E=$abc$51270$n1420 Q=I2C_HID_DESC.real_adress[5] R=$abc$51270$n35 -.attr src "top.v:41|descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2329 E=$abc$51270$n1420 Q=I2C_HID_DESC.real_adress[6] R=$abc$51270$n35 -.attr src "top.v:41|descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51270$n2331 E=$abc$51270$n1420 Q=I2C_HID_DESC.real_adress[7] R=$abc$51270$n35 -.attr src "top.v:41|descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFSR C=CLK D=I2C.wr Q=I2C_HID_DESC.last_rd_request R=$abc$51270$n35 -.attr src "top.v:41|descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFR C=CLK D=$abc$51270$n2024 Q=I2C.i2c_state_machine R=$abc$51270$n35 -.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:12" -.gate SB_DFFES C=CLK D=I2C.SDAF E=$abc$51270$n1429 Q=I2C.FLT_SDA.out S=$abc$51270$n35 -.attr src "top.v:21|i2c_slave.v:46|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:23" -.gate SB_DFFER C=CLK D=$abc$51270$n2332 E=$abc$51270$n1430 Q=I2C.FLT_SDA.counter[0] R=$abc$51270$n35 -.attr src "top.v:21|i2c_slave.v:46|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" -.gate SB_DFFER C=CLK D=$abc$51270$n2333 E=$abc$51270$n1430 Q=I2C.FLT_SDA.counter[1] R=$abc$51270$n35 -.attr src "top.v:21|i2c_slave.v:46|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" -.gate SB_DFFER C=CLK D=$abc$51270$n2334 E=$abc$51270$n1430 Q=I2C.FLT_SDA.counter[2] R=$abc$51270$n35 -.attr src "top.v:21|i2c_slave.v:46|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" -.gate SB_DFFES C=CLK D=I2C.SCLF E=$abc$51270$n1439 Q=I2C.FLT_SCL.out S=$abc$51270$n35 -.attr src "top.v:21|i2c_slave.v:45|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:23" -.gate SB_DFFER C=CLK D=$abc$51270$n2335 E=$abc$51270$n1440 Q=I2C.FLT_SCL.counter[0] R=$abc$51270$n35 -.attr src "top.v:21|i2c_slave.v:45|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" -.gate SB_DFFER C=CLK D=$abc$51270$n2336 E=$abc$51270$n1440 Q=I2C.FLT_SCL.counter[1] R=$abc$51270$n35 -.attr src "top.v:21|i2c_slave.v:45|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" -.gate SB_DFFER C=CLK D=$abc$51270$n2337 E=$abc$51270$n1440 Q=I2C.FLT_SCL.counter[2] R=$abc$51270$n35 -.attr src "top.v:21|i2c_slave.v:45|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFESR C=CLK D=$2\UART_WR[0:0] E=$abc$19660$n42 Q=UART_WR R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][0] E=$abc$19660$n47 Q=UART_TX_DATA[0] S=$abc$19660$n52 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][1] E=$abc$19660$n47 Q=UART_TX_DATA[1] S=$abc$19660$n52 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][2] E=$abc$19660$n47 Q=UART_TX_DATA[2] S=$abc$19660$n52 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][3] E=$abc$19660$n47 Q=UART_TX_DATA[3] S=$abc$19660$n52 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][4] E=$abc$19660$n47 Q=UART_TX_DATA[4] S=$abc$19660$n52 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][5] E=$abc$19660$n47 Q=UART_TX_DATA[5] S=$abc$19660$n52 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][6] E=$abc$19660$n47 Q=UART_TX_DATA[6] S=$abc$19660$n52 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][7] E=$abc$19660$n47 Q=UART_TX_DATA[7] S=$abc$19660$n52 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][0] E=$abc$19660$n41 Q=int_tmr[0] R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][1] E=$abc$19660$n41 Q=int_tmr[1] R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][2] E=$abc$19660$n41 Q=int_tmr[2] R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][3] E=$abc$19660$n41 Q=int_tmr[3] R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][4] E=$abc$19660$n41 Q=int_tmr[4] R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][5] E=$abc$19660$n41 Q=int_tmr[5] R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][6] E=$abc$19660$n41 Q=int_tmr[6] R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][7] E=$abc$19660$n41 Q=int_tmr[7] R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][8] E=$abc$19660$n41 Q=int_tmr[8] R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][9] E=$abc$19660$n41 Q=int_tmr[9] R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][10] E=$abc$19660$n41 Q=int_tmr[10] R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][11] E=$abc$19660$n41 Q=int_tmr[11] R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][12] E=$abc$19660$n41 Q=int_tmr[12] R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][13] E=$abc$19660$n41 Q=int_tmr[13] R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][14] E=$abc$19660$n41 Q=int_tmr[14] R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][0] E=$abc$19660$n41 Q=ring_wr[0] R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][1] E=$abc$19660$n41 Q=ring_wr[1] R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][2] E=$abc$19660$n41 Q=ring_wr[2] R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][3] E=$abc$19660$n41 Q=ring_wr[3] R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][0] E=$abc$19660$n41 Q=ring_rd[0] S=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][1] E=$abc$19660$n41 Q=ring_rd[1] S=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][2] E=$abc$19660$n41 Q=ring_rd[2] S=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][3] E=$abc$19660$n41 Q=ring_rd[3] S=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][0] E=$abc$19660$n35 Q=wr_cnt[0] R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][1] E=$abc$19660$n35 Q=wr_cnt[1] R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][2] E=$abc$19660$n35 Q=wr_cnt[2] R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][3] E=$abc$19660$n35 Q=wr_cnt[3] R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\temp_output_report[2:0][0] E=$abc$19660$n46 Q=temp_output_report[0] +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\temp_output_report[2:0][1] E=$abc$19660$n46 Q=temp_output_report[1] +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\temp_output_report[2:0][2] E=$abc$19660$n46 Q=temp_output_report[2] +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$20\i2c_input_data_type[3:0][0] E=$abc$19660$n46 Q=i2c_input_data_type[0] R=$abc$19660$n52 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$20\i2c_input_data_type[3:0][1] E=$abc$19660$n46 Q=i2c_input_data_type[1] R=$abc$19660$n52 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$20\i2c_input_data_type[3:0][2] E=$abc$19660$n46 Q=i2c_input_data_type[2] R=$abc$19660$n52 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$20\i2c_input_data_type[3:0][3] E=$abc$19660$n46 Q=i2c_input_data_type[3] R=$abc$19660$n52 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][0] E=$abc$19660$n41 Q=I2C_COUNTER[0] R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][1] E=$abc$19660$n41 Q=I2C_COUNTER[1] R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][2] E=$abc$19660$n41 Q=I2C_COUNTER[2] R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][3] E=$abc$19660$n41 Q=I2C_COUNTER[3] R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][4] E=$abc$19660$n41 Q=I2C_COUNTER[4] R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][5] E=$abc$19660$n41 Q=I2C_COUNTER[5] R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][6] E=$abc$19660$n41 Q=I2C_COUNTER[6] R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][0] E=$abc$19660$n41 Q=I2C_HID_DESC.DESC_TYPE R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][1] E=$abc$19660$n41 Q=I2C_OUTPUT_TYPE[1] S=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][2] E=$abc$19660$n41 Q=I2C_OUTPUT_TYPE[2] R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$19660$n63 Q=I2C_OUT_DESC_MASK[0] R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$19660$n63 Q=I2C_OUT_DESC_MASK[1] R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$19660$n63 Q=I2C_OUT_DESC_MASK[2] R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$19660$n63 Q=I2C_OUT_DESC_MASK[3] R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$19660$n63 Q=I2C_OUT_DESC_MASK[4] R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$19660$n63 Q=I2C_OUT_DESC_MASK[5] R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$19660$n63 Q=I2C_OUT_DESC_MASK[6] R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$19660$n63 Q=I2C_OUT_DESC_MASK[7] R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$0\temp_output_report[2:0][0] E=$abc$19660$n72 Q=LED2 R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$0\temp_output_report[2:0][1] E=$abc$19660$n72 Q=LED3 R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$0\temp_output_report[2:0][2] E=$abc$19660$n72 Q=LED4 R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=I2C.wr E=$abc$19660$n41 Q=last_wr R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=I2C_TRANS E=$abc$19660$n41 Q=last_trans R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.isr E=$abc$19660$n41 Q=last_isr R=$abc$19660$n19 +.attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFNESR C=CLK D=$abc$19660$n1313 E=$abc$19660$n94 Q=KEYBOARD.report_wr_en R=$abc$19660$n19 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19660$n1393 E=$abc$19660$n155 Q=KEYBOARD.report_data_wr[0] R=KEYBOARD.IS_RAM_INIT +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19660$n1308 E=$abc$19660$n155 Q=KEYBOARD.report_data_wr[1] +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19660$n1398 E=$abc$19660$n155 Q=KEYBOARD.report_data_wr[2] R=KEYBOARD.IS_RAM_INIT +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19660$n1310 E=$abc$19660$n155 Q=KEYBOARD.report_data_wr[3] +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19660$n1403 E=$abc$19660$n155 Q=KEYBOARD.report_data_wr[4] R=KEYBOARD.IS_RAM_INIT +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19660$n1406 E=$abc$19660$n155 Q=KEYBOARD.report_data_wr[5] R=KEYBOARD.IS_RAM_INIT +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19660$n1409 E=$abc$19660$n155 Q=KEYBOARD.report_data_wr[6] R=KEYBOARD.IS_RAM_INIT +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19660$n1412 E=$abc$19660$n155 Q=KEYBOARD.report_data_wr[7] R=KEYBOARD.IS_RAM_INIT +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$19660$n1300 E=$abc$19660$n177 Q=KEYBOARD.report_adress_rd[0] S=$abc$19660$n19 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19660$n1302 E=$abc$19660$n177 Q=KEYBOARD.report_adress_rd[1] R=$abc$19660$n19 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$19660$n1304 E=$abc$19660$n177 Q=KEYBOARD.report_adress_rd[2] S=$abc$19660$n19 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19660$n1306 E=$abc$19660$n177 Q=KEYBOARD.report_adress_rd[3] R=$abc$19660$n19 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$19660$n1311 E=$abc$19660$n41 Q=KEYBOARD.IS_RAM_INIT S=$abc$19660$n19 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19660$n1286 E=$abc$19660$n188 Q=KEYBOARD.ram_adr[0] R=$abc$19660$n19 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19660$n1289 E=$abc$19660$n188 Q=KEYBOARD.ram_adr[1] R=$abc$19660$n19 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$19660$n1291 E=$abc$19660$n188 Q=KEYBOARD.ram_adr[2] S=$abc$19660$n19 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19660$n1292 E=$abc$19660$n188 Q=KEYBOARD.ram_adr[3] R=$abc$19660$n19 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$19660$n1293 E=$abc$19660$n188 Q=KEYBOARD.ram_adr[4] S=$abc$19660$n19 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$19660$n1294 E=$abc$19660$n188 Q=KEYBOARD.ram_adr[5] S=$abc$19660$n19 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$19660$n1295 E=$abc$19660$n188 Q=KEYBOARD.ram_adr[6] S=$abc$19660$n19 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$19660$n1297 E=$abc$19660$n188 Q=KEYBOARD.ram_adr[7] S=$abc$19660$n19 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$19660$n1298 E=$abc$19660$n188 Q=KEYBOARD.ram_adr[8] S=$abc$19660$n19 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$19660$n1323 E=$abc$19660$n46 Q=KEYBOARD.ram_wr S=KEYBOARD.IS_RAM_INIT +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19660$n895 E=$abc$19660$n193 Q=KEYBOARD.is_pressed +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19660$n1353 E=$abc$19660$n196 Q=KEYBOARD.COLS_SHADOW[1] +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19660$n1356 E=$abc$19660$n196 Q=KEYBOARD.COLS_SHADOW[2] +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19660$n1359 E=$abc$19660$n196 Q=KEYBOARD.COLS_SHADOW[3] +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19660$n1362 E=$abc$19660$n196 Q=KEYBOARD.COLS_SHADOW[4] +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19660$n1365 E=$abc$19660$n196 Q=KEYBOARD.COLS_SHADOW[5] +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19660$n1368 E=$abc$19660$n196 Q=KEYBOARD.COLS_SHADOW[6] +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19660$n1371 E=$abc$19660$n196 Q=KEYBOARD.COLS_SHADOW[7] +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19660$n1727 E=$abc$19660$n198 Q=KEYBOARD.ROWS_EN[0] R=$abc$19660$n16 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19660$n1728 E=$abc$19660$n198 Q=KEYBOARD.ROWS_EN[1] R=$abc$19660$n16 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19660$n1729 E=$abc$19660$n198 Q=KEYBOARD.ROWS_EN[2] R=$abc$19660$n16 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19660$n1730 E=$abc$19660$n198 Q=KEYBOARD.ROWS_EN[3] R=$abc$19660$n16 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19660$n1731 E=$abc$19660$n198 Q=KEYBOARD.ROWS_EN[4] R=$abc$19660$n16 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19660$n1732 E=$abc$19660$n198 Q=KEYBOARD.ROWS_EN[5] R=$abc$19660$n16 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19660$n1733 E=$abc$19660$n198 Q=KEYBOARD.ROWS_EN[6] R=$abc$19660$n16 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19660$n1734 E=$abc$19660$n198 Q=KEYBOARD.ROWS_EN[7] R=$abc$19660$n16 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19660$n1727 E=$abc$19660$n198 Q=KEYBOARD.ROWS_EN[8] R=$abc$19660$n17 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19660$n1728 E=$abc$19660$n198 Q=KEYBOARD.ROWS_EN[9] R=$abc$19660$n17 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19660$n1729 E=$abc$19660$n198 Q=KEYBOARD.ROWS_EN[10] R=$abc$19660$n17 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19660$n1730 E=$abc$19660$n198 Q=KEYBOARD.ROWS_EN[11] R=$abc$19660$n17 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19660$n1731 E=$abc$19660$n198 Q=KEYBOARD.ROWS_EN[12] R=$abc$19660$n17 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19660$n1732 E=$abc$19660$n198 Q=KEYBOARD.ROWS_EN[13] R=$abc$19660$n17 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19660$n1733 E=$abc$19660$n198 Q=KEYBOARD.ROWS_EN[14] R=$abc$19660$n17 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19660$n1734 E=$abc$19660$n198 Q=KEYBOARD.ROWS_EN[15] R=$abc$19660$n17 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19660$n1317 E=$abc$19660$n204 Q=KEYBOARD.isr_internal R=$abc$19660$n19 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=KEYBOARD.isr_internal E=$abc$19660$n207 Q=KEYBOARD.isr R=$abc$19660$n19 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$19660$n1373 E=$abc$19660$n209 Q=KEYBOARD.temp[0] S=KEYBOARD.IS_RAM_INIT +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$19660$n1377 E=$abc$19660$n209 Q=KEYBOARD.temp[1] S=KEYBOARD.IS_RAM_INIT +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$19660$n1375 E=$abc$19660$n209 Q=KEYBOARD.temp[2] S=KEYBOARD.IS_RAM_INIT +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$19660$n1378 E=$abc$19660$n209 Q=KEYBOARD.temp[3] S=KEYBOARD.IS_RAM_INIT +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$19660$n1374 E=$abc$19660$n209 Q=KEYBOARD.temp[4] S=KEYBOARD.IS_RAM_INIT +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$19660$n1379 E=$abc$19660$n209 Q=KEYBOARD.temp[5] S=KEYBOARD.IS_RAM_INIT +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$19660$n1380 E=$abc$19660$n209 Q=KEYBOARD.temp[6] S=KEYBOARD.IS_RAM_INIT +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$19660$n1376 E=$abc$19660$n209 Q=KEYBOARD.temp[7] S=KEYBOARD.IS_RAM_INIT +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19660$n1285 E=$abc$19660$n198 Q=KEYBOARD.row_counter[0] +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19660$n1287 E=$abc$19660$n198 Q=KEYBOARD.row_counter[1] +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19660$n1290 E=$abc$19660$n198 Q=KEYBOARD.row_counter[2] +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19660$n16 E=$abc$19660$n198 Q=KEYBOARD.row_counter[3] +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19660$n778 E=$abc$19660$n191 Q=KEYBOARD.row_time[0] R=$abc$19660$n19 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19660$n779 E=$abc$19660$n191 Q=KEYBOARD.row_time[1] R=$abc$19660$n19 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19660$n781 E=$abc$19660$n191 Q=KEYBOARD.row_time[2] R=$abc$19660$n19 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19660$n782 E=$abc$19660$n191 Q=KEYBOARD.row_time[3] R=$abc$19660$n19 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19660$n776 E=$abc$19660$n191 Q=KEYBOARD.row_time[4] R=$abc$19660$n19 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19660$n567 E=$abc$19660$n191 Q=KEYBOARD.row_time[5] R=$abc$19660$n19 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19660$n565 E=$abc$19660$n191 Q=KEYBOARD.row_time[6] R=$abc$19660$n19 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19660$n555 E=$abc$19660$n191 Q=KEYBOARD.row_time[7] R=$abc$19660$n19 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19660$n786 E=$abc$19660$n191 Q=KEYBOARD.row_time[8] R=$abc$19660$n19 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19660$n787 E=$abc$19660$n191 Q=KEYBOARD.row_time[9] R=$abc$19660$n19 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19660$n789 E=$abc$19660$n191 Q=KEYBOARD.row_time[10] R=$abc$19660$n19 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19660$n790 E=$abc$19660$n191 Q=KEYBOARD.row_time[11] R=$abc$19660$n19 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19660$n792 E=$abc$19660$n191 Q=KEYBOARD.row_time[12] R=$abc$19660$n19 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19660$n793 E=$abc$19660$n191 Q=KEYBOARD.row_time[13] R=$abc$19660$n19 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19660$n797 E=$abc$19660$n191 Q=KEYBOARD.row_time[14] R=$abc$19660$n19 +.attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFF C=CLK D=SCL Q=I2C.SCLF +.attr src "top.v:20|i2c_slave.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=I2C.SDA_IN Q=I2C.SDAF +.attr src "top.v:20|i2c_slave.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFNE C=CLK D=$abc$19660$n1472 E=I2C.FLT_SCL.RESET Q=I2C.wr +.attr src "top.v:20|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19660$n1470 E=I2C.FLT_SCL.RESET Q=I2C.is_ack +.attr src "top.v:20|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19660$n1468 E=I2C.FLT_SCL.RESET Q=I2C.is_adress +.attr src "top.v:20|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$19660$n228 Q=I2C.received_byte[0] +.attr src "top.v:20|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$19660$n235 Q=I2C.received_byte[1] +.attr src "top.v:20|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$19660$n244 Q=I2C.received_byte[2] +.attr src "top.v:20|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$19660$n254 Q=I2C.received_byte[3] +.attr src "top.v:20|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$19660$n263 Q=I2C.received_byte[4] +.attr src "top.v:20|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$19660$n271 Q=I2C.received_byte[5] +.attr src "top.v:20|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$19660$n280 Q=I2C.received_byte[6] +.attr src "top.v:20|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$19660$n288 Q=I2C.received_byte[7] +.attr src "top.v:20|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19660$n1460 E=I2C.FLT_SCL.RESET Q=I2C.i2c_bit_counter[0] +.attr src "top.v:20|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19660$n1462 E=I2C.FLT_SCL.RESET Q=I2C.i2c_bit_counter[1] +.attr src "top.v:20|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19660$n1464 E=I2C.FLT_SCL.RESET Q=I2C.i2c_bit_counter[2] +.attr src "top.v:20|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19660$n1466 E=I2C.FLT_SCL.RESET Q=I2C.i2c_bit_counter[3] +.attr src "top.v:20|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19660$n1458 E=I2C.FLT_SCL.RESET Q=I2C.is_read +.attr src "top.v:20|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19660$n1436 E=$abc$19660$n293 Q=I2C.i2c_start_latency +.attr src "top.v:20|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNSR C=CLK D=$abc$19660$n1456 Q=I2C.i2c_state_machine R=$abc$19660$n19 +.attr src "top.v:20|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" +.gate SB_DFFNE C=CLK D=$abc$19660$n1 E=I2C.FLT_SCL.RESET Q=$abc$19660$n8 +.attr src "top.v:20|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19660$n3 E=I2C.FLT_SCL.RESET Q=$abc$19660$n10 +.attr src "top.v:20|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19660$n1454 E=I2C.FLT_SCL.RESET Q=I2C.SDA_DIR +.attr src "top.v:20|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNSR C=CLK D=$abc$19660$n1547 Q=UART.tx_activity R=$abc$19660$n19 +.attr src "top.v:29|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" +.gate SB_DFFNE C=CLK D=$abc$19660$n5 E=$abc$19660$n308 Q=$abc$19660$n12 +.attr src "top.v:29|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$19660$n1549 E=$abc$19660$n301 Q=UART.tx_clk_counter[0] S=$abc$19660$n21 +.attr src "top.v:29|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19660$n1550 E=$abc$19660$n301 Q=UART.tx_clk_counter[1] R=$abc$19660$n21 +.attr src "top.v:29|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$19660$n1551 E=$abc$19660$n301 Q=UART.tx_clk_counter[2] S=$abc$19660$n21 +.attr src "top.v:29|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$19660$n1552 E=$abc$19660$n301 Q=UART.tx_clk_counter[3] S=$abc$19660$n21 +.attr src "top.v:29|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$19660$n1624 E=$abc$19660$n308 Q=UART.tx_bit_counter[0] S=$abc$19660$n21 +.attr src "top.v:29|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19660$n1622 E=$abc$19660$n308 Q=UART.tx_bit_counter[1] R=$abc$19660$n21 +.attr src "top.v:29|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19660$n1681 E=$abc$19660$n308 Q=UART.tx_bit_counter[2] R=$abc$19660$n21 +.attr src "top.v:29|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$19660$n1626 E=$abc$19660$n308 Q=UART.tx_bit_counter[3] S=$abc$19660$n21 +.attr src "top.v:29|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=UART_WR E=I2C.FLT_SCL.RESET Q=UART.TX_sig_last +.attr src "top.v:29|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFES C=CLK D=I2C.SDAF E=$abc$19660$n318 Q=I2C.FLT_SDA.out S=$abc$19660$n19 +.attr src "top.v:20|i2c_slave.v:46|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:23" +.gate SB_DFFER C=CLK D=$abc$19660$n1555 E=$abc$19660$n319 Q=I2C.FLT_SDA.counter[0] R=$abc$19660$n19 +.attr src "top.v:20|i2c_slave.v:46|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" +.gate SB_DFFER C=CLK D=$abc$19660$n1556 E=$abc$19660$n319 Q=I2C.FLT_SDA.counter[1] R=$abc$19660$n19 +.attr src "top.v:20|i2c_slave.v:46|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" +.gate SB_DFFER C=CLK D=$abc$19660$n1557 E=$abc$19660$n319 Q=$abc$19660$n721 R=$abc$19660$n19 +.attr src "top.v:20|i2c_slave.v:46|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" +.gate SB_DFFES C=CLK D=I2C.SCLF E=$abc$19660$n329 Q=I2C.FLT_SCL.out S=$abc$19660$n19 +.attr src "top.v:20|i2c_slave.v:45|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:23" +.gate SB_DFFER C=CLK D=$abc$19660$n1558 E=$abc$19660$n330 Q=I2C.FLT_SCL.counter[0] R=$abc$19660$n19 +.attr src "top.v:20|i2c_slave.v:45|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" +.gate SB_DFFER C=CLK D=$abc$19660$n1559 E=$abc$19660$n330 Q=I2C.FLT_SCL.counter[1] R=$abc$19660$n19 +.attr src "top.v:20|i2c_slave.v:45|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" +.gate SB_DFFER C=CLK D=$abc$19660$n1560 E=$abc$19660$n330 Q=I2C.FLT_SCL.counter[2] R=$abc$19660$n19 +.attr src "top.v:20|i2c_slave.v:45|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" .gate SB_IO D_IN_0=I2C.SDA_IN D_OUT_0=$false OUTPUT_ENABLE=I2C.SDA_DIR PACKAGE_PIN=SDA -.attr src "top.v:21|i2c_slave.v:178" +.attr src "top.v:20|i2c_slave.v:158" .param PIN_TYPE 101001 .param PULLUP 0 -.gate SB_RAM40_4K RADDR[0]=I2C_HID_DESC.real_adress[0] RADDR[1]=I2C_HID_DESC.real_adress[1] RADDR[2]=I2C_HID_DESC.real_adress[2] RADDR[3]=I2C_HID_DESC.real_adress[3] RADDR[4]=I2C_HID_DESC.real_adress[4] RADDR[5]=I2C_HID_DESC.real_adress[5] RADDR[6]=I2C_HID_DESC.real_adress[6] RADDR[7]=I2C_HID_DESC.real_adress[7] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=I2C_HID_DESC.VAL[0] RDATA[1]=I2C_HID_DESC.VAL[1] RDATA[2]=I2C_HID_DESC.VAL[2] RDATA[3]=I2C_TX_DESC[3] RDATA[4]=I2C_TX_DESC[4] RDATA[5]=I2C_TX_DESC[5] RDATA[6]=I2C_TX_DESC[6] RDATA[7]=I2C_TX_DESC[7] RDATA[8]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$391[0] RDATA[9]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$391[1] RDATA[10]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$391[2] RDATA[11]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$391[3] RDATA[12]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$391[4] RDATA[13]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$391[5] RDATA[14]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$391[6] RDATA[15]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$391[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WDATA[8]=$false WDATA[9]=$false WDATA[10]=$false WDATA[11]=$false WDATA[12]=$false WDATA[13]=$false WDATA[14]=$false WDATA[15]=$false WE=$false -.attr src "top.v:41|descriptors.v:143" -.param INIT_0 0000000000000000000000000000010000000000000000000000000000001010000000000000000000000000000000110000000000000000000000000000001000000000000000000000000000111111000000000000000100000000000000000000000000000000000000000001111000000000000000000000000000000000 -.param INIT_1 0000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000001000000000000000100000000000001000000000010011111000000000000000000000000000001100000000000000000000000000000010100000000000000000000000000000011 -.param INIT_2 0000000000000000000000000001010100000000111001110000000000101001000000001110000000000000000110010000000000000111000000000000010100000000000000010000000010100001000000000000011000000000000010010000000000000001000000000000010100000000000000000000000000000000 -.param INIT_3 0000000000000101000000001001010100000000000000010000000010000001000000000000100000000000011101010000000000000001000000001001010100000000000000100000000010000001000000000000100000000000100101010000000000000001000000000111010100000000000000010000000000100101 -.param INIT_4 0000000000000011000000001001000100000000000000110000000001110101000000000000000100000000100101010000000000000010000000001001000100000000000001010000000000101001000000000000000100000000000110010000000000001000000000000000010100000000000000010000000001110101 -.param INIT_5 0000000000000000000000001000000100000000011001010000000000101001000000000000000000000000000110010000000000000111000000000000010100000000011001010000000000100101000000000000000000000000000101010000000000001000000000000111010100000000000001100000000010010101 -.param INIT_6 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000 +.gate SB_RAM40_4K RADDR[0]=I2C_COUNTER[0] RADDR[1]=I2C_COUNTER[1] RADDR[2]=I2C_COUNTER[2] RADDR[3]=I2C_COUNTER[3] RADDR[4]=I2C_COUNTER[4] RADDR[5]=I2C_COUNTER[5] RADDR[6]=I2C_COUNTER[6] RADDR[7]=I2C_HID_DESC.DESC_TYPE RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=I2C_HID_DESC.CLK RCLKE=$true RDATA[0]=I2C_HID_DESC.VAL[0] RDATA[1]=I2C_HID_DESC.VAL[1] RDATA[2]=I2C_HID_DESC.VAL[2] RDATA[3]=I2C_HID_DESC.VAL[3] RDATA[4]=I2C_HID_DESC.VAL[4] RDATA[5]=I2C_HID_DESC.VAL[5] RDATA[6]=I2C_HID_DESC.VAL[6] RDATA[7]=I2C_HID_DESC.VAL[7] RDATA[8]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$297[0] RDATA[9]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$297[1] RDATA[10]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$297[2] RDATA[11]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$297[3] RDATA[12]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$297[4] RDATA[13]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$297[5] RDATA[14]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$297[6] RDATA[15]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$297[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WDATA[8]=$false WDATA[9]=$false WDATA[10]=$false WDATA[11]=$false WDATA[12]=$false WDATA[13]=$false WDATA[14]=$false WDATA[15]=$false WE=$false +.attr src "top.v:39|descriptors.v:147" +.param INIT_0 0000000000000011000000000000000000000000000001000000000000000000000000000000101000000000000000000000000000000011000000000000000000000000000000100000000000000000000000000011111100000000000000010000000000000000000000000000000000000000000111100000000000000000 +.param INIT_1 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000100000000000000010000000000000100000000001001111100000000000000000000000000000110000000000000000000000000000001010000000000000000 +.param INIT_8 0000000000100101000000000000000000000000000101010000000011100111000000000010100100000000111000000000000000011001000000000000011100000000000001010000000000000001000000001010000100000000000001100000000000001001000000000000000100000000000001010000000000000000 +.param INIT_9 0000000001110101000000000000010100000000100101010000000000000001000000001000000100000000000010000000000001110101000000000000000100000000100101010000000000000010000000001000000100000000000010000000000010010101000000000000000100000000011101010000000000000001 +.param INIT_A 0000000010010101000000000000001100000000100100010000000000000011000000000111010100000000000000010000000010010101000000000000001000000000100100010000000000000101000000000010100100000000000000010000000000011001000000000000100000000000000001010000000000000001 +.param INIT_B 0000000011000000000000000000000000000000100000010000000001100101000000000010100100000000000000000000000000011001000000000000011100000000000001010000000001100101000000000010010100000000000000000000000000010101000000000000100000000000011101010000000000000110 +.param INIT_C 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +.param READ_MODE 00000000000000000000000000000001 +.param WRITE_MODE 00000000000000000000000000000001 +.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=KEYBOARD.ram_adr[0] RADDR[1]=KEYBOARD.ram_adr[1] RADDR[2]=KEYBOARD.ram_adr[2] RADDR[3]=KEYBOARD.ram_adr[3] RADDR[4]=KEYBOARD.ram_adr[4] RADDR[5]=KEYBOARD.ram_adr[5] RADDR[6]=KEYBOARD.ram_adr[6] RADDR[7]=KEYBOARD.ram_adr[7] RADDR[8]=KEYBOARD.ram_adr[8] RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.RAM.r_data[0] RDATA[1]=$techmap5264\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[1] RDATA[2]=KEYBOARD.RAM.r_data[1] RDATA[3]=$techmap5264\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[3] RDATA[4]=KEYBOARD.RAM.r_data[2] RDATA[5]=$techmap5264\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[5] RDATA[6]=KEYBOARD.RAM.r_data[3] RDATA[7]=$techmap5264\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[7] RDATA[8]=KEYBOARD.RAM.r_data[4] RDATA[9]=$techmap5264\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[9] RDATA[10]=KEYBOARD.RAM.r_data[5] RDATA[11]=$techmap5264\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[11] RDATA[12]=KEYBOARD.RAM.r_data[6] RDATA[13]=$techmap5264\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[13] RDATA[14]=KEYBOARD.RAM.r_data[7] RDATA[15]=$techmap5264\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=KEYBOARD.ram_adr[0] WADDR[1]=KEYBOARD.ram_adr[1] WADDR[2]=KEYBOARD.ram_adr[2] WADDR[3]=KEYBOARD.ram_adr[3] WADDR[4]=KEYBOARD.ram_adr[4] WADDR[5]=KEYBOARD.ram_adr[5] WADDR[6]=KEYBOARD.ram_adr[6] WADDR[7]=KEYBOARD.ram_adr[7] WADDR[8]=KEYBOARD.ram_adr[8] WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=KEYBOARD.ram_wr WDATA[0]=KEYBOARD.temp[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.temp[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.temp[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.temp[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.temp[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.temp[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.temp[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.temp[7] WDATA[15]=$undef WE=$true +.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:277|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:35" +.param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1 +.param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_2 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_3 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_4 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_5 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_6 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_7 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_8 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_9 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_A xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_B xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_C xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_D xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_E xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 -.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=KEYBOARD.ram_adr[0] RADDR[1]=KEYBOARD.ram_adr[1] RADDR[2]=KEYBOARD.ram_adr[2] RADDR[3]=KEYBOARD.ram_adr[3] RADDR[4]=KEYBOARD.ram_adr[4] RADDR[5]=KEYBOARD.ram_adr[5] RADDR[6]=KEYBOARD.ram_adr[6] RADDR[7]=KEYBOARD.ram_adr[7] RADDR[8]=KEYBOARD.ram_adr[8] RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.RAM.r_data[0] RDATA[1]=$techmap9664\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[1] RDATA[2]=KEYBOARD.RAM.r_data[1] RDATA[3]=$techmap9664\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[3] RDATA[4]=KEYBOARD.RAM.r_data[2] RDATA[5]=$techmap9664\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[5] RDATA[6]=KEYBOARD.RAM.r_data[3] RDATA[7]=$techmap9664\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[7] RDATA[8]=KEYBOARD.RAM.r_data[4] RDATA[9]=$techmap9664\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[9] RDATA[10]=KEYBOARD.RAM.r_data[5] RDATA[11]=$techmap9664\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[11] RDATA[12]=KEYBOARD.RAM.r_data[6] RDATA[13]=$techmap9664\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[13] RDATA[14]=KEYBOARD.RAM.r_data[7] RDATA[15]=$techmap9664\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=KEYBOARD.ram_adr[0] WADDR[1]=KEYBOARD.ram_adr[1] WADDR[2]=KEYBOARD.ram_adr[2] WADDR[3]=KEYBOARD.ram_adr[3] WADDR[4]=KEYBOARD.ram_adr[4] WADDR[5]=KEYBOARD.ram_adr[5] WADDR[6]=KEYBOARD.ram_adr[6] WADDR[7]=KEYBOARD.ram_adr[7] WADDR[8]=KEYBOARD.ram_adr[8] WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=KEYBOARD.ram_wr WDATA[0]=KEYBOARD.temp[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.temp[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.temp[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.temp[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.temp[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.temp[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.temp[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.temp[7] WDATA[15]=$undef WE=$true +.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=KEYBOARD.report_adress_rd[0] RADDR[1]=KEYBOARD.report_adress_rd[1] RADDR[2]=KEYBOARD.report_adress_rd[2] RADDR[3]=KEYBOARD.report_adress_rd[3] RADDR[4]=$false RADDR[5]=$false RADDR[6]=$false RADDR[7]=$false RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.REPORT.r_data[0] RDATA[1]=$techmap5262\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[1] RDATA[2]=KEYBOARD.REPORT.r_data[1] RDATA[3]=$techmap5262\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[3] RDATA[4]=KEYBOARD.REPORT.r_data[2] RDATA[5]=$techmap5262\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[5] RDATA[6]=KEYBOARD.REPORT.r_data[3] RDATA[7]=$techmap5262\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[7] RDATA[8]=KEYBOARD.REPORT.r_data[4] RDATA[9]=$techmap5262\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[9] RDATA[10]=KEYBOARD.REPORT.r_data[5] RDATA[11]=$techmap5262\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[11] RDATA[12]=KEYBOARD.REPORT.r_data[6] RDATA[13]=$techmap5262\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[13] RDATA[14]=KEYBOARD.REPORT.r_data[7] RDATA[15]=$techmap5262\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=KEYBOARD.report_adress_rd[0] WADDR[1]=KEYBOARD.report_adress_rd[1] WADDR[2]=KEYBOARD.report_adress_rd[2] WADDR[3]=KEYBOARD.report_adress_rd[3] WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=KEYBOARD.report_wr_en WDATA[0]=KEYBOARD.report_data_wr[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.report_data_wr[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.report_data_wr[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.report_data_wr[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.report_data_wr[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.report_data_wr[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.report_data_wr[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.report_data_wr[7] WDATA[15]=$undef WE=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:277|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:35" .param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1 .param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx @@ -3458,8 +2408,8 @@ .param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 -.gate SB_RAM40_4K RADDR[0]=KEYBOARD.kbd_code[0] RADDR[1]=KEYBOARD.kbd_code[1] RADDR[2]=KEYBOARD.kbd_code[2] RADDR[3]=KEYBOARD.kbd_code[3] RADDR[4]=KEYBOARD.kbd_code[4] RADDR[5]=KEYBOARD.kbd_code[5] RADDR[6]=KEYBOARD.kbd_code[6] RADDR[7]=KEYBOARD.kbd_code[7] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.kbd_code_hid[0] RDATA[1]=KEYBOARD.kbd_code_hid[1] RDATA[2]=KEYBOARD.kbd_code_hid[2] RDATA[3]=KEYBOARD.kbd_code_hid[3] RDATA[4]=KEYBOARD.kbd_code_hid[4] RDATA[5]=KEYBOARD.kbd_code_hid[5] RDATA[6]=KEYBOARD.kbd_code_hid[6] RDATA[7]=KEYBOARD.kbd_code_hid[7] RDATA[8]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$390[0] RDATA[9]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$390[1] RDATA[10]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$390[2] RDATA[11]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$390[3] RDATA[12]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$390[4] RDATA[13]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$390[5] RDATA[14]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$390[6] RDATA[15]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$390[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WDATA[8]=$false WDATA[9]=$false WDATA[10]=$false WDATA[11]=$false WDATA[12]=$false WDATA[13]=$false WDATA[14]=$false WDATA[15]=$false WE=$false -.attr src "top.v:39|matrix_kbd.v:189" +.gate SB_RAM40_4K RADDR[0]=KEYBOARD.row_time[5] RADDR[1]=KEYBOARD.row_time[6] RADDR[2]=KEYBOARD.row_time[7] RADDR[3]=KEYBOARD.row_counter[0] RADDR[4]=KEYBOARD.row_counter[1] RADDR[5]=KEYBOARD.row_counter[2] RADDR[6]=KEYBOARD.row_counter[3] RADDR[7]=$false RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.kbd_code_hid[0] RDATA[1]=KEYBOARD.kbd_code_hid[1] RDATA[2]=KEYBOARD.kbd_code_hid[2] RDATA[3]=KEYBOARD.kbd_code_hid[3] RDATA[4]=KEYBOARD.kbd_code_hid[4] RDATA[5]=KEYBOARD.kbd_code_hid[5] RDATA[6]=KEYBOARD.kbd_code_hid[6] RDATA[7]=KEYBOARD.kbd_code_hid[7] RDATA[8]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$296[0] RDATA[9]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$296[1] RDATA[10]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$296[2] RDATA[11]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$296[3] RDATA[12]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$296[4] RDATA[13]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$296[5] RDATA[14]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$296[6] RDATA[15]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$296[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WDATA[8]=$false WDATA[9]=$false WDATA[10]=$false WDATA[11]=$false WDATA[12]=$false WDATA[13]=$false WDATA[14]=$false WDATA[15]=$false WE=$false +.attr src "top.v:37|matrix_kbd.v:288" .param INIT_0 0000000000000000000000000000000100000000000000010000000000000001000000001110011100000000010110000000000001001100000000000101001100000000000000010000000000000001000000000000000100000000000000010000000011100000000000000011100100000000111000010000000000101001 .param INIT_1 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 .param INIT_2 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 @@ -3473,70 +2423,70 @@ .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[0] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[0] PACKAGE_PIN=KBD_ROWS[0] -.attr src "top.v:39|matrix_kbd.v:215" +.attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[10] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[10] PACKAGE_PIN=KBD_ROWS[10] -.attr src "top.v:39|matrix_kbd.v:215" +.attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[11] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[11] PACKAGE_PIN=KBD_ROWS[11] -.attr src "top.v:39|matrix_kbd.v:215" +.attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[12] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[12] PACKAGE_PIN=KBD_ROWS[12] -.attr src "top.v:39|matrix_kbd.v:215" +.attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[13] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[13] PACKAGE_PIN=KBD_ROWS[13] -.attr src "top.v:39|matrix_kbd.v:215" +.attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[14] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[14] PACKAGE_PIN=KBD_ROWS[14] -.attr src "top.v:39|matrix_kbd.v:215" +.attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[15] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[15] PACKAGE_PIN=KBD_ROWS[15] -.attr src "top.v:39|matrix_kbd.v:215" +.attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[1] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[1] PACKAGE_PIN=KBD_ROWS[1] -.attr src "top.v:39|matrix_kbd.v:215" +.attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[2] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[2] PACKAGE_PIN=KBD_ROWS[2] -.attr src "top.v:39|matrix_kbd.v:215" +.attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[3] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[3] PACKAGE_PIN=KBD_ROWS[3] -.attr src "top.v:39|matrix_kbd.v:215" +.attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[4] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[4] PACKAGE_PIN=KBD_ROWS[4] -.attr src "top.v:39|matrix_kbd.v:215" +.attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[5] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[5] PACKAGE_PIN=KBD_ROWS[5] -.attr src "top.v:39|matrix_kbd.v:215" +.attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[6] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[6] PACKAGE_PIN=KBD_ROWS[6] -.attr src "top.v:39|matrix_kbd.v:215" +.attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[7] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[7] PACKAGE_PIN=KBD_ROWS[7] -.attr src "top.v:39|matrix_kbd.v:215" +.attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[8] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[8] PACKAGE_PIN=KBD_ROWS[8] -.attr src "top.v:39|matrix_kbd.v:215" +.attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[9] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[9] PACKAGE_PIN=KBD_ROWS[9] -.attr src "top.v:39|matrix_kbd.v:215" +.attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 -.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=report_data_radr[0] RADDR[1]=report_data_radr[1] RADDR[2]=report_data_radr[2] RADDR[3]=report_data_radr[3] RADDR[4]=report_data_radr[4] RADDR[5]=report_data_radr[5] RADDR[6]=report_data_radr[6] RADDR[7]=report_data_radr[7] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=REPORT_DATA.r_data[0] RDATA[1]=$techmap9663\REPORT_DATA.mem.0.0.0.A1DATA_16[1] RDATA[2]=REPORT_DATA.r_data[1] RDATA[3]=$techmap9663\REPORT_DATA.mem.0.0.0.A1DATA_16[3] RDATA[4]=REPORT_DATA.r_data[2] RDATA[5]=$techmap9663\REPORT_DATA.mem.0.0.0.A1DATA_16[5] RDATA[6]=REPORT_DATA.r_data[3] RDATA[7]=$techmap9663\REPORT_DATA.mem.0.0.0.A1DATA_16[7] RDATA[8]=REPORT_DATA.r_data[4] RDATA[9]=$techmap9663\REPORT_DATA.mem.0.0.0.A1DATA_16[9] RDATA[10]=REPORT_DATA.r_data[5] RDATA[11]=$techmap9663\REPORT_DATA.mem.0.0.0.A1DATA_16[11] RDATA[12]=REPORT_DATA.r_data[6] RDATA[13]=$techmap9663\REPORT_DATA.mem.0.0.0.A1DATA_16[13] RDATA[14]=REPORT_DATA.r_data[7] RDATA[15]=$techmap9663\REPORT_DATA.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=report_data_wadr[0] WADDR[1]=report_data_wadr[1] WADDR[2]=report_data_wadr[2] WADDR[3]=report_data_wadr[3] WADDR[4]=report_data_wadr[4] WADDR[5]=report_data_wadr[5] WADDR[6]=report_data_wadr[6] WADDR[7]=report_data_wadr[7] WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=report_wr_en WDATA[0]=report_data_wr[0] WDATA[1]=$undef WDATA[2]=report_data_wr[1] WDATA[3]=$undef WDATA[4]=report_data_wr[2] WDATA[5]=$undef WDATA[6]=report_data_wr[3] WDATA[7]=$undef WDATA[8]=report_data_wr[4] WDATA[9]=$undef WDATA[10]=report_data_wr[5] WDATA[11]=$undef WDATA[12]=report_data_wr[6] WDATA[13]=$undef WDATA[14]=report_data_wr[7] WDATA[15]=$undef WE=$true +.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=I2C_COUNTER[0] RADDR[1]=I2C_COUNTER[1] RADDR[2]=I2C_COUNTER[2] RADDR[3]=I2C_COUNTER[3] RADDR[4]=ring_rd[0] RADDR[5]=ring_rd[1] RADDR[6]=ring_rd[2] RADDR[7]=ring_rd[3] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=I2C_HID_DESC.CLK RCLKE=$true RDATA[0]=REPORT_DATA.r_data[0] RDATA[1]=$techmap5263\REPORT_DATA.mem.0.0.0.A1DATA_16[1] RDATA[2]=REPORT_DATA.r_data[1] RDATA[3]=$techmap5263\REPORT_DATA.mem.0.0.0.A1DATA_16[3] RDATA[4]=REPORT_DATA.r_data[2] RDATA[5]=$techmap5263\REPORT_DATA.mem.0.0.0.A1DATA_16[5] RDATA[6]=REPORT_DATA.r_data[3] RDATA[7]=$techmap5263\REPORT_DATA.mem.0.0.0.A1DATA_16[7] RDATA[8]=REPORT_DATA.r_data[4] RDATA[9]=$techmap5263\REPORT_DATA.mem.0.0.0.A1DATA_16[9] RDATA[10]=REPORT_DATA.r_data[5] RDATA[11]=$techmap5263\REPORT_DATA.mem.0.0.0.A1DATA_16[11] RDATA[12]=REPORT_DATA.r_data[6] RDATA[13]=$techmap5263\REPORT_DATA.mem.0.0.0.A1DATA_16[13] RDATA[14]=REPORT_DATA.r_data[7] RDATA[15]=$techmap5263\REPORT_DATA.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=wr_cnt[0] WADDR[1]=wr_cnt[1] WADDR[2]=wr_cnt[2] WADDR[3]=wr_cnt[3] WADDR[4]=ring_wr[0] WADDR[5]=ring_wr[1] WADDR[6]=ring_wr[2] WADDR[7]=ring_wr[3] WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=I2C_HID_DESC.CLK WCLKE=report_wr_en WDATA[0]=KEYBOARD.REPORT.r_data[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.REPORT.r_data[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.REPORT.r_data[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.REPORT.r_data[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.REPORT.r_data[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.REPORT.r_data[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.REPORT.r_data[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.REPORT.r_data[7] WDATA[15]=$undef WE=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:277|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:35" .param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1 .param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx @@ -3556,26 +2506,12 @@ .param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 +.names $true COM_DSR +1 1 .names I2C.is_read COM_RTS 1 1 .names CLK I2C.CLK 1 1 -.names I2C.byte_counter[0] I2C.COUNTER[0] -1 1 -.names I2C.byte_counter[1] I2C.COUNTER[1] -1 1 -.names I2C.byte_counter[2] I2C.COUNTER[2] -1 1 -.names I2C.byte_counter[3] I2C.COUNTER[3] -1 1 -.names I2C.byte_counter[4] I2C.COUNTER[4] -1 1 -.names I2C.byte_counter[5] I2C.COUNTER[5] -1 1 -.names I2C.byte_counter[6] I2C.COUNTER[6] -1 1 -.names I2C.byte_counter[7] I2C.COUNTER[7] -1 1 .names CLK I2C.FLT_SCL.CLK 1 1 .names I2C.SCLF I2C.FLT_SCL.IN @@ -3626,47 +2562,37 @@ 1 1 .names I2C.is_ack I2C_ACK 1 1 -.names I2C.byte_counter[0] I2C_COUNTER[0] -1 1 -.names I2C.byte_counter[1] I2C_COUNTER[1] -1 1 -.names I2C.byte_counter[2] I2C_COUNTER[2] -1 1 -.names I2C.byte_counter[3] I2C_COUNTER[3] -1 1 -.names I2C.byte_counter[4] I2C_COUNTER[4] -1 1 -.names I2C.byte_counter[5] I2C_COUNTER[5] +.names I2C_COUNTER[0] I2C_HID_DESC.ADR[0] 1 1 -.names I2C.byte_counter[6] I2C_COUNTER[6] +.names I2C_COUNTER[1] I2C_HID_DESC.ADR[1] 1 1 -.names I2C.byte_counter[7] I2C_COUNTER[7] +.names I2C_COUNTER[2] I2C_HID_DESC.ADR[2] 1 1 -.names I2C.byte_counter[0] I2C_HID_DESC.ADR[0] +.names I2C_COUNTER[3] I2C_HID_DESC.ADR[3] 1 1 -.names I2C.byte_counter[1] I2C_HID_DESC.ADR[1] +.names I2C_COUNTER[4] I2C_HID_DESC.ADR[4] 1 1 -.names I2C.byte_counter[2] I2C_HID_DESC.ADR[2] +.names I2C_COUNTER[5] I2C_HID_DESC.ADR[5] 1 1 -.names I2C.byte_counter[3] I2C_HID_DESC.ADR[3] +.names I2C_COUNTER[6] I2C_HID_DESC.ADR[6] 1 1 -.names I2C.byte_counter[4] I2C_HID_DESC.ADR[4] +.names I2C_COUNTER[0] I2C_HID_DESC.RAM_ADR[0] 1 1 -.names I2C.byte_counter[5] I2C_HID_DESC.ADR[5] +.names I2C_COUNTER[1] I2C_HID_DESC.RAM_ADR[1] 1 1 -.names I2C.byte_counter[6] I2C_HID_DESC.ADR[6] +.names I2C_COUNTER[2] I2C_HID_DESC.RAM_ADR[2] 1 1 -.names I2C.byte_counter[7] I2C_HID_DESC.ADR[7] +.names I2C_COUNTER[3] I2C_HID_DESC.RAM_ADR[3] 1 1 -.names CLK I2C_HID_DESC.CLK +.names I2C_COUNTER[4] I2C_HID_DESC.RAM_ADR[4] 1 1 -.names I2C_OUTPUT_TYPE[0] I2C_HID_DESC.DESC_TYPE[0] +.names I2C_COUNTER[5] I2C_HID_DESC.RAM_ADR[5] 1 1 -.names I2C_OUTPUT_TYPE[1] I2C_HID_DESC.DESC_TYPE[1] +.names I2C_COUNTER[6] I2C_HID_DESC.RAM_ADR[6] 1 1 -.names I2C.wr I2C_HID_DESC.RD_REQUEST +.names I2C_HID_DESC.DESC_TYPE I2C_HID_DESC.RAM_ADR[7] 1 1 -.names I2C.FLT_SCL.RESET I2C_HID_DESC.RESET +.names I2C_HID_DESC.DESC_TYPE I2C_OUTPUT_TYPE[0] 1 1 .names I2C.is_read I2C_READ 1 1 @@ -3692,6 +2618,16 @@ 1 1 .names I2C_HID_DESC.VAL[2] I2C_TX_DESC[2] 1 1 +.names I2C_HID_DESC.VAL[3] I2C_TX_DESC[3] +1 1 +.names I2C_HID_DESC.VAL[4] I2C_TX_DESC[4] +1 1 +.names I2C_HID_DESC.VAL[5] I2C_TX_DESC[5] +1 1 +.names I2C_HID_DESC.VAL[6] I2C_TX_DESC[6] +1 1 +.names I2C_HID_DESC.VAL[7] I2C_TX_DESC[7] +1 1 .names I2C.wr I2C_WR 1 1 .names COM_DCD INT @@ -3700,24 +2636,12 @@ 1 1 .names KEYBOARD.isr ISR 1 1 -.names COM_DSR KBD_FREEZE -1 1 .names LED2 KBD_LED_STATUS[0] 1 1 .names LED3 KBD_LED_STATUS[1] 1 1 .names LED4 KBD_LED_STATUS[2] 1 1 -.names $undef KBD_LED_STATUS[3] -1 1 -.names $undef KBD_LED_STATUS[4] -1 1 -.names $undef KBD_LED_STATUS[5] -1 1 -.names $undef KBD_LED_STATUS[6] -1 1 -.names $undef KBD_LED_STATUS[7] -1 1 .names CLK KEYBOARD.CLK 1 1 .names KBD_COLUMNS[0] KEYBOARD.COLUMNS[0] @@ -3736,6 +2660,8 @@ 1 1 .names KBD_COLUMNS[7] KEYBOARD.COLUMNS[7] 1 1 +.names IS_RAM_INIT KEYBOARD.FREEZE +1 1 .names KEYBOARD.isr KEYBOARD.INT 1 1 .names CLK KEYBOARD.RAM.clk @@ -3810,151 +2736,149 @@ 1 1 .names KEYBOARD.ram_wr KEYBOARD.RAM.wen 1 1 -.names I2C.FLT_SCL.RESET KEYBOARD.RESET -1 1 -.names KBD_ROWS[0] KEYBOARD.ROWS[0] +.names CLK KEYBOARD.REPORT.clk 1 1 -.names KBD_ROWS[1] KEYBOARD.ROWS[1] +.names KEYBOARD.report_adress_rd[0] KEYBOARD.REPORT.raddr[0] 1 1 -.names KBD_ROWS[2] KEYBOARD.ROWS[2] +.names KEYBOARD.report_adress_rd[1] KEYBOARD.REPORT.raddr[1] 1 1 -.names KBD_ROWS[3] KEYBOARD.ROWS[3] +.names KEYBOARD.report_adress_rd[2] KEYBOARD.REPORT.raddr[2] 1 1 -.names KBD_ROWS[4] KEYBOARD.ROWS[4] +.names KEYBOARD.report_adress_rd[3] KEYBOARD.REPORT.raddr[3] 1 1 -.names KBD_ROWS[5] KEYBOARD.ROWS[5] +.names $false KEYBOARD.REPORT.raddr[4] 1 1 -.names KBD_ROWS[6] KEYBOARD.ROWS[6] +.names $false KEYBOARD.REPORT.raddr[5] 1 1 -.names KBD_ROWS[7] KEYBOARD.ROWS[7] +.names $false KEYBOARD.REPORT.raddr[6] 1 1 -.names KBD_ROWS[8] KEYBOARD.ROWS[8] +.names $false KEYBOARD.REPORT.raddr[7] 1 1 -.names KBD_ROWS[9] KEYBOARD.ROWS[9] +.names $false KEYBOARD.REPORT.raddr[8] 1 1 -.names KBD_ROWS[10] KEYBOARD.ROWS[10] +.names KEYBOARD.REPORT.r_data[0] KEYBOARD.REPORT.rdata[0] 1 1 -.names KBD_ROWS[11] KEYBOARD.ROWS[11] +.names KEYBOARD.REPORT.r_data[1] KEYBOARD.REPORT.rdata[1] 1 1 -.names KBD_ROWS[12] KEYBOARD.ROWS[12] +.names KEYBOARD.REPORT.r_data[2] KEYBOARD.REPORT.rdata[2] 1 1 -.names KBD_ROWS[13] KEYBOARD.ROWS[13] +.names KEYBOARD.REPORT.r_data[3] KEYBOARD.REPORT.rdata[3] 1 1 -.names KBD_ROWS[14] KEYBOARD.ROWS[14] +.names KEYBOARD.REPORT.r_data[4] KEYBOARD.REPORT.rdata[4] 1 1 -.names KBD_ROWS[15] KEYBOARD.ROWS[15] +.names KEYBOARD.REPORT.r_data[5] KEYBOARD.REPORT.rdata[5] 1 1 -.names KEYBOARD.report[0][0] KEYBOARD.kbd_r0[0] +.names KEYBOARD.REPORT.r_data[6] KEYBOARD.REPORT.rdata[6] 1 1 -.names KEYBOARD.report[0][1] KEYBOARD.kbd_r0[1] +.names KEYBOARD.REPORT.r_data[7] KEYBOARD.REPORT.rdata[7] 1 1 -.names KEYBOARD.report[0][2] KEYBOARD.kbd_r0[2] +.names KEYBOARD.report_adress_rd[0] KEYBOARD.REPORT.waddr[0] 1 1 -.names KEYBOARD.report[0][3] KEYBOARD.kbd_r0[3] +.names KEYBOARD.report_adress_rd[1] KEYBOARD.REPORT.waddr[1] 1 1 -.names KEYBOARD.report[0][4] KEYBOARD.kbd_r0[4] +.names KEYBOARD.report_adress_rd[2] KEYBOARD.REPORT.waddr[2] 1 1 -.names KEYBOARD.report[0][5] KEYBOARD.kbd_r0[5] +.names KEYBOARD.report_adress_rd[3] KEYBOARD.REPORT.waddr[3] 1 1 -.names KEYBOARD.report[0][6] KEYBOARD.kbd_r0[6] +.names $false KEYBOARD.REPORT.waddr[4] 1 1 -.names KEYBOARD.report[0][7] KEYBOARD.kbd_r0[7] +.names $false KEYBOARD.REPORT.waddr[5] 1 1 -.names KEYBOARD.report[1][0] KEYBOARD.kbd_r2[0] +.names $false KEYBOARD.REPORT.waddr[6] 1 1 -.names KEYBOARD.report[1][1] KEYBOARD.kbd_r2[1] +.names $false KEYBOARD.REPORT.waddr[7] 1 1 -.names KEYBOARD.report[1][2] KEYBOARD.kbd_r2[2] +.names $false KEYBOARD.REPORT.waddr[8] 1 1 -.names KEYBOARD.report[1][3] KEYBOARD.kbd_r2[3] +.names KEYBOARD.report_data_wr[0] KEYBOARD.REPORT.wdata[0] 1 1 -.names KEYBOARD.report[1][4] KEYBOARD.kbd_r2[4] +.names KEYBOARD.report_data_wr[1] KEYBOARD.REPORT.wdata[1] 1 1 -.names KEYBOARD.report[1][5] KEYBOARD.kbd_r2[5] +.names KEYBOARD.report_data_wr[2] KEYBOARD.REPORT.wdata[2] 1 1 -.names KEYBOARD.report[1][6] KEYBOARD.kbd_r2[6] +.names KEYBOARD.report_data_wr[3] KEYBOARD.REPORT.wdata[3] 1 1 -.names KEYBOARD.report[1][7] KEYBOARD.kbd_r2[7] +.names KEYBOARD.report_data_wr[4] KEYBOARD.REPORT.wdata[4] 1 1 -.names KEYBOARD.report[2][0] KEYBOARD.kbd_r3[0] +.names KEYBOARD.report_data_wr[5] KEYBOARD.REPORT.wdata[5] 1 1 -.names KEYBOARD.report[2][1] KEYBOARD.kbd_r3[1] +.names KEYBOARD.report_data_wr[6] KEYBOARD.REPORT.wdata[6] 1 1 -.names KEYBOARD.report[2][2] KEYBOARD.kbd_r3[2] +.names KEYBOARD.report_data_wr[7] KEYBOARD.REPORT.wdata[7] 1 1 -.names KEYBOARD.report[2][3] KEYBOARD.kbd_r3[3] +.names KEYBOARD.report_wr_en KEYBOARD.REPORT.wen 1 1 -.names KEYBOARD.report[2][4] KEYBOARD.kbd_r3[4] +.names wr_cnt[0] KEYBOARD.REPORT_ADRESS[0] 1 1 -.names KEYBOARD.report[2][5] KEYBOARD.kbd_r3[5] +.names wr_cnt[1] KEYBOARD.REPORT_ADRESS[1] 1 1 -.names KEYBOARD.report[2][6] KEYBOARD.kbd_r3[6] +.names wr_cnt[2] KEYBOARD.REPORT_ADRESS[2] 1 1 -.names KEYBOARD.report[2][7] KEYBOARD.kbd_r3[7] +.names wr_cnt[3] KEYBOARD.REPORT_ADRESS[3] 1 1 -.names KEYBOARD.report[3][0] KEYBOARD.kbd_r4[0] +.names KEYBOARD.REPORT.r_data[0] KEYBOARD.REPORT_DATA[0] 1 1 -.names KEYBOARD.report[3][1] KEYBOARD.kbd_r4[1] +.names KEYBOARD.REPORT.r_data[1] KEYBOARD.REPORT_DATA[1] 1 1 -.names KEYBOARD.report[3][2] KEYBOARD.kbd_r4[2] +.names KEYBOARD.REPORT.r_data[2] KEYBOARD.REPORT_DATA[2] 1 1 -.names KEYBOARD.report[3][3] KEYBOARD.kbd_r4[3] +.names KEYBOARD.REPORT.r_data[3] KEYBOARD.REPORT_DATA[3] 1 1 -.names KEYBOARD.report[3][4] KEYBOARD.kbd_r4[4] +.names KEYBOARD.REPORT.r_data[4] KEYBOARD.REPORT_DATA[4] 1 1 -.names KEYBOARD.report[3][5] KEYBOARD.kbd_r4[5] +.names KEYBOARD.REPORT.r_data[5] KEYBOARD.REPORT_DATA[5] 1 1 -.names KEYBOARD.report[3][6] KEYBOARD.kbd_r4[6] +.names KEYBOARD.REPORT.r_data[6] KEYBOARD.REPORT_DATA[6] 1 1 -.names KEYBOARD.report[3][7] KEYBOARD.kbd_r4[7] +.names KEYBOARD.REPORT.r_data[7] KEYBOARD.REPORT_DATA[7] 1 1 -.names KEYBOARD.report[4][0] KEYBOARD.kbd_r5[0] +.names I2C.FLT_SCL.RESET KEYBOARD.RESET 1 1 -.names KEYBOARD.report[4][1] KEYBOARD.kbd_r5[1] +.names KBD_ROWS[0] KEYBOARD.ROWS[0] 1 1 -.names KEYBOARD.report[4][2] KEYBOARD.kbd_r5[2] +.names KBD_ROWS[1] KEYBOARD.ROWS[1] 1 1 -.names KEYBOARD.report[4][3] KEYBOARD.kbd_r5[3] +.names KBD_ROWS[2] KEYBOARD.ROWS[2] 1 1 -.names KEYBOARD.report[4][4] KEYBOARD.kbd_r5[4] +.names KBD_ROWS[3] KEYBOARD.ROWS[3] 1 1 -.names KEYBOARD.report[4][5] KEYBOARD.kbd_r5[5] +.names KBD_ROWS[4] KEYBOARD.ROWS[4] 1 1 -.names KEYBOARD.report[4][6] KEYBOARD.kbd_r5[6] +.names KBD_ROWS[5] KEYBOARD.ROWS[5] 1 1 -.names KEYBOARD.report[4][7] KEYBOARD.kbd_r5[7] +.names KBD_ROWS[6] KEYBOARD.ROWS[6] 1 1 -.names KEYBOARD.report[5][0] KEYBOARD.kbd_r6[0] +.names KBD_ROWS[7] KEYBOARD.ROWS[7] 1 1 -.names KEYBOARD.report[5][1] KEYBOARD.kbd_r6[1] +.names KBD_ROWS[8] KEYBOARD.ROWS[8] 1 1 -.names KEYBOARD.report[5][2] KEYBOARD.kbd_r6[2] +.names KBD_ROWS[9] KEYBOARD.ROWS[9] 1 1 -.names KEYBOARD.report[5][3] KEYBOARD.kbd_r6[3] +.names KBD_ROWS[10] KEYBOARD.ROWS[10] 1 1 -.names KEYBOARD.report[5][4] KEYBOARD.kbd_r6[4] +.names KBD_ROWS[11] KEYBOARD.ROWS[11] 1 1 -.names KEYBOARD.report[5][5] KEYBOARD.kbd_r6[5] +.names KBD_ROWS[12] KEYBOARD.ROWS[12] 1 1 -.names KEYBOARD.report[5][6] KEYBOARD.kbd_r6[6] +.names KBD_ROWS[13] KEYBOARD.ROWS[13] 1 1 -.names KEYBOARD.report[5][7] KEYBOARD.kbd_r6[7] +.names KBD_ROWS[14] KEYBOARD.ROWS[14] 1 1 -.names KEYBOARD.report[6][0] KEYBOARD.kbd_r7[0] +.names KBD_ROWS[15] KEYBOARD.ROWS[15] 1 1 -.names KEYBOARD.report[6][1] KEYBOARD.kbd_r7[1] +.names KEYBOARD.row_time[5] KEYBOARD.kbd_code[0] 1 1 -.names KEYBOARD.report[6][2] KEYBOARD.kbd_r7[2] +.names KEYBOARD.row_time[6] KEYBOARD.kbd_code[1] 1 1 -.names KEYBOARD.report[6][3] KEYBOARD.kbd_r7[3] +.names KEYBOARD.row_time[7] KEYBOARD.kbd_code[2] 1 1 -.names KEYBOARD.report[6][4] KEYBOARD.kbd_r7[4] +.names KEYBOARD.row_counter[0] KEYBOARD.kbd_code[3] 1 1 -.names KEYBOARD.report[6][5] KEYBOARD.kbd_r7[5] +.names KEYBOARD.row_counter[1] KEYBOARD.kbd_code[4] 1 1 -.names KEYBOARD.report[6][6] KEYBOARD.kbd_r7[6] +.names KEYBOARD.row_counter[2] KEYBOARD.kbd_code[5] 1 1 -.names KEYBOARD.report[6][7] KEYBOARD.kbd_r7[7] +.names KEYBOARD.row_counter[3] KEYBOARD.kbd_code[6] 1 1 .names KEYBOARD.RAM.r_data[0] KEYBOARD.ram_rd[0] 1 1 @@ -3972,25 +2896,49 @@ 1 1 .names KEYBOARD.RAM.r_data[7] KEYBOARD.ram_rd[7] 1 1 +.names KEYBOARD.report_adress_rd[0] KEYBOARD.report_adress_wr[0] +1 1 +.names KEYBOARD.report_adress_rd[1] KEYBOARD.report_adress_wr[1] +1 1 +.names KEYBOARD.report_adress_rd[2] KEYBOARD.report_adress_wr[2] +1 1 +.names KEYBOARD.report_adress_rd[3] KEYBOARD.report_adress_wr[3] +1 1 +.names KEYBOARD.REPORT.r_data[0] KEYBOARD.report_data_rd[0] +1 1 +.names KEYBOARD.REPORT.r_data[1] KEYBOARD.report_data_rd[1] +1 1 +.names KEYBOARD.REPORT.r_data[2] KEYBOARD.report_data_rd[2] +1 1 +.names KEYBOARD.REPORT.r_data[3] KEYBOARD.report_data_rd[3] +1 1 +.names KEYBOARD.REPORT.r_data[4] KEYBOARD.report_data_rd[4] +1 1 +.names KEYBOARD.REPORT.r_data[5] KEYBOARD.report_data_rd[5] +1 1 +.names KEYBOARD.REPORT.r_data[6] KEYBOARD.report_data_rd[6] +1 1 +.names KEYBOARD.REPORT.r_data[7] KEYBOARD.report_data_rd[7] +1 1 .names I2C_TRANS LED5 1 1 -.names CLK REPORT_DATA.clk +.names I2C_HID_DESC.CLK REPORT_DATA.clk 1 1 -.names report_data_radr[0] REPORT_DATA.raddr[0] +.names I2C_COUNTER[0] REPORT_DATA.raddr[0] 1 1 -.names report_data_radr[1] REPORT_DATA.raddr[1] +.names I2C_COUNTER[1] REPORT_DATA.raddr[1] 1 1 -.names report_data_radr[2] REPORT_DATA.raddr[2] +.names I2C_COUNTER[2] REPORT_DATA.raddr[2] 1 1 -.names report_data_radr[3] REPORT_DATA.raddr[3] +.names I2C_COUNTER[3] REPORT_DATA.raddr[3] 1 1 -.names report_data_radr[4] REPORT_DATA.raddr[4] +.names ring_rd[0] REPORT_DATA.raddr[4] 1 1 -.names report_data_radr[5] REPORT_DATA.raddr[5] +.names ring_rd[1] REPORT_DATA.raddr[5] 1 1 -.names report_data_radr[6] REPORT_DATA.raddr[6] +.names ring_rd[2] REPORT_DATA.raddr[6] 1 1 -.names report_data_radr[7] REPORT_DATA.raddr[7] +.names ring_rd[3] REPORT_DATA.raddr[7] 1 1 .names $false REPORT_DATA.raddr[8] 1 1 @@ -4010,39 +2958,39 @@ 1 1 .names REPORT_DATA.r_data[7] REPORT_DATA.rdata[7] 1 1 -.names report_data_wadr[0] REPORT_DATA.waddr[0] +.names wr_cnt[0] REPORT_DATA.waddr[0] 1 1 -.names report_data_wadr[1] REPORT_DATA.waddr[1] +.names wr_cnt[1] REPORT_DATA.waddr[1] 1 1 -.names report_data_wadr[2] REPORT_DATA.waddr[2] +.names wr_cnt[2] REPORT_DATA.waddr[2] 1 1 -.names report_data_wadr[3] REPORT_DATA.waddr[3] +.names wr_cnt[3] REPORT_DATA.waddr[3] 1 1 -.names report_data_wadr[4] REPORT_DATA.waddr[4] +.names ring_wr[0] REPORT_DATA.waddr[4] 1 1 -.names report_data_wadr[5] REPORT_DATA.waddr[5] +.names ring_wr[1] REPORT_DATA.waddr[5] 1 1 -.names report_data_wadr[6] REPORT_DATA.waddr[6] +.names ring_wr[2] REPORT_DATA.waddr[6] 1 1 -.names report_data_wadr[7] REPORT_DATA.waddr[7] +.names ring_wr[3] REPORT_DATA.waddr[7] 1 1 .names $false REPORT_DATA.waddr[8] 1 1 -.names report_data_wr[0] REPORT_DATA.wdata[0] +.names KEYBOARD.REPORT.r_data[0] REPORT_DATA.wdata[0] 1 1 -.names report_data_wr[1] REPORT_DATA.wdata[1] +.names KEYBOARD.REPORT.r_data[1] REPORT_DATA.wdata[1] 1 1 -.names report_data_wr[2] REPORT_DATA.wdata[2] +.names KEYBOARD.REPORT.r_data[2] REPORT_DATA.wdata[2] 1 1 -.names report_data_wr[3] REPORT_DATA.wdata[3] +.names KEYBOARD.REPORT.r_data[3] REPORT_DATA.wdata[3] 1 1 -.names report_data_wr[4] REPORT_DATA.wdata[4] +.names KEYBOARD.REPORT.r_data[4] REPORT_DATA.wdata[4] 1 1 -.names report_data_wr[5] REPORT_DATA.wdata[5] +.names KEYBOARD.REPORT.r_data[5] REPORT_DATA.wdata[5] 1 1 -.names report_data_wr[6] REPORT_DATA.wdata[6] +.names KEYBOARD.REPORT.r_data[6] REPORT_DATA.wdata[6] 1 1 -.names report_data_wr[7] REPORT_DATA.wdata[7] +.names KEYBOARD.REPORT.r_data[7] REPORT_DATA.wdata[7] 1 1 .names report_wr_en REPORT_DATA.wen 1 1 @@ -4080,142 +3028,68 @@ 1 1 .names COM_TX UART_TX_LINE 1 1 -.names KEYBOARD.report[0][0] kbd_report[0][0] -1 1 -.names KEYBOARD.report[0][1] kbd_report[0][1] -1 1 -.names KEYBOARD.report[0][2] kbd_report[0][2] -1 1 -.names KEYBOARD.report[0][3] kbd_report[0][3] -1 1 -.names KEYBOARD.report[0][4] kbd_report[0][4] -1 1 -.names KEYBOARD.report[0][5] kbd_report[0][5] -1 1 -.names KEYBOARD.report[0][6] kbd_report[0][6] -1 1 -.names KEYBOARD.report[0][7] kbd_report[0][7] -1 1 -.names KEYBOARD.report[1][0] kbd_report[1][0] -1 1 -.names KEYBOARD.report[1][1] kbd_report[1][1] -1 1 -.names KEYBOARD.report[1][2] kbd_report[1][2] -1 1 -.names KEYBOARD.report[1][3] kbd_report[1][3] -1 1 -.names KEYBOARD.report[1][4] kbd_report[1][4] -1 1 -.names KEYBOARD.report[1][5] kbd_report[1][5] -1 1 -.names KEYBOARD.report[1][6] kbd_report[1][6] -1 1 -.names KEYBOARD.report[1][7] kbd_report[1][7] -1 1 -.names KEYBOARD.report[2][0] kbd_report[2][0] -1 1 -.names KEYBOARD.report[2][1] kbd_report[2][1] -1 1 -.names KEYBOARD.report[2][2] kbd_report[2][2] -1 1 -.names KEYBOARD.report[2][3] kbd_report[2][3] -1 1 -.names KEYBOARD.report[2][4] kbd_report[2][4] -1 1 -.names KEYBOARD.report[2][5] kbd_report[2][5] +.names I2C_COUNTER[0] report_data_radr[0] 1 1 -.names KEYBOARD.report[2][6] kbd_report[2][6] +.names I2C_COUNTER[1] report_data_radr[1] 1 1 -.names KEYBOARD.report[2][7] kbd_report[2][7] +.names I2C_COUNTER[2] report_data_radr[2] 1 1 -.names KEYBOARD.report[3][0] kbd_report[3][0] +.names I2C_COUNTER[3] report_data_radr[3] 1 1 -.names KEYBOARD.report[3][1] kbd_report[3][1] +.names ring_rd[0] report_data_radr[4] 1 1 -.names KEYBOARD.report[3][2] kbd_report[3][2] +.names ring_rd[1] report_data_radr[5] 1 1 -.names KEYBOARD.report[3][3] kbd_report[3][3] +.names ring_rd[2] report_data_radr[6] 1 1 -.names KEYBOARD.report[3][4] kbd_report[3][4] +.names ring_rd[3] report_data_radr[7] 1 1 -.names KEYBOARD.report[3][5] kbd_report[3][5] -1 1 -.names KEYBOARD.report[3][6] kbd_report[3][6] -1 1 -.names KEYBOARD.report[3][7] kbd_report[3][7] -1 1 -.names KEYBOARD.report[4][0] kbd_report[4][0] -1 1 -.names KEYBOARD.report[4][1] kbd_report[4][1] -1 1 -.names KEYBOARD.report[4][2] kbd_report[4][2] -1 1 -.names KEYBOARD.report[4][3] kbd_report[4][3] -1 1 -.names KEYBOARD.report[4][4] kbd_report[4][4] -1 1 -.names KEYBOARD.report[4][5] kbd_report[4][5] -1 1 -.names KEYBOARD.report[4][6] kbd_report[4][6] -1 1 -.names KEYBOARD.report[4][7] kbd_report[4][7] -1 1 -.names KEYBOARD.report[5][0] kbd_report[5][0] -1 1 -.names KEYBOARD.report[5][1] kbd_report[5][1] -1 1 -.names KEYBOARD.report[5][2] kbd_report[5][2] -1 1 -.names KEYBOARD.report[5][3] kbd_report[5][3] -1 1 -.names KEYBOARD.report[5][4] kbd_report[5][4] -1 1 -.names KEYBOARD.report[5][5] kbd_report[5][5] +.names REPORT_DATA.r_data[0] report_data_rd[0] 1 1 -.names KEYBOARD.report[5][6] kbd_report[5][6] +.names REPORT_DATA.r_data[1] report_data_rd[1] 1 1 -.names KEYBOARD.report[5][7] kbd_report[5][7] +.names REPORT_DATA.r_data[2] report_data_rd[2] 1 1 -.names KEYBOARD.report[6][0] kbd_report[6][0] +.names REPORT_DATA.r_data[3] report_data_rd[3] 1 1 -.names KEYBOARD.report[6][1] kbd_report[6][1] +.names REPORT_DATA.r_data[4] report_data_rd[4] 1 1 -.names KEYBOARD.report[6][2] kbd_report[6][2] +.names REPORT_DATA.r_data[5] report_data_rd[5] 1 1 -.names KEYBOARD.report[6][3] kbd_report[6][3] +.names REPORT_DATA.r_data[6] report_data_rd[6] 1 1 -.names KEYBOARD.report[6][4] kbd_report[6][4] +.names REPORT_DATA.r_data[7] report_data_rd[7] 1 1 -.names KEYBOARD.report[6][5] kbd_report[6][5] +.names wr_cnt[0] report_data_wadr[0] 1 1 -.names KEYBOARD.report[6][6] kbd_report[6][6] +.names wr_cnt[1] report_data_wadr[1] 1 1 -.names KEYBOARD.report[6][7] kbd_report[6][7] +.names wr_cnt[2] report_data_wadr[2] 1 1 -.names REPORT_DATA.r_data[0] report_data_rd[0] +.names wr_cnt[3] report_data_wadr[3] 1 1 -.names REPORT_DATA.r_data[1] report_data_rd[1] +.names ring_wr[0] report_data_wadr[4] 1 1 -.names REPORT_DATA.r_data[2] report_data_rd[2] +.names ring_wr[1] report_data_wadr[5] 1 1 -.names REPORT_DATA.r_data[3] report_data_rd[3] +.names ring_wr[2] report_data_wadr[6] 1 1 -.names REPORT_DATA.r_data[4] report_data_rd[4] +.names ring_wr[3] report_data_wadr[7] 1 1 -.names REPORT_DATA.r_data[5] report_data_rd[5] +.names KEYBOARD.REPORT.r_data[0] report_data_wr[0] 1 1 -.names REPORT_DATA.r_data[6] report_data_rd[6] +.names KEYBOARD.REPORT.r_data[1] report_data_wr[1] 1 1 -.names REPORT_DATA.r_data[7] report_data_rd[7] +.names KEYBOARD.REPORT.r_data[2] report_data_wr[2] 1 1 -.names $undef temp_output_report[3] +.names KEYBOARD.REPORT.r_data[3] report_data_wr[3] 1 1 -.names $undef temp_output_report[4] +.names KEYBOARD.REPORT.r_data[4] report_data_wr[4] 1 1 -.names $undef temp_output_report[5] +.names KEYBOARD.REPORT.r_data[5] report_data_wr[5] 1 1 -.names $undef temp_output_report[6] +.names KEYBOARD.REPORT.r_data[6] report_data_wr[6] 1 1 -.names $undef temp_output_report[7] +.names KEYBOARD.REPORT.r_data[7] report_data_wr[7] 1 1 .end diff --git a/i2c_keyboard/i2c_kbd_alt.bin b/i2c_keyboard/i2c_kbd_alt.bin index 8d84e19..b37f54a 100644 Binary files a/i2c_keyboard/i2c_kbd_alt.bin and b/i2c_keyboard/i2c_kbd_alt.bin differ diff --git a/i2c_keyboard/i2c_kbd_alt.blif b/i2c_keyboard/i2c_kbd_alt.blif index 0b2cb3a..6fd3f5b 100644 --- a/i2c_keyboard/i2c_kbd_alt.blif +++ b/i2c_keyboard/i2c_kbd_alt.blif @@ -7,4711 +7,3311 @@ .names $true 1 .names $undef -.gate SB_LUT4 I0=$abc$51271$n681 I1=$abc$51271$n678 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$51271$n1 +.gate SB_LUT4 I0=$abc$19694$n658 I1=$abc$19694$n544 I2=$abc$19694$n666 I3=I2C.FLT_SCL.RESET O=$abc$19694$n1 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10110000 -.gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=$false I3=$false O=$abc$51271$n678 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.i2c_state_machine I1=I2C.i2c_start_latency I2=$false I3=$false O=I2C_TRANS +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111100000000000 +.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=$abc$19694$n545 I2=$abc$19694$n656 I3=$abc$19694$n633 O=$abc$19694$n544 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=rststate[3] I1=rststate[2] I2=rststate[1] I3=rststate[0] O=I2C.FLT_SCL.RESET +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0011101000000000 +.gate SB_LUT4 I0=$abc$19694$n628 I1=$abc$19694$n546 I2=$abc$19694$n631 I3=I2C.is_read O=$abc$19694$n545 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=last_isr I1=KEYBOARD.isr I2=$false I3=$false O=$abc$51271$n681 +.param LUT_INIT 0000000000001110 +.gate SB_LUT4 I0=$abc$19694$n627 I1=$abc$19694$n626 I2=$false I3=$false O=$abc$19694$n546 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51271$n2189 I1=$abc$51271$n688 I2=$abc$51271$n683 I3=UART.tx_activity O=$abc$51271$n3 +.gate SB_LUT4 I0=$abc$19694$n550 I1=$abc$19694$n1704 I2=$abc$19694$n1703 I3=$abc$19694$n1644 O=$abc$19694$n549 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000111111111 -.gate SB_LUT4 I0=$abc$51271$n687 I1=$abc$51271$n684 I2=$abc$51271$n2359 I3=$abc$51271$n2345 O=$abc$51271$n683 +.param LUT_INIT 0111111100000000 +.gate SB_LUT4 I0=$abc$19694$n1702 I1=$abc$19694$n560 I2=$abc$19694$n551_1 I3=$false O=$abc$19694$n550 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000110000001010 -.gate SB_LUT4 I0=UART_TX_DATA[6] I1=UART_TX_DATA[4] I2=$abc$51271$n685 I3=$false O=$abc$51271$n684 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$19694$n1707 I1=$abc$19694$n1705 I2=$abc$19694$n1706 I3=$false O=$abc$19694$n551_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$51271$n2380 I1=$abc$51271$n2381 I2=$false I3=$false O=$abc$51271$n685 +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=I2C_COUNTER[6] I1=$abc$19694$n1104 I2=$abc$19694$n553 I3=$false O=$abc$19694$n1707 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=$false I3=$false O=$abc$51271$n2380 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=$abc$19694$n556 I1=$abc$19694$n555 I2=$abc$19694$n554 I3=$false O=$abc$19694$n553 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=$false I3=$false O=$abc$19694$n554 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=UART_TX_DATA[2] I1=UART_TX_DATA[0] I2=$abc$51271$n685 I3=$false O=$abc$51271$n687 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C_COUNTER[0] I1=I2C_COUNTER[2] I2=I2C_COUNTER[3] I3=I2C_COUNTER[1] O=$abc$19694$n555 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=I2C_COUNTER[4] I1=I2C_COUNTER[5] I2=I2C_COUNTER[6] I3=$false O=$abc$19694$n556 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$51271$n690 I1=$abc$51271$n689 I2=$abc$51271$n2345 I3=$abc$51271$n2359 O=$abc$51271$n688 +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=I2C_COUNTER[4] I1=$abc$19694$n1100 I2=$abc$19694$n553 I3=$false O=$abc$19694$n1705 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100010100000000 -.gate SB_LUT4 I0=UART_TX_DATA[7] I1=UART_TX_DATA[5] I2=$abc$51271$n685 I3=$false O=$abc$51271$n689 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=I2C_COUNTER[5] I1=$abc$19694$n1102 I2=$abc$19694$n553 I3=$false O=$abc$19694$n1706 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=I2C_COUNTER[0] I1=$abc$19694$n553 I2=I2C_COUNTER[1] I3=$false O=$abc$19694$n1702 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000111 +.gate SB_LUT4 I0=I2C_COUNTER[0] I1=$abc$19694$n1092 I2=$abc$19694$n553 I3=$false O=$abc$19694$n560 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=UART_TX_DATA[3] I1=UART_TX_DATA[1] I2=$abc$51271$n685 I3=$false O=$abc$51271$n690 +.gate SB_LUT4 I0=I2C_COUNTER[3] I1=$abc$19694$n1098 I2=$abc$19694$n553 I3=$false O=$abc$19694$n1704 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 -.gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$false I3=$false O=$abc$51271$n19 +.gate SB_LUT4 I0=I2C_COUNTER[2] I1=$abc$19694$n1096 I2=$abc$19694$n553 I3=$false O=$abc$19694$n1703 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1011 -.gate SB_LUT4 I0=I2C.byte_counter[0] I1=$abc$51271$n693 I2=$false I3=$false O=$abc$51271$n21 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=$abc$19694$n1708 I1=$abc$19694$n521 I2=$false I3=$false O=$abc$19694$n563 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.byte_counter[3] I1=I2C.byte_counter[2] I2=$abc$51271$n694 I3=I2C.byte_counter[1] O=$abc$51271$n693 +.gate SB_LUT4 I0=$abc$19694$n573 I1=$abc$19694$n575 I2=$abc$19694$n569 I3=$abc$19694$n567 O=$abc$19694$n566 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=I2C.byte_counter[4] I1=I2C.byte_counter[5] I2=I2C.byte_counter[6] I3=I2C.byte_counter[7] O=$abc$51271$n694 +.param LUT_INIT 1111010000000000 +.gate SB_LUT4 I0=I2C_COUNTER[3] I1=I2C_COUNTER[0] I2=$abc$19694$n568 I3=I2C_COUNTER[1] O=$abc$19694$n567 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=I2C_COUNTER[2] I1=I2C_COUNTER[4] I2=I2C_COUNTER[5] I3=I2C_COUNTER[6] O=$abc$19694$n568 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$51271$n696 I1=$abc$51271$n29 I2=$abc$51271$n1499_1 I3=KEYBOARD.is_pressed O=$abc$51271$n27 +.gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$19694$n571 I2=$abc$19694$n570 I3=$abc$19694$n572 O=$abc$19694$n569 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000011111101 -.gate SB_LUT4 I0=$abc$51271$n697 I1=$abc$51271$n710 I2=$abc$51271$n712 I3=$abc$51271$n701 O=$abc$51271$n696 +.param LUT_INIT 0111111100000000 +.gate SB_LUT4 I0=I2C.received_byte[3] I1=I2C.received_byte[1] I2=I2C.received_byte[2] I3=$false O=$abc$19694$n570 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[5] I2=I2C.received_byte[7] I3=I2C.received_byte[6] O=$abc$19694$n571 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$51271$n2246 I1=$abc$51271$n700 I2=$false I3=$false O=$abc$51271$n697 +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=i2c_input_data_type[1] I1=i2c_input_data_type[3] I2=i2c_input_data_type[0] I3=i2c_input_data_type[2] O=$abc$19694$n572 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[2] I1=KBD_COLUMNS[2] I2=$abc$51271$n699 I3=$false O=$abc$51271$n2246 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=i2c_input_data_type[0] I1=I2C.received_byte[0] I2=$abc$19694$n571 I3=$abc$19694$n574 O=$abc$19694$n573 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$51271$n383 I1=$abc$51271$n394 I2=$abc$51271$n396 I3=$false O=$abc$51271$n699 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1001000000000000 +.gate SB_LUT4 I0=I2C.received_byte[3] I1=I2C.received_byte[2] I2=I2C.received_byte[1] I3=$false O=$abc$19694$n574 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=$abc$51271$n383 I1=$abc$51271$n396 I2=$abc$51271$n394 I3=$false O=$abc$51271$n700 +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[1] I2=i2c_input_data_type[2] I3=$false O=$abc$19694$n575 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$51271$n702 I1=$abc$51271$n704 I2=$abc$51271$n706 I3=$abc$51271$n708 O=$abc$51271$n701 +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=I2C_COUNTER[4] I1=I2C_COUNTER[5] I2=I2C_COUNTER[6] I3=I2C_COUNTER[2] O=$abc$19694$n578 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[5] I1=$abc$51271$n703 I2=$false I3=$false O=$abc$51271$n702 +.gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$19694$n571 I2=I2C_COUNTER[0] I3=$abc$19694$n570 O=$abc$19694$n579 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51271$n394 I1=$abc$51271$n383 I2=$abc$51271$n396 I3=$false O=$abc$51271$n703 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$19694$n571 I2=$abc$19694$n570 I3=$false O=$abc$19694$n584 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[1] I1=$abc$51271$n705 I2=$false I3=$false O=$abc$51271$n704 +.gate SB_LUT4 I0=I2C_COUNTER[3] I1=I2C_COUNTER[1] I2=$abc$19694$n568 I3=I2C_COUNTER[0] O=$abc$19694$n585 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51271$n383 I1=$abc$51271$n394 I2=$abc$51271$n396 I3=$false O=$abc$51271$n705 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=I2C_COUNTER[3] I1=$abc$19694$n572 I2=$abc$19694$n587 I3=$abc$19694$n568 O=$abc$19694$n586 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[3] I1=$abc$51271$n707 I2=$false I3=$false O=$abc$51271$n706 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=I2C_COUNTER[0] I1=I2C_COUNTER[1] I2=$false I3=$false O=$abc$19694$n587 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51271$n383 I1=$abc$51271$n394 I2=$abc$51271$n396 I3=$false O=$abc$51271$n707 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$51271$n709 I1=KEYBOARD.COLS_SHADOW[7] I2=$abc$51271$n699 I3=KBD_COLUMNS[0] O=$abc$51271$n708 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[5] I2=$abc$19694$n570 I3=$abc$19694$n589 O=$abc$19694$n588 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$51271$n383 I1=$abc$51271$n394 I2=$abc$51271$n396 I3=$false O=$abc$51271$n709 +.param LUT_INIT 0110000000000000 +.gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[7] I2=I2C.received_byte[6] I3=$false O=$abc$19694$n589 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$51271$n711 I1=KEYBOARD.COLS_SHADOW[6] I2=$false I3=$false O=$abc$51271$n710 +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=I2C_COUNTER[0] I1=$abc$19694$n593 I2=$false I3=$false O=$abc$19694$n592 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51271$n396 I1=$abc$51271$n394 I2=$abc$51271$n383 I3=$false O=$abc$51271$n711 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C_COUNTER[3] I1=I2C_COUNTER[1] I2=$abc$19694$n568 I3=$false O=$abc$19694$n593 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$51271$n394 I1=$abc$51271$n396 I2=$abc$51271$n383 I3=KEYBOARD.COLS_SHADOW[4] O=$abc$51271$n712 +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=I2C.received_byte[2] I1=$abc$19694$n595 I2=$abc$19694$n592 I3=$abc$19694$n597 O=$abc$19694$n594_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$51271$n722 I1=$abc$51271$n725 I2=$abc$51271$n1618 I3=$false O=$abc$51271$n29 +.param LUT_INIT 0111111100000000 +.gate SB_LUT4 I0=$abc$19694$n1647 I1=$abc$19694$n596 I2=$abc$19694$n1650 I3=$false O=$abc$19694$n595 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01111111 -.gate SB_LUT4 I0=$abc$51271$n1607 I1=$abc$51271$n724 I2=$false I3=$false O=$abc$51271$n722 +.param LUT_INIT 11010000 +.gate SB_LUT4 I0=$abc$19694$n570 I1=$abc$19694$n571 I2=$false I3=$false O=$abc$19694$n596 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=KEYBOARD.row_time[1] I1=KEYBOARD.row_time[0] I2=$false I3=$false O=$abc$51271$n1607 +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C.is_read I1=$abc$19694$n554 I2=$false I3=$false O=$abc$19694$n597 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=$abc$51271$n1604 I1=$abc$51271$n1606 I2=$abc$51271$n1609 I3=$abc$51271$n1610 O=$abc$51271$n724 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=i2c_input_data_type[3] I1=$abc$19694$n601_1 I2=$abc$19694$n600_1 I3=$false O=$abc$19694$n521 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$51271$n1614 I1=$abc$51271$n1615 I2=$abc$51271$n1617 I3=$abc$51271$n1623 O=$abc$51271$n725 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00001101 +.gate SB_LUT4 I0=$abc$19694$n592 I1=$abc$19694$n595 I2=$abc$19694$n597 I3=I2C.received_byte[3] O=$abc$19694$n600_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$51271$n754 I1=$abc$51271$n746 I2=$abc$51271$n922 I3=$abc$51271$n727 O=$abc$51271$n751 +.gate SB_LUT4 I0=$abc$19694$n579 I1=$abc$19694$n597 I2=$abc$19694$n593 I3=$false O=$abc$19694$n601_1 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=$abc$51271$n737 I1=$abc$51271$n741 I2=$abc$51271$n745 I3=$abc$51271$n728 O=$abc$51271$n727 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$19694$n1101 I1=i2c_input_data_type[1] I2=$abc$19694$n605 I3=$abc$19694$n608_1 O=$abc$19694$n603 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$51271$n778 I1=KEYBOARD.isr I2=last_isr I3=$abc$51271$n734 O=$abc$51271$n728 +.param LUT_INIT 0100111100000000 +.gate SB_LUT4 I0=$abc$19694$n588 I1=$abc$19694$n606 I2=$abc$19694$n607 I3=$abc$19694$n592 O=$abc$19694$n605 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000011101011 -.gate SB_LUT4 I0=$abc$51271$n730 I1=$abc$51271$n22 I2=$false I3=$false O=$abc$51271$n778 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0111 -.gate SB_LUT4 I0=$abc$51271$n731 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$51271$n730 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51271$n732 I1=init_ram_cnt[7] I2=init_ram_cnt[5] I3=$false O=$abc$51271$n731 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=init_ram_cnt[0] I1=init_ram_cnt[1] I2=$abc$51271$n733 I3=$false O=$abc$51271$n732 +.param LUT_INIT 0000000001111111 +.gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[0] I2=i2c_input_data_type[2] I3=$false O=$abc$19694$n606 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=init_ram_cnt[2] I1=init_ram_cnt[4] I2=init_ram_cnt[6] I3=init_ram_cnt[3] O=$abc$51271$n733 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$51271$n731 I1=$abc$51271$n735 I2=$abc$51271$n22 I3=$false O=$abc$51271$n734 +.gate SB_LUT4 I0=I2C_COUNTER[3] I1=$abc$19694$n587 I2=$abc$19694$n568 I3=$false O=$abc$19694$n607 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$51271$n736 I1=KEYBOARD.isr I2=last_isr I3=I2C.FLT_SCL.RESET O=$abc$51271$n735 +.gate SB_LUT4 I0=$abc$19694$n595 I1=I2C.received_byte[1] I2=$abc$19694$n592 I3=$abc$19694$n597 O=$abc$19694$n608_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100000100000000 -.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=wr_cnt[2] I3=wr_cnt[3] O=$abc$51271$n736 +.param LUT_INIT 1000111100000000 +.gate SB_LUT4 I0=$abc$19694$n551_1 I1=$abc$19694$n610 I2=$abc$19694$n1702 I3=$abc$19694$n560 O=$abc$19694$n609 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$51271$n730 I1=$abc$51271$n738 I2=$abc$51271$n740 I3=$false O=$abc$51271$n737 +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$19694$n1704 I1=$abc$19694$n1703 I2=$false I3=$false O=$abc$19694$n610 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$51271$n739 I1=$abc$51271$n22 I2=$false I3=$false O=$abc$51271$n738 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$19694$n597 I1=i2c_input_data_type[1] I2=$false I3=$false O=$abc$19694$n611 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$abc$51271$n736 I3=$false O=$abc$51271$n739 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$19694$n560 I1=$abc$19694$n614 I2=$abc$19694$n1702 I3=$abc$19694$n551_1 O=$abc$19694$n613 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10010000 -.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=$false I3=$false O=$abc$51271$n740 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$19694$n1703 I1=$abc$19694$n1704 I2=$false I3=$false O=$abc$19694$n614 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51271$n742 I1=I2C.FLT_SCL.RESET I2=$abc$51271$n744 I3=$false O=$abc$51271$n741 +.gate SB_LUT4 I0=$abc$19694$n617 I1=$abc$19694$n616 I2=$abc$19694$n619 I3=$abc$19694$n597 O=$abc$19694$n525 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$51271$n739 I1=$abc$51271$n743 I2=$false I3=$false O=$abc$51271$n742 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000011100110011 +.gate SB_LUT4 I0=$abc$19694$n601_1 I1=i2c_input_data_type[0] I2=$false I3=$false O=$abc$19694$n616 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51271$n740 I1=I2C_TRANS I2=last_trans I3=$abc$51271$n19 O=$abc$51271$n743 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$19694$n586 I1=$abc$19694$n618 I2=$abc$19694$n566 I3=$abc$19694$n1104_1 O=$abc$19694$n617 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100000100000000 -.gate SB_LUT4 I0=UART.tx_activity I1=uart_double_ff I2=last_uart_active I3=$false O=$abc$51271$n744 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$51271$n22 I1=$abc$51271$n731 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$51271$n745 +.param LUT_INIT 0000000000001101 +.gate SB_LUT4 I0=I2C.received_byte[4] I1=$abc$19694$n589 I2=$abc$19694$n570 I3=$false O=$abc$19694$n618 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11010000 -.gate SB_LUT4 I0=$abc$51271$n747 I1=$abc$51271$n749 I2=$false I3=$false O=$abc$51271$n746 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51271$n730 I1=$abc$51271$n748 I2=$abc$51271$n22 I3=$false O=$abc$51271$n747 +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$19694$n592 I1=$abc$19694$n595 I2=I2C.received_byte[0] I3=$false O=$abc$19694$n619 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$51271$n740 I1=$abc$51271$n739 I2=$false I3=$false O=$abc$51271$n748 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$2\UART_WR[0:0] I1=$abc$51271$n678 I2=$false I3=$false O=$abc$51271$n749 +.gate SB_LUT4 I0=$abc$19694$n603 I1=$abc$19694$n611 I2=$false I3=$false O=$abc$19694$n524 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 -.gate SB_LUT4 I0=UART.tx_activity I1=last_uart_active I2=$abc$51271$n751_1 I3=$false O=$2\UART_WR[0:0] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01001111 -.gate SB_LUT4 I0=$2\uart_double_ff[0:0] I1=$abc$51271$n19 I2=$false I3=$false O=$abc$51271$n751_1 +.gate SB_LUT4 I0=$abc$19694$n525 I1=$abc$19694$n614 I2=$false I3=$false O=$abc$19694$n625 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=last_trans I1=I2C_TRANS I2=$false I3=$false O=$2\uart_double_ff[0:0] +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$19694$n1708 I1=$abc$19694$n521 I2=$false I3=$false O=$abc$19694$n626 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51271$n747 I1=$abc$51271$n678 I2=$abc$51271$n19 I3=$false O=$abc$51271$n922 +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$19694$n524 I1=$abc$19694$n525 I2=$abc$19694$n1097 I3=$false O=$abc$19694$n627 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=last_uart_active I1=UART.tx_activity I2=$false I3=$false O=$abc$51271$n754 +.param LUT_INIT 10001111 +.gate SB_LUT4 I0=$abc$19694$n629 I1=I2C_OUTPUT_TYPE[1] I2=$false I3=$false O=$abc$19694$n628 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51271$n746 I1=$abc$51271$n922 I2=$abc$51271$n756_1 I3=$false O=$abc$51271$n756 +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$19694$n1097 I1=$abc$19694$n524 I2=$abc$19694$n1107_1 I3=$false O=$abc$19694$n629 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$51271$n744 I1=$abc$51271$n757 I2=$abc$51271$n737 I3=$abc$51271$n759 O=$abc$51271$n756_1 +.gate SB_LUT4 I0=$abc$19694$n632 I1=$abc$19694$n524 I2=$abc$19694$n525 I3=$abc$19694$n626 O=$abc$19694$n631 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=$abc$51271$n758 I1=$abc$51271$n743 I2=$false I3=$false O=$abc$51271$n757 +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$19694$n1097 I1=$abc$19694$n1107_1 I2=$false I3=$false O=$abc$19694$n632 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51271$n730 I1=$abc$51271$n739 I2=$abc$51271$n22 I3=$false O=$abc$51271$n758 +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$19694$n634 I1=I2C_TRANS I2=$3\int_tmr[14:0][14] I3=$false O=$abc$19694$n633 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$51271$n778 I1=$abc$51271$n734 I2=KEYBOARD.isr I3=last_isr O=$abc$51271$n759 +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$19694$n650_1 I1=$abc$19694$n651 I2=$abc$19694$n635 I3=$abc$19694$n652 O=$abc$19694$n634 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000000000001 -.gate SB_LUT4 I0=$abc$51271$n768 I1=$abc$51271$n761 I2=$abc$51271$n780 I3=$false O=$abc$51271$n819 +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$19694$n636 I1=ring_rd[0] I2=$abc$19694$n638 I3=$false O=$abc$19694$n635 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01111000 +.gate SB_LUT4 I0=$abc$19694$n637 I1=$abc$19694$n592 I2=$abc$19694$n648 I3=$false O=$abc$19694$n636 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$51271$n765 I1=$abc$51271$n922 I2=$abc$51271$n763 I3=$abc$51271$n762 O=$abc$51271$n761 +.gate SB_LUT4 I0=$2\ring_wr[3:0][3] I1=ring_rd[3] I2=$abc$19694$n638 I3=$abc$19694$n644 O=$abc$19694$n637 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1011000000000000 -.gate SB_LUT4 I0=$abc$51271$n751_1 I1=$abc$51271$n747 I2=$abc$51271$n734 I3=$abc$51271$n737 O=$abc$51271$n762 +.param LUT_INIT 1001000000000000 +.gate SB_LUT4 I0=$2\ring_wr[3:0][1] I1=ring_rd[1] I2=$false I3=$false O=$abc$19694$n638 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000001011 -.gate SB_LUT4 I0=$abc$51271$n678 I1=$abc$51271$n754 I2=$abc$51271$n749 I3=$abc$51271$n747 O=$abc$51271$n763 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1001 +.gate SB_LUT4 I0=$abc$19694$n640 I1=ring_wr[0] I2=ring_wr[1] I3=$false O=$2\ring_wr[3:0][1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01111000 +.gate SB_LUT4 I0=$abc$19694$n643_1 I1=$abc$19694$n641 I2=KEYBOARD.isr I3=last_isr O=$abc$19694$n640 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0011111011111111 -.gate SB_LUT4 I0=$abc$51271$n2419 I1=$abc$51271$n766_1 I2=$false I3=$false O=$abc$51271$n765 +.param LUT_INIT 0000011100000000 +.gate SB_LUT4 I0=$abc$19694$n345 I1=ring_rd[2] I2=$abc$19694$n1240 I3=$abc$19694$n642 O=$abc$19694$n641 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51271$n767 I1=I2C.is_read I2=$false I3=$false O=$abc$51271$n766_1 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000100000000 +.gate SB_LUT4 I0=ring_rd[0] I1=$abc$19694$n1234 I2=ring_rd[3] I3=$abc$19694$n1243 O=$abc$19694$n642 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[2] I1=I2C_OUTPUT_TYPE[1] I2=I2C_OUTPUT_TYPE[0] I3=$false O=$abc$51271$n767 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=ring_rd[1] I1=ring_wr[0] I2=ring_wr[1] I3=$false O=$abc$19694$n643_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$51271$n777 I1=$abc$51271$n771 I2=$abc$51271$n769 I3=$false O=$abc$51271$n768 +.param LUT_INIT 01101001 +.gate SB_LUT4 I0=$2\ring_wr[3:0][0] I1=ring_rd[0] I2=$2\ring_wr[3:0][2] I3=ring_rd[2] O=$abc$19694$n644 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10110000 -.gate SB_LUT4 I0=$abc$51271$n778 I1=$abc$51271$n742 I2=$false I3=$false O=$abc$51271$n769 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=ring_wr[0] I1=$abc$19694$n1234 I2=$abc$19694$n640 I3=$false O=$2\ring_wr[3:0][0] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51271$n754 I1=$abc$51271$n749 I2=$abc$51271$n747 I3=$false O=$abc$51271$n770 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=ring_wr[2] I1=$abc$19694$n1240 I2=$abc$19694$n640 I3=$false O=$2\ring_wr[3:0][2] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=I2C_TRANS I1=UART_WR I2=$abc$51271$n767 I3=$abc$51271$n772 O=$abc$51271$n771 +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=ring_wr[3] I1=$abc$19694$n1243 I2=$abc$19694$n640 I3=$false O=$2\ring_wr[3:0][3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$51271$n773 I1=$abc$51271$n775 I2=$abc$51271$n776 I3=$false O=$abc$51271$n772 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$19694$n649_1 I1=$abc$19694$n554 I2=I2C.is_read I3=$false O=$abc$19694$n648 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$51271$n774 I1=int_tmr[12] I2=int_tmr[13] I3=int_tmr[14] O=$abc$51271$n773 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=int_tmr[8] I1=int_tmr[9] I2=int_tmr[10] I3=int_tmr[11] O=$abc$51271$n774 +.gate SB_LUT4 I0=I2C_HID_DESC.DESC_TYPE I1=I2C_OUTPUT_TYPE[2] I2=I2C_OUTPUT_TYPE[1] I3=$false O=$abc$19694$n649_1 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=int_tmr[4] I1=int_tmr[5] I2=int_tmr[6] I3=int_tmr[7] O=$abc$51271$n775 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$19694$n1107 I1=ring_rd[0] I2=$abc$19694$n636 I3=$2\ring_wr[3:0][0] O=$abc$19694$n650_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=int_tmr[0] I1=int_tmr[1] I2=int_tmr[2] I3=int_tmr[3] O=$abc$51271$n776 +.param LUT_INIT 0101001110101100 +.gate SB_LUT4 I0=$abc$19694$n1116 I1=ring_rd[3] I2=$abc$19694$n636 I3=$2\ring_wr[3:0][3] O=$abc$19694$n651 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=ring_rd[2] I1=ring_wr[2] I2=$abc$51271$n778_1 I3=$abc$51271$n779 O=$abc$51271$n777 +.param LUT_INIT 0101001110101100 +.gate SB_LUT4 I0=$abc$19694$n1113 I1=ring_rd[2] I2=$abc$19694$n636 I3=$2\ring_wr[3:0][2] O=$abc$19694$n652 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000000000 -.gate SB_LUT4 I0=ring_rd[1] I1=ring_wr[1] I2=$false I3=$false O=$abc$51271$n778_1 +.param LUT_INIT 1010110001010011 +.gate SB_LUT4 I0=$abc$19694$n654 I1=int_tmr[14] I2=$false I3=$false O=$3\int_tmr[14:0][14] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1001 -.gate SB_LUT4 I0=ring_rd[0] I1=ring_wr[0] I2=ring_rd[3] I3=ring_wr[3] O=$abc$51271$n779 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=$abc$51271$n778 I1=KEYBOARD.isr I2=last_isr I3=$abc$51271$n745 O=$abc$51271$n780 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000011101111 -.gate SB_LUT4 I0=$abc$51271$n785 I1=$abc$51271$n783 I2=$abc$51271$n787 I3=$abc$51271$n761 O=$abc$51271$n861 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$19694$n1649 I1=$abc$19694$n649_1 I2=$abc$19694$n655 I3=$false O=$abc$19694$n654 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$abc$51271$n728 I1=$abc$51271$n784 I2=$false I3=$false O=$abc$51271$n783 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$19694$n656 I1=I2C.is_read I2=$false I3=$false O=$abc$19694$n655 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51271$n19 I1=$abc$51271$n758 I2=$abc$51271$n745 I3=$abc$51271$n737 O=$abc$51271$n784 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000001011 -.gate SB_LUT4 I0=int_tmr[0] I1=$abc$51271$n770 I2=$false I3=$false O=$abc$51271$n785 +.gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=$false I3=$false O=$abc$19694$n656 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51271$n772 I1=UART_WR I2=$false I3=$false O=$abc$51271$n786 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$51271$n786 I1=$abc$51271$n769 I2=$abc$51271$n788 I3=$false O=$abc$51271$n787 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00001011 -.gate SB_LUT4 I0=$abc$51271$n747 I1=$2\uart_double_ff[0:0] I2=$false I3=$false O=$abc$51271$n788 +.gate SB_LUT4 I0=I2C.i2c_state_machine I1=I2C.i2c_start_latency I2=$false I3=$false O=I2C_TRANS .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51271$n786 I1=$abc$51271$n769 I2=$abc$51271$n790 I3=$abc$51271$n761 O=$abc$51271$n871 +.gate SB_LUT4 I0=$abc$19694$n663 I1=$abc$19694$n664 I2=$2\I2C_OUTPUT_TYPE[2:0][0] I3=$abc$19694$n665 O=$abc$19694$n658 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1011000000000000 -.gate SB_LUT4 I0=$abc$51271$n778 I1=KEYBOARD.isr I2=last_isr I3=$abc$51271$n745 O=$abc$51271$n790 +.param LUT_INIT 0000000000000111 +.gate SB_LUT4 I0=$abc$19694$n661 I1=$abc$19694$n660 I2=$abc$19694$n663 I3=$abc$19694$n662 O=$2\I2C_OUTPUT_TYPE[2:0][0] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000011101011 -.gate SB_LUT4 I0=$abc$51271$n681 I1=$abc$51271$n778 I2=$abc$51271$n745 I3=$false O=$abc$51271$n926 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00001110 -.gate SB_LUT4 I0=$abc$51271$n728 I1=$abc$51271$n793 I2=$abc$51271$n794 I3=$false O=$abc$51271$n940 +.param LUT_INIT 1111111100010000 +.gate SB_LUT4 I0=$abc$19694$n627 I1=$abc$19694$n1014 I2=$abc$19694$n626 I3=$false O=$abc$19694$n660 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$51271$n766_1 I1=$abc$51271$n737 I2=$abc$51271$n747 I3=$false O=$abc$51271$n793 +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$19694$n629 I1=I2C_HID_DESC.DESC_TYPE I2=$abc$19694$n627 I3=$abc$19694$n626 O=$abc$19694$n661 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00001011 -.gate SB_LUT4 I0=$abc$51271$n21 I1=$abc$51271$n745 I2=$abc$51271$n737 I3=$false O=$abc$51271$n794 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1011000010111011 +.gate SB_LUT4 I0=$abc$19694$n656 I1=I2C_HID_DESC.DESC_TYPE I2=$false I3=$false O=$abc$19694$n662 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10100011 -.gate SB_LUT4 I0=$abc$51271$n758 I1=$abc$51271$n780 I2=$false I3=$false O=$abc$51271$n943 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.is_read I1=$abc$19694$n656 I2=$false I3=$false O=$abc$19694$n663 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51271$n798 I1=$abc$51271$n734 I2=I2C.FLT_SCL.RESET I3=$abc$51271$n797 O=$abc$51271$n951 +.gate SB_LUT4 I0=$abc$19694$n629 I1=I2C_OUTPUT_TYPE[2] I2=$abc$19694$n1016 I3=$abc$19694$n546 O=$abc$19694$n664 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1011000000000000 -.gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$abc$51271$n739 I3=$abc$51271$n778 O=$abc$51271$n797 +.param LUT_INIT 1111000001000100 +.gate SB_LUT4 I0=$abc$19694$n656 I1=I2C_OUTPUT_TYPE[2] I2=$false I3=$false O=$abc$19694$n665 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111111100001011 -.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[2] I2=wr_cnt[1] I3=wr_cnt[3] O=$abc$51271$n798 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$19694$n654 I1=LED1 I2=$false I3=$false O=$abc$19694$n666 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$51271$n790 I1=$abc$51271$n800 I2=$abc$51271$n798 I3=$abc$51271$n734 O=$abc$51271$n955 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=rststate[3] I1=rststate[2] I2=rststate[1] I3=rststate[0] O=I2C.FLT_SCL.RESET .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000111100010001 -.gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$abc$51271$n731 I3=$abc$51271$n22 O=$abc$51271$n800 +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$19694$n1420 I1=$abc$19694$n674 I2=$abc$19694$n669 I3=UART.tx_activity O=$abc$19694$n7 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111010000000000 -.gate SB_LUT4 I0=$abc$51271$n748 I1=$abc$51271$n803 I2=$abc$51271$n759 I3=$false O=$abc$51271$n971 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$51271$n21 I1=report_data_radr[0] I2=$abc$51271$n766_1 I3=$false O=$abc$51271$n803 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11100000 -.gate SB_LUT4 I0=$abc$51271$n734 I1=$abc$51271$n793 I2=$abc$51271$n790 I3=I2C.FLT_SCL.RESET O=$abc$51271$n977 +.param LUT_INIT 0000000111111111 +.gate SB_LUT4 I0=$abc$19694$n673 I1=$abc$19694$n670 I2=$abc$19694$n1584 I3=$abc$19694$n1570 O=$abc$19694$n669 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=I2C.byte_counter[3] I1=$abc$51271$n694 I2=I2C.byte_counter[2] I3=$false O=$abc$51271$n809 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=i2c_input_data_type[1] I1=i2c_input_data_type[0] I2=$abc$51271$n811 I3=$false O=$abc$51271$n810 +.param LUT_INIT 0000101000000011 +.gate SB_LUT4 I0=UART_TX_DATA[2] I1=UART_TX_DATA[0] I2=$abc$19694$n671 I3=$false O=$abc$19694$n670 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[2] I2=$false I3=$false O=$abc$51271$n811 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51271$n814 I1=i2c_input_data_type[0] I2=$false I3=$false O=$abc$51271$n813 +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=$abc$19694$n1624 I1=$abc$19694$n1625 I2=$false I3=$false O=$abc$19694$n671 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51271$n811 I1=i2c_input_data_type[1] I2=$false I3=$false O=$abc$51271$n814 +.param LUT_INIT 0110 +.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=$false I3=$false O=$abc$19694$n1624 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51271$n826 I1=$abc$51271$n825 I2=$abc$51271$n821 I3=$false O=$abc$51271$n820 +.param LUT_INIT 0110 +.gate SB_LUT4 I0=UART_TX_DATA[6] I1=UART_TX_DATA[4] I2=$abc$19694$n671 I3=$false O=$abc$19694$n673 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11010000 -.gate SB_LUT4 I0=$abc$51271$n814 I1=$abc$51271$n822 I2=I2C.byte_counter[1] I3=I2C.byte_counter[0] O=$abc$51271$n821 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000110100000000 -.gate SB_LUT4 I0=I2C.received_byte[0] I1=i2c_input_data_type[0] I2=I2C.received_byte[1] I3=$abc$51271$n823 O=$abc$51271$n822 +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$19694$n676_1 I1=$abc$19694$n675 I2=$abc$19694$n1570 I3=$abc$19694$n1584 O=$abc$19694$n674 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000000000 -.gate SB_LUT4 I0=I2C.received_byte[3] I1=I2C.received_byte[2] I2=$abc$51271$n824 I3=$false O=$abc$51271$n823 +.param LUT_INIT 1100010100000000 +.gate SB_LUT4 I0=UART_TX_DATA[7] I1=UART_TX_DATA[5] I2=$abc$19694$n671 I3=$false O=$abc$19694$n675 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[5] I2=I2C.received_byte[7] I3=I2C.received_byte[6] O=$abc$51271$n824 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=I2C.received_byte[1] I1=I2C.received_byte[0] I2=$abc$51271$n823 I3=$false O=$abc$51271$n825 +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=UART_TX_DATA[3] I1=UART_TX_DATA[1] I2=$abc$19694$n671 I3=$false O=$abc$19694$n676_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=i2c_input_data_type[1] I1=$abc$51271$n811 I2=i2c_input_data_type[0] I3=$false O=$abc$51271$n826 +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=IS_RAM_INIT I2=$false I3=$false O=$abc$19694$n25 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0111 +.gate SB_LUT4 I0=$abc$19694$n679 I1=IS_RAM_INIT I2=I2C.FLT_SCL.RESET I3=$false O=$abc$19694$n26 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[3] I2=I2C.received_byte[1] I3=I2C.received_byte[2] O=$abc$51271$n830 +.param LUT_INIT 00011111 +.gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=last_wr I3=I2C.wr O=$abc$19694$n679 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$51271$n824 I1=$abc$51271$n833_1 I2=$false I3=$false O=$abc$51271$n832 +.param LUT_INIT 1001000000001001 +.gate SB_LUT4 I0=IS_RAM_INIT I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$19694$n30 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[3] I2=I2C.received_byte[1] I3=I2C.received_byte[2] O=$abc$51271$n833_1 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$abc$19694$n36 I3=$abc$19694$n30 O=$abc$19694$n31 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$51271$n832 I1=I2C.byte_counter[0] I2=$abc$51271$n835 I3=$abc$51271$n693 O=$abc$51271$n834_1 +.param LUT_INIT 1111010000000000 +.gate SB_LUT4 I0=last_trans I1=I2C_TRANS I2=$false I3=$false O=$abc$19694$n36 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111011111110000 -.gate SB_LUT4 I0=I2C.byte_counter[1] I1=$abc$51271$n826 I2=I2C.byte_counter[0] I3=$abc$51271$n809 O=$abc$51271$n835 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$abc$19694$n30 I3=$abc$19694$n684 O=$abc$19694$n45 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111111000000000 -.gate SB_LUT4 I0=$abc$51271$n743 I1=$abc$51271$n19 I2=$abc$51271$n758 I3=$false O=$abc$51271$n837 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01001111 -.gate SB_LUT4 I0=$abc$51271$n747 I1=$abc$51271$n734 I2=$abc$51271$n790 I3=$false O=$abc$51271$n1116 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$51271$n757 I1=$abc$51271$n788 I2=$abc$51271$n784 I3=$abc$51271$n842 O=$abc$51271$n1119 +.param LUT_INIT 0100111111111111 +.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=wr_cnt[2] I3=wr_cnt[3] O=$abc$19694$n684 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$51271$n778 I1=KEYBOARD.isr I2=last_isr I3=$abc$51271$n734 O=$abc$51271$n842 +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$19694$n688 I1=$abc$19694$n25 I2=$abc$19694$n686 I3=$false O=$abc$19694$n59 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000011101111 -.gate SB_LUT4 I0=$abc$51271$n845 I1=$abc$51271$n859 I2=I2C.FLT_SCL.RESET I3=$abc$51271$n922 O=$abc$51271$n1136 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00001110 +.gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$abc$19694$n687 I3=$abc$19694$n30 O=$abc$19694$n686 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000100000001111 -.gate SB_LUT4 I0=i2c_input_data_type[3] I1=$abc$51271$n846 I2=$false I3=$false O=$abc$51271$n845 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51271$n858 I1=$abc$51271$n1458 I2=$abc$51271$n847 I3=i2c_input_data_type[2] O=$abc$51271$n846 +.param LUT_INIT 0000101100000000 +.gate SB_LUT4 I0=wr_cnt[2] I1=wr_cnt[1] I2=wr_cnt[0] I3=wr_cnt[3] O=$abc$19694$n687 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$abc$51271$n850 I1=$abc$51271$n852 I2=$abc$51271$n813 I3=$abc$51271$n848 O=$abc$51271$n847 +.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=$abc$19694$n689 I3=$false O=$abc$19694$n688 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000010001111 -.gate SB_LUT4 I0=$abc$51271$n810 I1=$abc$51271$n849 I2=$abc$51271$n2423 I3=$false O=$abc$51271$n848 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10010000 +.gate SB_LUT4 I0=$abc$19694$n733 I1=$abc$19694$n736 I2=$abc$19694$n737 I3=$false O=$abc$19694$n689 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=$abc$51271$n851 I2=$abc$51271$n850 I3=$false O=$abc$51271$n849 +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$19694$n656 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$19694$n63 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=I2C_INPUT_LEN[4] I1=I2C_INPUT_LEN[5] I2=I2C_INPUT_LEN[6] I3=I2C_INPUT_LEN[7] O=$abc$51271$n850 +.param LUT_INIT 01001111 +.gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$19694$n655 I2=$abc$19694$n692 I3=I2C.FLT_SCL.RESET O=$abc$19694$n90 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=I2C_INPUT_LEN[0] I2=I2C_INPUT_LEN[1] I3=$false O=$abc$51271$n851 +.param LUT_INIT 0001000011111111 +.gate SB_LUT4 I0=$abc$19694$n693 I1=$abc$19694$n656 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$19694$n692 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=I2C_INPUT_LEN[1] I2=I2C_INPUT_LEN[0] I3=I2C_INPUT_LEN[3] O=$abc$51271$n852 +.param LUT_INIT 10001111 +.gate SB_LUT4 I0=$abc$19694$n632 I1=$abc$19694$n524 I2=$abc$19694$n525 I3=$abc$19694$n563 O=$abc$19694$n693 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=I2C_INPUT_LEN[2] I2=$abc$51271$n850 I3=$false O=$abc$51271$n855 +.param LUT_INIT 0100000100000000 +.gate SB_LUT4 I0=$abc$19694$n580 I1=$abc$19694$n703 I2=$abc$19694$n696 I3=$false O=$abc$19694$n101 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=i2c_input_data_type[0] I1=$abc$51271$n811 I2=$false I3=$false O=$abc$51271$n857 +.gate SB_LUT4 I0=$abc$19694$n582 I1=$abc$19694$n697 I2=$false I3=$false O=$abc$19694$n696 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C_INPUT_LEN[0] I1=I2C_INPUT_LEN[1] I2=$abc$51271$n855 I3=$abc$51271$n826 O=$abc$51271$n858 +.gate SB_LUT4 I0=$abc$19694$n698 I1=$abc$19694$n700 I2=$abc$19694$n701 I3=$abc$19694$n702_1 O=$abc$19694$n697 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1110111100000000 -.gate SB_LUT4 I0=I2C.is_read I1=$abc$51271$n860 I2=i2c_input_data_type[1] I3=$false O=$abc$51271$n859 +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$19694$n1302 I1=I2C.is_read I2=$false I3=$false O=$abc$19694$n698 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$19694$n10 I2=I2C.FLT_SCL.out I3=$false O=$abc$19694$n1302 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000001 -.gate SB_LUT4 I0=$abc$51271$n858 I1=$abc$51271$n847 I2=i2c_input_data_type[0] I3=$false O=$abc$51271$n860 +.param LUT_INIT 11101111 +.gate SB_LUT4 I0=$abc$19694$n583 I1=$abc$19694$n594 I2=I2C.FLT_SCL.RESET I3=$abc$19694$n1604 O=$abc$19694$n700 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$19694$n10 I2=I2C.FLT_SCL.out I3=I2C.i2c_state_machine O=$abc$19694$n701 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0111111100010000 +.gate SB_LUT4 I0=$abc$19694$n118 I1=$abc$19694$n12 I2=I2C.FLT_SCL.out I3=$false O=$abc$19694$n702_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$51271$n19 I1=$abc$51271$n758 I2=$abc$51271$n737 I3=$false O=$abc$51271$n1146 +.gate SB_LUT4 I0=I2C.i2c_bit_counter[0] I1=I2C.i2c_bit_counter[1] I2=$abc$19694$n1302 I3=$false O=$abc$19694$n703 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11110100 -.gate SB_LUT4 I0=$abc$51271$n863 I1=$abc$51271$n837 I2=$false I3=$false O=$abc$51271$n1149 +.param LUT_INIT 01100000 +.gate SB_LUT4 I0=$abc$19694$n696 I1=$abc$19694$n705_1 I2=$false I3=$false O=$abc$19694$n115 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$19694$n703 I1=$abc$19694$n580 I2=$false I3=$false O=$abc$19694$n705_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51271$n737 I1=$abc$51271$n734 I2=$abc$51271$n790 I3=$false O=$abc$51271$n863 +.gate SB_LUT4 I0=$abc$19694$n703 I1=$abc$19694$n580 I2=$abc$19694$n696 I3=$false O=$abc$19694$n127 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$51271$n863 I1=$abc$51271$n865 I2=$false I3=$false O=$abc$51271$n1156 +.gate SB_LUT4 I0=$abc$19694$n696 I1=$abc$19694$n708_1 I2=$false I3=$false O=$abc$19694$n133 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51271$n678 I1=$abc$51271$n751_1 I2=$abc$51271$n747 I3=$abc$51271$n770 O=$abc$51271$n865 +.gate SB_LUT4 I0=$abc$19694$n703 I1=$abc$19694$n580 I2=$false I3=$false O=$abc$19694$n708_1 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000001001111 -.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=KEYBOARD.isr I2=last_isr I3=$abc$51271$n745 O=$abc$51271$n1160 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$19694$n580 I1=$abc$19694$n703 I2=$abc$19694$n710 I3=$false O=$abc$19694$n139 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000001111101 -.gate SB_LUT4 I0=$abc$51271$n746 I1=$abc$51271$n922 I2=$abc$51271$n783 I3=$false O=$abc$51271$n1165 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$19694$n697 I1=$abc$19694$n582 I2=$false I3=$false O=$abc$19694$n710 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$19694$n710 I1=$abc$19694$n705_1 I2=$false I3=$false O=$abc$19694$n145 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$19694$n703 I1=$abc$19694$n580 I2=$abc$19694$n710 I3=$false O=$abc$19694$n151 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$51271$n869 I1=$abc$51271$n876 I2=$false I3=$false O=$abc$51271$n1174 +.gate SB_LUT4 I0=$abc$19694$n710 I1=$abc$19694$n708_1 I2=$false I3=$false O=$abc$19694$n160 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51271$n307 I1=$abc$51271$n874 I2=$abc$51271$n875 I3=$abc$51271$n870 O=$abc$51271$n869 +.gate SB_LUT4 I0=I2C.i2c_start_latency I1=I2C.i2c_state_machine I2=$abc$19694$n1302 I3=I2C.FLT_SCL.RESET O=$abc$19694$n165 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$abc$51271$n871_1 I1=$abc$51271$n873 I2=$false I3=$false O=$abc$51271$n870 +.param LUT_INIT 0100111100000000 +.gate SB_LUT4 I0=$abc$19694$n716 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$19694$n179 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.i2c_start_latency I1=I2C.i2c_state_machine I2=$abc$51271$n2003 I3=I2C.is_read O=$abc$51271$n871_1 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1011111100000000 -.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$51271$n14 I2=I2C.FLT_SCL.out I3=$false O=$abc$51271$n2003 +.gate SB_LUT4 I0=UART.TX_sig_last I1=UART_WR I2=UART.tx_activity I3=$false O=$abc$19694$n716 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11101111 -.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$51271$n14 I2=I2C.FLT_SCL.out I3=I2C.i2c_state_machine O=$abc$51271$n873 +.param LUT_INIT 00001011 +.gate SB_LUT4 I0=UART.tx_activity I1=$abc$19694$n718 I2=$abc$19694$n720_1 I3=$abc$19694$n179 O=$abc$19694$n180 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111111100010000 -.gate SB_LUT4 I0=$abc$51271$n1177 I1=$abc$51271$n16 I2=I2C.FLT_SCL.out I3=$false O=$abc$51271$n874 +.param LUT_INIT 0000110100000000 +.gate SB_LUT4 I0=$abc$19694$n1416 I1=$abc$19694$n759 I2=$abc$19694$n762 I3=$abc$19694$n763 O=$abc$19694$n718 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$51271$n308 I1=$abc$51271$n319 I2=$abc$51271$n2391 I3=$false O=$abc$51271$n875 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=UART.tx_clk_counter[1] I1=UART.tx_clk_counter[0] I2=$false I3=$false O=$abc$19694$n1416 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$51271$n305 I1=$abc$51271$n877 I2=$false I3=$false O=$abc$51271$n876 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1001 +.gate SB_LUT4 I0=UART.tx_bit_counter[3] I1=$abc$19694$n721 I2=$false I3=$false O=$abc$19694$n720_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.i2c_bit_counter[0] I1=I2C.i2c_bit_counter[1] I2=$abc$51271$n2003 I3=$false O=$abc$51271$n877 +.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=UART.tx_bit_counter[2] I3=UART.tx_activity O=$abc$19694$n721 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01100000 -.gate SB_LUT4 I0=$abc$51271$n869 I1=$abc$51271$n877 I2=$abc$51271$n305 I3=$false O=$abc$51271$n1188 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$19694$n723_1 I1=$abc$19694$n764 I2=$abc$19694$n1117 I3=$abc$19694$n794 O=$abc$19694$n213 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$51271$n869 I1=$abc$51271$n880 I2=$false I3=$false O=$abc$51271$n1193 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=$abc$19694$n724_1 I1=$abc$19694$n254 I2=$false I3=$false O=$abc$19694$n723_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51271$n877 I1=$abc$51271$n305 I2=$false I3=$false O=$abc$51271$n880 +.gate SB_LUT4 I0=$abc$19694$n725 I1=$abc$19694$n1140 I2=$false I3=$false O=$abc$19694$n724_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$51271$n877 I1=$abc$51271$n869 I2=$abc$51271$n305 I3=$false O=$abc$51271$n1198 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$51271$n883 I1=$abc$51271$n876 I2=$false I3=$false O=$abc$51271$n1203 +.param LUT_INIT 1001 +.gate SB_LUT4 I0=$abc$19694$n726_1 I1=$abc$19694$n736_1 I2=$false I3=$false O=$abc$19694$n725 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51271$n870 I1=$abc$51271$n874 I2=$abc$51271$n875 I3=$abc$51271$n307 O=$abc$51271$n883 +.gate SB_LUT4 I0=$abc$19694$n735 I1=$abc$19694$n913 I2=$abc$19694$n727 I3=$abc$19694$n731 O=$abc$19694$n726_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$51271$n883 I1=$abc$51271$n877 I2=$abc$51271$n305 I3=$false O=$abc$51271$n1208 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$51271$n883 I1=$abc$51271$n880 I2=$false I3=$false O=$abc$51271$n1214 +.param LUT_INIT 0000000000000111 +.gate SB_LUT4 I0=$abc$19694$n919 I1=$abc$19694$n730 I2=$false I3=$false O=$abc$19694$n727 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51271$n877 I1=$abc$51271$n883 I2=$abc$51271$n305 I3=$false O=$abc$51271$n1222 +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[3] I1=KBD_COLUMNS[3] I2=$abc$19694$n729 I3=$false O=$abc$19694$n919 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=I2C.i2c_start_latency I1=I2C.i2c_state_machine I2=$abc$51271$n2003 I3=$false O=$abc$51271$n1225 +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$19694$n643 I1=$abc$19694$n649 I2=$abc$19694$n650 I3=$false O=$abc$19694$n729 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01001111 -.gate SB_LUT4 I0=$abc$51271$n889 I1=$abc$51271$n892 I2=$abc$51271$n894 I3=I2C.FLT_SCL.RESET O=$abc$51271$n1230 +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$abc$19694$n643 I1=$abc$19694$n649 I2=$abc$19694$n650 I3=$false O=$abc$19694$n730 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$51271$n890 I1=UART.tx_activity I2=$false I3=$false O=$abc$51271$n889 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$19694$n920 I1=$abc$19694$n733_1 I2=$false I3=$false O=$abc$19694$n731 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=UART.tx_clk_counter[1] I1=UART.tx_clk_counter[0] I2=$abc$51271$n891_1 I3=$false O=$abc$51271$n890 +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[7] I1=KBD_COLUMNS[7] I2=$abc$19694$n729 I3=$false O=$abc$19694$n920 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01100000 -.gate SB_LUT4 I0=$abc$51271$n1511 I1=$abc$51271$n1514 I2=$abc$51271$n1515 I3=$false O=$abc$51271$n891_1 +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$19694$n643 I1=$abc$19694$n649 I2=$abc$19694$n650 I3=$false O=$abc$19694$n733_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=UART.tx_bit_counter[3] I1=$abc$51271$n893 I2=$false I3=$false O=$abc$51271$n892 +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[1] I1=KBD_COLUMNS[1] I2=$abc$19694$n729 I3=$false O=$abc$19694$n913 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=UART.tx_bit_counter[2] I3=UART.tx_activity O=$abc$51271$n893 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$19694$n643 I1=$abc$19694$n650 I2=$abc$19694$n649 I3=$false O=$abc$19694$n735 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$19694$n737_1 I1=$abc$19694$n740 I2=$abc$19694$n742 I3=$abc$19694$n745 O=$abc$19694$n736_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=UART.TX_sig_last I1=UART_WR I2=UART.tx_activity I3=$false O=$abc$51271$n894 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00001011 -.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$51271$n894 I2=$false I3=$false O=$abc$51271$n1235 +.gate SB_LUT4 I0=$abc$19694$n917 I1=$abc$19694$n739 I2=$false I3=$false O=$abc$19694$n737_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0111 -.gate SB_LUT4 I0=$abc$51271$n897 I1=KEYBOARD.init_ram_cnt[8] I2=I2C.FLT_SCL.RESET I3=$false O=$abc$51271$n1273 +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[6] I1=KBD_COLUMNS[6] I2=$abc$19694$n729 I3=$false O=$abc$19694$n917 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10001111 -.gate SB_LUT4 I0=KEYBOARD.init_delay_cnt[0] I1=KEYBOARD.init_delay_cnt[1] I2=KEYBOARD.init_delay_cnt[2] I3=KEYBOARD.init_delay_cnt[3] O=$abc$51271$n897 +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$19694$n649 I1=$abc$19694$n643 I2=$abc$19694$n650 I3=$false O=$abc$19694$n739 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$51271$n1408 I1=$abc$51271$n928 I2=$abc$51271$n899 I3=$abc$51271$n924 O=$abc$51271$n1274 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$19694$n650 I1=$abc$19694$n643 I2=$abc$19694$n649 I3=$abc$19694$n914 O=$abc$19694$n740 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1101000000000000 -.gate SB_LUT4 I0=$abc$51271$n921 I1=$abc$51271$n900 I2=$abc$51271$n920 I3=$false O=$abc$51271$n899 +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[5] I1=KBD_COLUMNS[5] I2=$abc$19694$n729 I3=$false O=$abc$19694$n914 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00000111 -.gate SB_LUT4 I0=$abc$51271$n27 I1=$abc$51271$n915 I2=$false I3=$false O=$abc$51271$n900 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51271$n909 I1=$abc$51271$n903 I2=$false I3=$false O=$abc$51271$n902 +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$19694$n916 I1=$abc$19694$n744 I2=$false I3=$false O=$abc$19694$n742 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51271$n904 I1=$abc$51271$n906 I2=$false I3=$false O=$abc$51271$n903 +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[2] I1=KBD_COLUMNS[2] I2=$abc$19694$n729 I3=$false O=$abc$19694$n916 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[4] I2=$abc$51271$n905 I3=$false O=$abc$51271$n904 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$19694$n643 I1=$abc$19694$n649 I2=$abc$19694$n650 I3=$false O=$abc$19694$n744 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.kbd_code_hid[7] I3=$false O=$abc$51271$n905 +.gate SB_LUT4 I0=KBD_COLUMNS[0] I1=$abc$19694$n729 I2=$abc$19694$n746 I3=$false O=$abc$19694$n745 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$51271$n907 I1=$abc$51271$n908 I2=$false I3=$false O=$abc$51271$n906 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[1][4] I1=KEYBOARD.report[1][5] I2=KEYBOARD.report[1][6] I3=KEYBOARD.report[1][7] O=$abc$51271$n907 +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=$abc$19694$n649 I1=$abc$19694$n650 I2=$abc$19694$n643 I3=KEYBOARD.COLS_SHADOW[4] O=$abc$19694$n746 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[1][0] I1=KEYBOARD.report[1][1] I2=KEYBOARD.report[1][2] I3=KEYBOARD.report[1][3] O=$abc$51271$n908 +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=KEYBOARD.temp[1] I1=KEYBOARD.RAM.r_data[1] I2=$abc$19694$n729 I3=$false O=$abc$19694$n755 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$51271$n910 I1=$abc$51271$n911 I2=$false I3=$false O=$abc$51271$n909 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19694$n30 I2=$abc$19694$n758 I3=$false O=$abc$19694$n254 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$19694$n759_1 I1=$abc$19694$n762_1 I2=$false I3=$false O=$abc$19694$n758 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[2][4] I1=KEYBOARD.report[2][5] I2=KEYBOARD.report[2][6] I3=KEYBOARD.report[2][7] O=$abc$51271$n910 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[2][0] I1=KEYBOARD.report[2][1] I2=KEYBOARD.report[2][2] I3=KEYBOARD.report[2][3] O=$abc$51271$n911 +.gate SB_LUT4 I0=$abc$19694$n796 I1=$abc$19694$n761 I2=$false I3=$false O=$abc$19694$n759_1 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$51271$n913 I1=$abc$51271$n914 I2=$false I3=$false O=$abc$51271$n912 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KEYBOARD.row_time[1] I1=KEYBOARD.row_time[0] I2=$false I3=$false O=$abc$19694$n796 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[3][4] I1=KEYBOARD.report[3][5] I2=KEYBOARD.report[3][6] I3=KEYBOARD.report[3][7] O=$abc$51271$n913 +.param LUT_INIT 0110 +.gate SB_LUT4 I0=$abc$19694$n793 I1=$abc$19694$n795 I2=$abc$19694$n798 I3=$abc$19694$n799 O=$abc$19694$n761 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[3][0] I1=KEYBOARD.report[3][1] I2=KEYBOARD.report[3][2] I3=KEYBOARD.report[3][3] O=$abc$51271$n914 +.gate SB_LUT4 I0=$abc$19694$n763_1 I1=$abc$19694$n803 I2=$abc$19694$n807 I3=$abc$19694$n810 O=$abc$19694$n762_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$51271$n917 I1=$abc$51271$n1408 I2=$false I3=$false O=$abc$51271$n915 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$51271$n897 I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$abc$51271$n1408 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[6] I1=$abc$51271$n919 I2=$abc$51271$n918 I3=$false O=$abc$51271$n917 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$51271$n918 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[4] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.kbd_code_hid[7] O=$abc$51271$n919 +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$19694$n804 I1=$abc$19694$n806 I2=$abc$19694$n809 I3=$abc$19694$n814 O=$abc$19694$n763_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$51271$n917 I1=I2C.FLT_SCL.RESET I2=$abc$51271$n1273 I3=$false O=$abc$51271$n920 +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$19694$n1006 I1=$abc$19694$n769_1 I2=$abc$19694$n787_1 I3=$false O=$abc$19694$n764 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10001111 -.gate SB_LUT4 I0=$abc$51271$n922_1 I1=$abc$51271$n923 I2=$false I3=$false O=$abc$51271$n921 +.param LUT_INIT 00011111 +.gate SB_LUT4 I0=$abc$19694$n758 I1=KEYBOARD.IS_RAM_INIT I2=$false I3=$false O=$abc$19694$n767 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[4][4] I1=KEYBOARD.report[4][5] I2=KEYBOARD.report[4][6] I3=KEYBOARD.report[4][7] O=$abc$51271$n922_1 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[4][0] I1=KEYBOARD.report[4][1] I2=KEYBOARD.report[4][2] I3=KEYBOARD.report[4][3] O=$abc$51271$n923 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$51271$n904 I1=$abc$51271$n1408 I2=$abc$51271$n925 I3=$false O=$abc$51271$n924 +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$19694$n769_1 I1=IS_RAM_INIT I2=$false I3=$false O=$abc$19694$n768_1 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=$abc$51271$n927 I1=$abc$51271$n926_1 I2=$abc$51271$n27 I3=$false O=$abc$51271$n925 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[4] I2=$abc$19694$n770 I3=$false O=$abc$19694$n769_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11110001 -.gate SB_LUT4 I0=$abc$51271$n902 I1=$abc$51271$n1408 I2=$abc$51271$n912 I3=$false O=$abc$51271$n926_1 +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.kbd_code_hid[7] I3=$false O=$abc$19694$n770 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$51271$n906 I1=$abc$51271$n909 I2=$abc$51271$n904 I3=$abc$51271$n1408 O=$abc$51271$n927 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000111000000000 -.gate SB_LUT4 I0=$abc$51271$n929 I1=$abc$51271$n935 I2=$abc$51271$n27 I3=$false O=$abc$51271$n928 +.gate SB_LUT4 I0=KEYBOARD.report_adress_rd[2] I1=KEYBOARD.report_adress_rd[0] I2=KEYBOARD.report_adress_rd[1] I3=$false O=$abc$19694$n771_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11000101 -.gate SB_LUT4 I0=$abc$51271$n931 I1=$abc$51271$n930 I2=$false I3=$false O=$abc$51271$n929 +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$19694$n774_1 I1=KEYBOARD.isr_internal I2=$false I3=$false O=$abc$19694$n773 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51271$n921 I1=$abc$51271$n912 I2=$abc$51271$n909 I3=$abc$51271$n903 O=$abc$51271$n930 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$51271$n932 I1=$abc$51271$n933 I2=$false I3=$false O=$abc$51271$n931 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[6] I1=$abc$19694$n776 I2=$abc$19694$n775_1 I3=$false O=$abc$19694$n774_1 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=KEYBOARD.report[5][4] I1=KEYBOARD.report[5][5] I2=KEYBOARD.report[5][6] I3=KEYBOARD.report[5][7] O=$abc$51271$n932 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$19694$n775_1 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.report[5][0] I1=KEYBOARD.report[5][1] I2=KEYBOARD.report[5][2] I3=KEYBOARD.report[5][3] O=$abc$51271$n933 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[4] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.kbd_code_hid[7] O=$abc$19694$n776 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$51271$n936 I1=$abc$51271$n937 I2=$abc$51271$n938 I3=$abc$51271$n939 O=$abc$51271$n935 +.gate SB_LUT4 I0=$abc$19694$n778 I1=$abc$19694$n779_1 I2=$abc$19694$n780_1 I3=$abc$19694$n781 O=$abc$19694$n777 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.report[5][3] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.report[5][5] O=$abc$51271$n936 +.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[2] I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.REPORT.r_data[7] I3=KEYBOARD.kbd_code_hid[7] O=$abc$19694$n778 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.report[5][4] I2=KEYBOARD.kbd_code_hid[7] I3=KEYBOARD.report[5][7] O=$abc$51271$n937 +.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[5] I1=KEYBOARD.kbd_code_hid[5] I2=KEYBOARD.REPORT.r_data[6] I3=KEYBOARD.kbd_code_hid[6] O=$abc$19694$n779_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.report[5][0] I2=KEYBOARD.kbd_code_hid[2] I3=KEYBOARD.report[5][2] O=$abc$51271$n938 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.REPORT.r_data[3] I2=KEYBOARD.REPORT.r_data[0] I3=KEYBOARD.kbd_code_hid[0] O=$abc$19694$n780_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.report[5][1] I2=KEYBOARD.kbd_code_hid[6] I3=KEYBOARD.report[5][6] O=$abc$51271$n939 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.REPORT.r_data[4] I2=KEYBOARD.REPORT.r_data[1] I3=KEYBOARD.kbd_code_hid[1] O=$abc$19694$n781 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$51271$n897 I2=$false I3=$false O=$abc$51271$n1313 +.gate SB_LUT4 I0=$abc$19694$n785 I1=$abc$19694$n786_1 I2=$false I3=$false O=$abc$19694$n784_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51271$n945 I1=$abc$51271$n944 I2=$abc$51271$n27 I3=$abc$51271$n929 O=$abc$51271$n943_1 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=kbd_report[6][4] I1=kbd_report[6][5] I2=kbd_report[6][6] I3=kbd_report[6][7] O=$abc$51271$n944 +.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[4] I1=KEYBOARD.REPORT.r_data[5] I2=KEYBOARD.REPORT.r_data[6] I3=KEYBOARD.REPORT.r_data[7] O=$abc$19694$n785 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=kbd_report[6][0] I1=kbd_report[6][1] I2=kbd_report[6][2] I3=kbd_report[6][3] O=$abc$51271$n945 +.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[0] I1=KEYBOARD.REPORT.r_data[1] I2=KEYBOARD.REPORT.r_data[2] I3=KEYBOARD.REPORT.r_data[3] O=$abc$19694$n786_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=$abc$51271$n950 I1=$abc$51271$n951_1 I2=$abc$51271$n952 I3=$abc$51271$n953 O=$abc$51271$n949 +.gate SB_LUT4 I0=KEYBOARD.report_wr_en I1=$abc$19694$n773 I2=$abc$19694$n30 I3=$abc$19694$n767 O=$abc$19694$n787_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=kbd_report[6][3] I2=KEYBOARD.kbd_code_hid[7] I3=kbd_report[6][7] O=$abc$51271$n950 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=kbd_report[6][0] I2=KEYBOARD.kbd_code_hid[6] I3=kbd_report[6][6] O=$abc$51271$n951_1 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=kbd_report[6][4] I2=KEYBOARD.kbd_code_hid[5] I3=kbd_report[6][5] O=$abc$51271$n952 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=kbd_report[6][1] I2=KEYBOARD.kbd_code_hid[2] I3=kbd_report[6][2] O=$abc$51271$n953 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=$abc$51271$n1464 I1=$abc$51271$n925 I2=$abc$51271$n955_1 I3=$false O=$abc$51271$n1336 +.param LUT_INIT 0100000000000000 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19694$n30 I2=$abc$19694$n791 I3=$false O=$abc$19694$n790 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$51271$n921 I1=$abc$51271$n900 I2=$abc$51271$n956 I3=$false O=$abc$51271$n955_1 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10110000 -.gate SB_LUT4 I0=$abc$51271$n904 I1=$abc$51271$n1408 I2=$abc$51271$n920 I3=$false O=$abc$51271$n956 +.gate SB_LUT4 I0=$abc$19694$n758 I1=KEYBOARD.isr_internal I2=$false I3=$false O=$abc$19694$n791 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00000111 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.report[4][4] I2=$abc$51271$n963 I3=$false O=$abc$51271$n962 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$19694$n774_1 I1=$abc$19694$n790 I2=$abc$19694$n25 I3=$false O=$abc$19694$n794 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10010000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.report[4][0] I2=KEYBOARD.kbd_code_hid[1] I3=KEYBOARD.report[4][1] O=$abc$51271$n963 +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=$abc$19694$n796_1 I1=KEYBOARD.IS_RAM_INIT I2=IS_RAM_INIT I3=I2C.FLT_SCL.RESET O=$abc$19694$n246 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=$abc$51271$n927 I1=$abc$51271$n27 I2=$abc$51271$n956 I3=$abc$51271$n966 O=$abc$51271$n1349 +.param LUT_INIT 0000111011111111 +.gate SB_LUT4 I0=$abc$19694$n759_1 I1=$abc$19694$n797 I2=$abc$19694$n729 I3=$false O=$abc$19694$n796_1 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1101000000000000 -.gate SB_LUT4 I0=$abc$51271$n967 I1=$abc$51271$n912 I2=$abc$51271$n27 I3=$abc$51271$n915 O=$abc$51271$n966 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$19694$n809 I1=$abc$19694$n810 I2=$abc$19694$n814 I3=$abc$19694$n798_1 O=$abc$19694$n797 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1010110011111111 -.gate SB_LUT4 I0=$abc$51271$n968 I1=$abc$51271$n969 I2=$abc$51271$n970 I3=$abc$51271$n971_1 O=$abc$51271$n967 +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$19694$n803 I1=$abc$19694$n804 I2=$abc$19694$n806 I3=$abc$19694$n807 O=$abc$19694$n798_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.report[3][3] I2=KEYBOARD.kbd_code_hid[4] I3=KEYBOARD.report[3][4] O=$abc$51271$n968 +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=IS_RAM_INIT I1=KEYBOARD.IS_RAM_INIT I2=I2C.FLT_SCL.RESET I3=$false O=$abc$19694$n249 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.report[3][5] I2=KEYBOARD.kbd_code_hid[6] I3=KEYBOARD.report[3][6] O=$abc$51271$n969 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00011111 +.gate SB_LUT4 I0=$abc$19694$n724_1 I1=$abc$19694$n254 I2=$false I3=$false O=$abc$19694$n251 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.report[3][1] I2=KEYBOARD.kbd_code_hid[2] I3=KEYBOARD.report[3][2] O=$abc$51271$n970 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19694$n30 I2=$abc$19694$n796_1 I3=$false O=$abc$19694$n256 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.report[3][0] I2=KEYBOARD.kbd_code_hid[7] I3=KEYBOARD.report[3][7] O=$abc$51271$n971_1 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$19694$n723_1 I1=$abc$19694$n249 I2=$false I3=$false O=$abc$19694$n262 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KEYBOARD.report_wr_en I1=$abc$19694$n804_1 I2=$abc$19694$n254 I3=$abc$19694$n249 O=$abc$19694$n266 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=$abc$51271$n904 I1=$abc$51271$n977_1 I2=$abc$51271$n1408 I3=$false O=$abc$51271$n976 +.param LUT_INIT 0000101100000000 +.gate SB_LUT4 I0=$abc$19694$n767 I1=$abc$19694$n30 I2=KEYBOARD.isr_internal I3=$false O=$abc$19694$n804_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$51271$n978 I1=$abc$51271$n979 I2=$abc$51271$n980 I3=$abc$51271$n981 O=$abc$51271$n977_1 +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=$abc$19694$n767 I1=$abc$19694$n30 I2=$false I3=$false O=$abc$19694$n268 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.report[2][4] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.report[2][5] O=$abc$51271$n978 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KEYBOARD.report_adress_rd[3] I1=$abc$19694$n771_1 I2=$false I3=$false O=$abc$19694$n812 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.report[2][1] I2=KEYBOARD.kbd_code_hid[6] I3=KEYBOARD.report[2][6] O=$abc$51271$n979 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$19694$n791 I1=KEYBOARD.IS_RAM_INIT I2=IS_RAM_INIT I3=I2C.FLT_SCL.RESET O=$abc$19694$n309 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.report[2][2] I2=KEYBOARD.kbd_code_hid[7] I3=KEYBOARD.report[2][7] O=$abc$51271$n980 +.param LUT_INIT 0000111011111111 +.gate SB_LUT4 I0=$abc$19694$n1562 I1=$abc$19694$n816 I2=$abc$19694$n1563 I3=$abc$19694$n829 O=$abc$19694$n319 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.report[2][3] I2=KEYBOARD.report[2][0] I3=KEYBOARD.kbd_code_hid[0] O=$abc$51271$n981 +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=I2C.FLT_SDA.counter[2] I3=$abc$19694$n826 O=$abc$19694$n1562 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=$abc$51271$n1408 I1=$abc$51271$n983 I2=$abc$51271$n956 I3=$false O=$abc$51271$n1372 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11010000 -.gate SB_LUT4 I0=$abc$51271$n903 I1=$abc$51271$n1470 I2=$abc$51271$n27 I3=$false O=$abc$51271$n983 +.param LUT_INIT 1111111100000001 +.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=I2C.SDAF I2=$false I3=$false O=$abc$19694$n816 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00110101 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.report[1][5] I2=$false I3=$false O=$abc$51271$n988 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1001 +.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=$false I3=$false O=$abc$19694$n1563 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.report[1][1] I2=KEYBOARD.kbd_code_hid[7] I3=KEYBOARD.report[1][7] O=$abc$51271$n990 +.param LUT_INIT 1001 +.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=I2C.FLT_SDA.counter[2] I3=$abc$19694$n816 O=$abc$19694$n320 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=$abc$51271$n904 I1=$abc$51271$n1408 I2=$abc$51271$n920 I3=$false O=$abc$51271$n1378 +.param LUT_INIT 1111111011111111 +.gate SB_LUT4 I0=$abc$19694$n1565 I1=$abc$19694$n822_1 I2=$false I3=$false O=$abc$19694$n330 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00001011 -.gate SB_LUT4 I0=$abc$51271$n897 I1=KEYBOARD.init_delay_cnt[0] I2=I2C.FLT_SCL.RESET I3=$false O=$abc$51271$n1383 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$19694$n821 I1=$abc$19694$n830 I2=$false I3=$false O=$abc$19694$n1565 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01001111 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[8] I1=$abc$51271$n995 I2=$abc$51271$n1313 I3=$false O=$abc$51271$n1386 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$false O=$abc$19694$n821 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11010000 -.gate SB_LUT4 I0=$abc$51271$n1615 I1=$abc$51271$n1617 I2=$abc$51271$n722 I3=$abc$51271$n996 O=$abc$51271$n995 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$51271$n1614 I1=$abc$51271$n1618 I2=$abc$51271$n1623 I3=$abc$51271$n699 O=$abc$51271$n996 +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$abc$19694$n1566 I1=$abc$19694$n833 I2=I2C.FLT_SCL.out I3=I2C.SCLF O=$abc$19694$n822_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$51271$n29 I1=$abc$51271$n1408 I2=$false I3=$false O=$abc$51271$n1390 +.param LUT_INIT 0000000100010000 +.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=$false I3=$false O=$abc$19694$n1566 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51271$n995 I1=$abc$51271$n1408 I2=$false I3=$false O=$abc$51271$n1392 +.param LUT_INIT 1001 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.SCLF I2=$abc$19694$n821 I3=$false O=$abc$19694$n331 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01101111 +.gate SB_LUT4 I0=$abc$19694$n632 I1=$abc$19694$n1708 I2=$false I3=$false O=$22\i2c_input_data_type[3:0][2] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51271$n1464 I1=$abc$51271$n1470 I2=$abc$51271$n1000 I3=$abc$51271$n1273 O=$abc$51271$n1405 +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$19694$n632 I1=$abc$19694$n525 I2=$false I3=$false O=$22\i2c_input_data_type[3:0][0] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111111100000000 -.gate SB_LUT4 I0=$abc$51271$n935 I1=$abc$51271$n949 I2=$abc$51271$n967 I3=$abc$51271$n977_1 O=$abc$51271$n1000 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$19694$n632 I1=$abc$19694$n524 I2=$false I3=$false O=$22\i2c_input_data_type[3:0][1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[8] I1=$abc$51271$n29 I2=$abc$51271$n1313 I3=$false O=$abc$51271$n1407 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$19694$n663 I1=$abc$19694$n664 I2=$abc$19694$n665 I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][2] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[8] I1=$abc$51271$n897 I2=KEYBOARD.init_ram_cnt[0] I3=I2C.FLT_SCL.RESET O=$abc$51271$n1414 +.param LUT_INIT 11111000 +.gate SB_LUT4 I0=$abc$19694$n1140 I1=$abc$19694$n725 I2=$false I3=$false O=$abc$19694$n909 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100000011111111 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[8] I1=$abc$51271$n897 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$51271$n1415 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[4] I1=KBD_COLUMNS[4] I2=$abc$19694$n729 I3=$false O=$abc$19694$n911 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01001111 -.gate SB_LUT4 I0=I2C_HID_DESC.last_rd_request I1=I2C.wr I2=I2C.FLT_SCL.RESET I3=$false O=$abc$51271$n1417 +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$19694$n654 I1=int_tmr[0] I2=$false I3=$false O=$3\int_tmr[14:0][0] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01001111 -.gate SB_LUT4 I0=$abc$51271$n2329 I1=$abc$51271$n1629 I2=$abc$51271$n1008 I3=$false O=$abc$51271$n1426 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$19694$n929 I1=int_tmr[0] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][0] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$51271$n1007 I1=$abc$51271$n1626 I2=$false I3=$false O=$abc$51271$n2329 +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$19694$n654 I1=int_tmr[1] I2=$false I3=$false O=$3\int_tmr[14:0][1] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=I2C.FLT_SDA.counter[2] I3=$false O=$abc$51271$n1007 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00000001 -.gate SB_LUT4 I0=$abc$51271$n2330 I1=I2C.FLT_SDA.out I2=I2C.SDAF I3=$false O=$abc$51271$n1008 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=int_tmr[14] I1=int_tmr[0] I2=$abc$19694$n654 I3=int_tmr[1] O=$2\int_tmr[14:0][1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010100 -.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=$false I3=$false O=$abc$51271$n2330 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000101100000100 +.gate SB_LUT4 I0=$abc$19694$n654 I1=int_tmr[2] I2=$false I3=$false O=$3\int_tmr[14:0][2] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1001 -.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=I2C.SDAF I2=$abc$51271$n1007 I3=$false O=$abc$51271$n1427 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$19694$n936 I1=int_tmr[2] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][2] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01101111 -.gate SB_LUT4 I0=$abc$51271$n2332 I1=$abc$51271$n1013 I2=$abc$51271$n2333 I3=$abc$51271$n1633 O=$abc$51271$n1436 +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$19694$n654 I1=int_tmr[3] I2=$false I3=$false O=$3\int_tmr[14:0][3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$abc$51271$n1630 O=$abc$51271$n2332 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$19694$n939 I1=int_tmr[3] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111111100000001 -.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.SCLF I2=$false I3=$false O=$abc$51271$n1013 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$19694$n654 I1=int_tmr[4] I2=$false I3=$false O=$3\int_tmr[14:0][4] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1001 -.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=$false I3=$false O=$abc$51271$n2333 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$19694$n942 I1=int_tmr[4] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][4] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$19694$n654 I1=int_tmr[5] I2=$false I3=$false O=$3\int_tmr[14:0][5] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1001 -.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$abc$51271$n1013 O=$abc$51271$n1437 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$19694$n945 I1=int_tmr[5] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][5] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111111011111111 -.gate SB_LUT4 I0=$abc$51271$n2003 I1=I2C.byte_counter[0] I2=$false I3=$false O=$abc$51271$n1490 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$19694$n654 I1=int_tmr[6] I2=$false I3=$false O=$3\int_tmr[14:0][6] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51271$n2003 I1=I2C.byte_counter[1] I2=$false I3=$false O=$abc$51271$n1491 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$19694$n948 I1=int_tmr[6] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][6] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51271$n2003 I1=I2C.byte_counter[2] I2=$false I3=$false O=$abc$51271$n1493 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$19694$n654 I1=int_tmr[7] I2=$false I3=$false O=$3\int_tmr[14:0][7] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51271$n2003 I1=I2C.byte_counter[3] I2=$false I3=$false O=$abc$51271$n1494 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$19694$n951 I1=int_tmr[7] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][7] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51271$n2003 I1=I2C.byte_counter[4] I2=$false I3=$false O=$abc$51271$n1496 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$19694$n654 I1=int_tmr[8] I2=$false I3=$false O=$3\int_tmr[14:0][8] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51271$n2003 I1=I2C.byte_counter[5] I2=$false I3=$false O=$abc$51271$n1497 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$19694$n954 I1=int_tmr[8] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][8] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51271$n2003 I1=I2C.byte_counter[6] I2=$false I3=$false O=$abc$51271$n1499 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$19694$n654 I1=int_tmr[9] I2=$false I3=$false O=$3\int_tmr[14:0][9] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51271$n2003 I1=I2C.byte_counter[7] I2=$false I3=$false O=$abc$51271$n1500 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$19694$n957 I1=int_tmr[9] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][9] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51271$n678 I1=$13\int_tmr[14:0][0] I2=$false I3=$false O=$10\int_tmr[14:0][0] +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$19694$n654 I1=int_tmr[10] I2=$false I3=$false O=$3\int_tmr[14:0][10] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51271$n678 I1=int_tmr[1] I2=$false I3=$false O=$10\int_tmr[14:0][1] +.gate SB_LUT4 I0=$abc$19694$n960 I1=int_tmr[10] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][10] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$51271$n678 I1=$13\int_tmr[14:0][2] I2=$false I3=$false O=$10\int_tmr[14:0][2] +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$19694$n654 I1=int_tmr[11] I2=$false I3=$false O=$3\int_tmr[14:0][11] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51271$n678 I1=$13\int_tmr[14:0][3] I2=$false I3=$false O=$10\int_tmr[14:0][3] +.gate SB_LUT4 I0=$abc$19694$n963 I1=int_tmr[11] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][11] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$19694$n654 I1=int_tmr[12] I2=$false I3=$false O=$3\int_tmr[14:0][12] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51271$n678 I1=$13\int_tmr[14:0][4] I2=$false I3=$false O=$10\int_tmr[14:0][4] +.gate SB_LUT4 I0=$abc$19694$n966 I1=int_tmr[12] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][12] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$19694$n654 I1=int_tmr[13] I2=$false I3=$false O=$3\int_tmr[14:0][13] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51271$n678 I1=$13\int_tmr[14:0][5] I2=$false I3=$false O=$10\int_tmr[14:0][5] +.gate SB_LUT4 I0=$abc$19694$n969 I1=int_tmr[13] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][13] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$3\int_tmr[14:0][14] I1=$abc$19694$n972 I2=$false I3=$false O=$2\int_tmr[14:0][14] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51271$n678 I1=$13\int_tmr[14:0][6] I2=$false I3=$false O=$10\int_tmr[14:0][6] +.param LUT_INIT 1110 +.gate SB_LUT4 I0=$abc$19694$n664 I1=$abc$19694$n545 I2=$false I3=$false O=$2\I2C_OUT_DESC_MASK[7:0][7] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51271$n678 I1=$13\int_tmr[14:0][7] I2=$false I3=$false O=$10\int_tmr[14:0][7] +.gate SB_LUT4 I0=$abc$19694$n655 I1=$abc$19694$n863 I2=$abc$19694$n525 I3=$false O=$20\i2c_input_data_type[3:0][0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00001110 +.gate SB_LUT4 I0=$abc$19694$n632 I1=$abc$19694$n656 I2=$false I3=$false O=$abc$19694$n863 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51271$n678 I1=$13\int_tmr[14:0][8] I2=$false I3=$false O=$10\int_tmr[14:0][8] +.param LUT_INIT 0111 +.gate SB_LUT4 I0=$abc$19694$n655 I1=$abc$19694$n863 I2=$abc$19694$n524 I3=$false O=$20\i2c_input_data_type[3:0][1] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00001110 +.gate SB_LUT4 I0=$abc$19694$n655 I1=$abc$19694$n863 I2=$abc$19694$n1708 I3=$false O=$20\i2c_input_data_type[3:0][2] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00001110 +.gate SB_LUT4 I0=$abc$19694$n655 I1=$abc$19694$n863 I2=$abc$19694$n521 I3=$false O=$20\i2c_input_data_type[3:0][3] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00001110 +.gate SB_LUT4 I0=$abc$19694$n36 I1=$abc$19694$n560 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][0] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51271$n678 I1=$13\int_tmr[14:0][9] I2=$false I3=$false O=$10\int_tmr[14:0][9] +.gate SB_LUT4 I0=$abc$19694$n1702 I1=$abc$19694$n36 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][1] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51271$n678 I1=$13\int_tmr[14:0][10] I2=$false I3=$false O=$10\int_tmr[14:0][10] +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$19694$n1703 I1=$abc$19694$n36 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][2] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51271$n678 I1=$13\int_tmr[14:0][11] I2=$false I3=$false O=$10\int_tmr[14:0][11] +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$19694$n1704 I1=$abc$19694$n36 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][3] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51271$n678 I1=$13\int_tmr[14:0][12] I2=$false I3=$false O=$10\int_tmr[14:0][12] +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$19694$n1705 I1=$abc$19694$n36 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][4] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51271$n678 I1=$13\int_tmr[14:0][13] I2=$false I3=$false O=$10\int_tmr[14:0][13] +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$19694$n1706 I1=$abc$19694$n36 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][5] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51271$n678 I1=$13\int_tmr[14:0][14] I2=$false I3=$false O=$10\int_tmr[14:0][14] +.param LUT_INIT 0001 +.gate SB_LUT4 I0=$abc$19694$n1707 I1=$abc$19694$n36 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][6] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$51271$n1040 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][0] +.param LUT_INIT 0001 +.gate SB_LUT4 I0=I2C_TRANS I1=$abc$19694$n554 I2=last_trans I3=$false O=$2\UART_WR[0:0] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00111010 -.gate SB_LUT4 I0=REPORT_DATA.r_data[0] I1=I2C_HID_DESC.VAL[0] I2=I2C_OUT_DESC_MASK[0] I3=$false O=$abc$51271$n1040 +.param LUT_INIT 00101011 +.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=$abc$19694$n545 I2=$abc$19694$n656 I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][1] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00110101 -.gate SB_LUT4 I0=I2C.received_byte[1] I1=$abc$51271$n1042 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][1] +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$19694$n877_1 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][0] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=REPORT_DATA.r_data[1] I1=I2C_HID_DESC.VAL[1] I2=I2C_OUT_DESC_MASK[1] I3=$false O=$abc$51271$n1042 +.gate SB_LUT4 I0=REPORT_DATA.rdata[0] I1=I2C_HID_DESC.VAL[0] I2=I2C_OUT_DESC_MASK[0] I3=$false O=$abc$19694$n877_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 -.gate SB_LUT4 I0=I2C.received_byte[2] I1=$abc$51271$n1044 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][2] +.gate SB_LUT4 I0=I2C.received_byte[1] I1=$abc$19694$n879 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][1] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=REPORT_DATA.r_data[2] I1=I2C_HID_DESC.VAL[2] I2=I2C_OUT_DESC_MASK[2] I3=$false O=$abc$51271$n1044 +.gate SB_LUT4 I0=REPORT_DATA.rdata[1] I1=I2C_HID_DESC.VAL[1] I2=I2C_OUT_DESC_MASK[1] I3=$false O=$abc$19694$n879 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 -.gate SB_LUT4 I0=I2C.received_byte[3] I1=$abc$51271$n1046 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][3] +.gate SB_LUT4 I0=I2C.received_byte[2] I1=$abc$19694$n881 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][2] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=REPORT_DATA.r_data[3] I1=I2C_HID_DESC.VAL[3] I2=I2C_OUT_DESC_MASK[3] I3=$false O=$abc$51271$n1046 +.gate SB_LUT4 I0=REPORT_DATA.rdata[2] I1=I2C_HID_DESC.VAL[2] I2=I2C_OUT_DESC_MASK[2] I3=$false O=$abc$19694$n881 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 -.gate SB_LUT4 I0=I2C.received_byte[4] I1=$abc$51271$n1048 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][4] +.gate SB_LUT4 I0=I2C.received_byte[3] I1=$abc$19694$n883 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][3] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=REPORT_DATA.r_data[4] I1=I2C_HID_DESC.VAL[4] I2=I2C_OUT_DESC_MASK[4] I3=$false O=$abc$51271$n1048 +.gate SB_LUT4 I0=REPORT_DATA.rdata[3] I1=I2C_HID_DESC.VAL[3] I2=I2C_OUT_DESC_MASK[3] I3=$false O=$abc$19694$n883 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 -.gate SB_LUT4 I0=I2C.received_byte[5] I1=$abc$51271$n1050 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][5] +.gate SB_LUT4 I0=I2C.received_byte[4] I1=$abc$19694$n885 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][4] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=REPORT_DATA.r_data[5] I1=I2C_HID_DESC.VAL[5] I2=I2C_OUT_DESC_MASK[5] I3=$false O=$abc$51271$n1050 +.gate SB_LUT4 I0=REPORT_DATA.rdata[4] I1=I2C_HID_DESC.VAL[4] I2=I2C_OUT_DESC_MASK[4] I3=$false O=$abc$19694$n885 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 -.gate SB_LUT4 I0=I2C.received_byte[6] I1=$abc$51271$n1052 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][6] +.gate SB_LUT4 I0=I2C.received_byte[5] I1=$abc$19694$n887 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][5] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=REPORT_DATA.r_data[6] I1=I2C_HID_DESC.VAL[6] I2=I2C_OUT_DESC_MASK[6] I3=$false O=$abc$51271$n1052 +.gate SB_LUT4 I0=REPORT_DATA.rdata[5] I1=I2C_HID_DESC.VAL[5] I2=I2C_OUT_DESC_MASK[5] I3=$false O=$abc$19694$n887 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 -.gate SB_LUT4 I0=I2C.received_byte[7] I1=$abc$51271$n1054 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][7] +.gate SB_LUT4 I0=I2C.received_byte[6] I1=$abc$19694$n889 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][6] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 -.gate SB_LUT4 I0=REPORT_DATA.r_data[7] I1=I2C_HID_DESC.VAL[7] I2=I2C_OUT_DESC_MASK[7] I3=$false O=$abc$51271$n1054 +.gate SB_LUT4 I0=REPORT_DATA.rdata[6] I1=I2C_HID_DESC.VAL[6] I2=I2C_OUT_DESC_MASK[6] I3=$false O=$abc$19694$n889 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 -.gate SB_LUT4 I0=$abc$51271$n1772 I1=ring_rd[0] I2=$abc$51271$n777 I3=$false O=$10\ring_rd[3:0][0] +.gate SB_LUT4 I0=I2C.received_byte[7] I1=$abc$19694$n891 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][7] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$51271$n777 I1=ring_rd[0] I2=ring_rd[1] I3=$false O=$10\ring_rd[3:0][1] +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=REPORT_DATA.rdata[7] I1=I2C_HID_DESC.VAL[7] I2=I2C_OUT_DESC_MASK[7] I3=$false O=$abc$19694$n891 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10110100 -.gate SB_LUT4 I0=$abc$51271$n1778 I1=ring_rd[2] I2=$abc$51271$n777 I3=$false O=$10\ring_rd[3:0][2] +.param LUT_INIT 00110101 +.gate SB_LUT4 I0=temp_output_report[0] I1=I2C.received_byte[0] I2=$abc$19694$n1125 I3=$false O=$0\temp_output_report[2:0][0] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$51271$n1781 I1=ring_rd[3] I2=$abc$51271$n777 I3=$false O=$10\ring_rd[3:0][3] +.gate SB_LUT4 I0=temp_output_report[1] I1=I2C.received_byte[1] I2=$abc$19694$n1125 I3=$false O=$0\temp_output_report[2:0][1] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=report_data_radr[1] I1=$10\ring_rd[3:0][0] I2=$abc$51271$n21 I3=$false O=$0\report_data_radr[7:0][1] +.gate SB_LUT4 I0=temp_output_report[2] I1=I2C.received_byte[2] I2=$abc$19694$n1125 I3=$false O=$0\temp_output_report[2:0][2] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11000101 -.gate SB_LUT4 I0=$abc$51271$n1785 I1=$10\ring_rd[3:0][1] I2=$abc$51271$n21 I3=$false O=$0\report_data_radr[7:0][2] +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=ring_rd[0] I1=$abc$19694$n1107 I2=$abc$19694$n636 I3=$false O=$2\ring_rd[3:0][0] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$51271$n1788 I1=$10\ring_rd[3:0][0] I2=$10\ring_rd[3:0][2] I3=$abc$51271$n21 O=$0\report_data_radr[7:0][3] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0011110010101010 -.gate SB_LUT4 I0=$abc$51271$n1791 I1=$abc$51271$n2410 I2=$abc$51271$n2408 I3=$abc$51271$n21 O=$0\report_data_radr[7:0][4] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0011110010101010 -.gate SB_LUT4 I0=$10\ring_rd[3:0][0] I1=$10\ring_rd[3:0][2] I2=$false I3=$false O=$abc$51271$n2410 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$10\ring_rd[3:0][1] I1=$10\ring_rd[3:0][3] I2=$false I3=$false O=$abc$51271$n2408 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=$abc$51271$n1794 I1=$abc$51271$n1793 I2=$abc$51271$n21 I3=$false O=$0\report_data_radr[7:0][5] +.gate SB_LUT4 I0=$abc$19694$n636 I1=ring_rd[0] I2=ring_rd[1] I3=$false O=$2\ring_rd[3:0][1] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$51271$n1797 I1=$abc$51271$n1796 I2=$abc$51271$n21 I3=$false O=$0\report_data_radr[7:0][6] +.param LUT_INIT 01111000 +.gate SB_LUT4 I0=ring_rd[2] I1=$abc$19694$n1113 I2=$abc$19694$n636 I3=$false O=$2\ring_rd[3:0][2] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$51271$n1800 I1=$abc$51271$n1799 I2=$abc$51271$n21 I3=$false O=$0\report_data_radr[7:0][7] +.gate SB_LUT4 I0=ring_rd[3] I1=$abc$19694$n1116 I2=$abc$19694$n636 I3=$false O=$2\ring_rd[3:0][3] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 -.gate SB_LUT4 I0=$2\uart_double_ff[0:0] I1=$abc$51271$n860 I2=$abc$51271$n1069 I3=$abc$51271$n740 O=$0\i2c_input_data_type[3:0][0] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000111101000100 -.gate SB_LUT4 I0=I2C.received_byte[4] I1=$abc$51271$n1071 I2=$abc$51271$n1070 I3=I2C.received_byte[0] O=$abc$51271$n1069 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000101110111011 -.gate SB_LUT4 I0=$abc$51271$n2426 I1=$abc$51271$n825 I2=$abc$51271$n2418 I3=$abc$51271$n21 O=$abc$51271$n1070 +.gate SB_LUT4 I0=report_wr_en I1=$abc$19694$n688 I2=IS_RAM_INIT I3=$false O=$2\IS_RAM_INIT[0:0] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1101000000000000 -.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$abc$51271$n809 I3=$abc$51271$n1072 O=$abc$51271$n1071 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01110000 +.gate SB_LUT4 I0=report_wr_en I1=$abc$19694$n905_1 I2=$abc$19694$n688 I3=IS_RAM_INIT O=$2\report_wr_en[0:0] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=I2C.received_byte[7] I1=I2C.received_byte[6] I2=$abc$51271$n833_1 I3=$false O=$abc$51271$n1072 +.param LUT_INIT 1101000011001100 +.gate SB_LUT4 I0=IS_RAM_INIT I1=KEYBOARD.isr I2=last_isr I3=$false O=$abc$19694$n905_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 -.gate SB_LUT4 I0=$2\uart_double_ff[0:0] I1=$abc$51271$n1074 I2=$abc$51271$n1075 I3=$abc$51271$n740 O=$0\i2c_input_data_type[3:0][1] +.gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$19694$n687 I2=$abc$19694$n733 I3=$abc$19694$n905_1 O=$2\wr_cnt[3:0][0] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000111101000100 -.gate SB_LUT4 I0=$abc$51271$n1458 I1=$abc$51271$n847 I2=i2c_input_data_type[1] I3=$false O=$abc$51271$n1074 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=I2C.received_byte[1] I1=$abc$51271$n1070 I2=$abc$51271$n1076 I3=$false O=$abc$51271$n1075 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00000111 -.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[5] I2=$abc$51271$n1071 I3=$false O=$abc$51271$n1076 +.param LUT_INIT 1111111110110000 +.gate SB_LUT4 I0=$abc$19694$n905_1 I1=wr_cnt[0] I2=wr_cnt[1] I3=$false O=$2\wr_cnt[3:0][1] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01100000 -.gate SB_LUT4 I0=$2\uart_double_ff[0:0] I1=$abc$51271$n846 I2=$abc$51271$n1078 I3=$abc$51271$n740 O=$0\i2c_input_data_type[3:0][2] +.param LUT_INIT 00010100 +.gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$19694$n687 I2=$abc$19694$n905_1 I3=$abc$19694$n736 O=$2\wr_cnt[3:0][2] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000111101000100 -.gate SB_LUT4 I0=$abc$51271$n1076 I1=$abc$51271$n1069 I2=I2C.received_byte[2] I3=$abc$51271$n1070 O=$abc$51271$n1078 +.param LUT_INIT 0000101100000000 +.gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$19694$n687 I2=$abc$19694$n905_1 I3=$abc$19694$n737 O=$2\wr_cnt[3:0][3] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000111101000100 -.gate SB_LUT4 I0=$2\uart_double_ff[0:0] I1=$abc$51271$n740 I2=$abc$51271$n1081 I3=$abc$51271$n1082 O=$0\i2c_input_data_type[3:0][3] +.param LUT_INIT 0000101100000000 +.gate SB_LUT4 I0=$abc$19694$n911_1 I1=$abc$19694$n1261 I2=$false I3=$false O=$0\rststate[3:0][0] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$22\i2c_input_data_type[3:0][0] I1=$abc$19694$n563 I2=$abc$19694$n524 I3=$abc$19694$n912 O=$abc$19694$n911_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111111100010000 -.gate SB_LUT4 I0=$abc$51271$n847 I1=i2c_input_data_type[3] I2=$false I3=$false O=$abc$51271$n1081 +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$19694$n663 I1=$abc$19694$n30 I2=$false I3=$false O=$abc$19694$n912 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51271$n1070 I1=$abc$51271$n740 I2=I2C.received_byte[3] I3=$false O=$abc$51271$n1082 +.gate SB_LUT4 I0=$abc$19694$n911_1 I1=$abc$19694$n1263 I2=$false I3=$false O=$0\rststate[3:0][1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$51271$n778_1 I1=$abc$51271$n1084 I2=ring_wr[0] I3=$abc$51271$n1862 O=$2\ring_wr[3:0][0] +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$19694$n911_1 I1=$abc$19694$n1265 I2=$false I3=$false O=$0\rststate[3:0][2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111011101000000 -.gate SB_LUT4 I0=$abc$51271$n1451 I1=ring_rd[3] I2=$abc$51271$n1871 I3=$abc$51271$n1085 O=$abc$51271$n1084 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100000100000000 -.gate SB_LUT4 I0=ring_rd[0] I1=$abc$51271$n1862 I2=ring_rd[2] I3=$abc$51271$n1868 O=$abc$51271$n1085 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=$abc$51271$n1087 I1=ring_wr[0] I2=ring_wr[1] I3=$false O=$2\ring_wr[3:0][1] +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$19694$n911_1 I1=$abc$19694$n1267 I2=$false I3=$false O=$0\rststate[3:0][3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10110100 -.gate SB_LUT4 I0=$abc$51271$n778_1 I1=ring_wr[0] I2=$abc$51271$n1084 I3=$false O=$abc$51271$n1087 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$19694$n1302 I1=I2C.i2c_bit_counter[0] I2=$false I3=$false O=$abc$19694$n1304 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01100000 -.gate SB_LUT4 I0=$abc$51271$n1868 I1=ring_wr[2] I2=$abc$51271$n1087 I3=$false O=$2\ring_wr[3:0][2] +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$19694$n1302 I1=I2C.i2c_bit_counter[1] I2=$false I3=$false O=$abc$19694$n1306 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$51271$n1871 I1=ring_wr[3] I2=$abc$51271$n1087 I3=$false O=$2\ring_wr[3:0][3] +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$19694$n1302 I1=I2C.i2c_bit_counter[2] I2=$false I3=$false O=$abc$19694$n1308 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$51271$n1091 I1=$abc$51271$n1094 I2=$abc$51271$n1095 I3=$false O=$2\I2C_OUT_DESC_MASK[7:0][7] +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C.i2c_bit_counter[3] I1=$abc$19694$n1302 I2=$false I3=$false O=$abc$19694$n1711 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01100000 -.gate SB_LUT4 I0=$abc$51271$n1093 I1=$abc$51271$n860 I2=$abc$51271$n1092 I3=$false O=$abc$51271$n1091 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=I2C.i2c_state_machine I1=$abc$19694$n931_1 I2=$abc$19694$n1127 I3=$false O=$abc$19694$n1320 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00111010 -.gate SB_LUT4 I0=$abc$51271$n1074 I1=$abc$51271$n860 I2=$abc$51271$n846 I3=$abc$51271$n1081 O=$abc$51271$n1092 +.param LUT_INIT 11110100 +.gate SB_LUT4 I0=$abc$19694$n887 I1=$abc$19694$n879 I2=$abc$19694$n582 I3=$abc$19694$n705_1 O=$abc$19694$n925 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000001110 -.gate SB_LUT4 I0=$abc$51271$n857 I1=$abc$51271$n1074 I2=I2C_OUTPUT_TYPE[0] I3=$false O=$abc$51271$n1093 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00000111 -.gate SB_LUT4 I0=$abc$51271$n857 I1=$abc$51271$n1074 I2=$abc$51271$n1092 I3=I2C_OUTPUT_TYPE[1] O=$abc$51271$n1094 +.param LUT_INIT 0101001100000000 +.gate SB_LUT4 I0=$abc$19694$n891 I1=$abc$19694$n883 I2=$abc$19694$n582 I3=$abc$19694$n708_1 O=$abc$19694$n926 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0011000000110111 -.gate SB_LUT4 I0=$abc$51271$n1092 I1=I2C_OUTPUT_TYPE[2] I2=$abc$51271$n1098 I3=$false O=$abc$51271$n1095 +.param LUT_INIT 0101001100000000 +.gate SB_LUT4 I0=$abc$19694$n881 I1=$abc$19694$n877_1 I2=$abc$19694$n580 I3=$abc$19694$n703 O=$abc$19694$n927 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10110000 -.gate SB_LUT4 I0=i2c_input_data_type[0] I1=$abc$51271$n811 I2=$abc$51271$n1074 I3=$false O=$abc$51271$n1096 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111110011111010 +.gate SB_LUT4 I0=$abc$19694$n889 I1=$abc$19694$n885 I2=$abc$19694$n580 I3=$abc$19694$n703 O=$abc$19694$n928 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$51271$n681 I1=I2C.is_read I2=$false I3=$false O=$abc$51271$n1098 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111110011111010 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$abc$19694$n12 I2=$false I3=$false O=$abc$19694$n929_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$51271$n1091 I1=$abc$51271$n1098 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][0] +.gate SB_LUT4 I0=$abc$19694$n1302 I1=$abc$19694$n932_1 I2=$false I3=$false O=$abc$19694$n931_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0111 -.gate SB_LUT4 I0=$abc$51271$n1094 I1=$abc$51271$n1098 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][1] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0111 -.gate SB_LUT4 I0=$abc$51271$n1092 I1=$abc$51271$n1096 I2=$abc$51271$n1098 I3=I2C_OUTPUT_TYPE[2] O=$2\I2C_OUTPUT_TYPE[2:0][2] +.param LUT_INIT 1000 +.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=I2C.FLT_SCL.out I2=$abc$19694$n10 I3=I2C.SDA_DIR O=$abc$19694$n932_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$51271$n798 I1=$abc$51271$n1854 I2=$abc$51271$n681 I3=$false O=$2\wr_cnt[3:0][0] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11110100 -.gate SB_LUT4 I0=$abc$51271$n681 I1=$abc$51271$n798 I2=wr_cnt[0] I3=wr_cnt[1] O=$2\wr_cnt[3:0][1] +.param LUT_INIT 0111111100000000 +.gate SB_LUT4 I0=I2C.is_ack I1=$abc$19694$n929_1 I2=$abc$19694$n118 I3=$abc$19694$n932_1 O=$abc$19694$n933 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000100010000 -.gate SB_LUT4 I0=$abc$51271$n681 I1=$abc$51271$n798 I2=$abc$51271$n1857 I3=$false O=$2\wr_cnt[3:0][2] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$51271$n681 I1=$abc$51271$n798 I2=$abc$51271$n1859 I3=$false O=$2\wr_cnt[3:0][3] +.param LUT_INIT 1011000000000000 +.gate SB_LUT4 I0=I2C.received_byte[2] I1=$abc$19694$n937_1 I2=$abc$19694$n936_1 I3=$false O=$abc$19694$n935_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$51271$n260 I1=$abc$51271$n1111 I2=$false I3=$false O=$abc$51271$n1110 +.param LUT_INIT 00001011 +.gate SB_LUT4 I0=I2C.is_adress I1=$abc$19694$n1302 I2=$false I3=$false O=$abc$19694$n936_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 -.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=$abc$51271$n253 I3=$false O=$abc$51271$n1111 +.gate SB_LUT4 I0=I2C.received_byte[7] I1=$abc$19694$n938_1 I2=I2C.received_byte[6] I3=$false O=$abc$19694$n937_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10010000 -.gate SB_LUT4 I0=$abc$51271$n1114 I1=KEYBOARD.report[2][0] I2=$abc$51271$n1113 I3=KEYBOARD.report[5][0] O=$abc$51271$n1112 +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[1] I2=I2C.received_byte[5] I3=I2C.received_byte[3] O=$abc$19694$n938_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$51271$n1111 I1=$abc$51271$n260 I2=$false I3=$false O=$abc$51271$n1113 +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$19694$n941_1 I1=$abc$19694$n940_1 I2=$false I3=$false O=$abc$19694$n939_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$19694$n929_1 I1=$abc$19694$n118 I2=$false I3=$false O=$abc$19694$n940_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51271$n253 I1=$abc$51271$n260 I2=wr_cnt[0] I3=wr_cnt[1] O=$abc$51271$n1114 +.gate SB_LUT4 I0=$abc$19694$n1302 I1=I2C.is_ack I2=$false I3=$false O=$abc$19694$n941_1 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000100010000 -.gate SB_LUT4 I0=$abc$51271$n253 I1=$abc$51271$n260 I2=wr_cnt[0] I3=wr_cnt[1] O=$abc$51271$n1116_1 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$19694$n945_1 I1=$abc$19694$n944_1 I2=$abc$19694$n698 I3=$abc$19694$n701 O=$abc$19694$n1322 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001100010000001 -.gate SB_LUT4 I0=kbd_report[6][0] I1=$abc$51271$n1119_1 I2=$abc$51271$n1118 I3=KEYBOARD.report[3][0] O=$abc$51271$n1117 +.param LUT_INIT 0101001100000000 +.gate SB_LUT4 I0=$abc$19694$n935_1 I1=$abc$19694$n939_1 I2=$false I3=$false O=$abc$19694$n944_1 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$51271$n260 I1=wr_cnt[0] I2=wr_cnt[1] I3=$abc$51271$n253 O=$abc$51271$n1118 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$19694$n932_1 I1=$abc$19694$n946_1 I2=I2C.FLT_SDA.out I3=$false O=$abc$19694$n945_1 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001010000000000 -.gate SB_LUT4 I0=$abc$51271$n253 I1=wr_cnt[0] I2=wr_cnt[1] I3=$abc$51271$n260 O=$abc$51271$n1119_1 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$19694$n941_1 I1=I2C.FLT_SCL.out I2=$abc$19694$n12 I3=$abc$19694$n118 O=$abc$19694$n946_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001010000000000 -.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[2] I2=wr_cnt[3] I3=wr_cnt[1] O=$abc$51271$n1120 +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$19694$n948_1 I1=$abc$19694$n939_1 I2=$abc$19694$n698 I3=$false O=$abc$19694$n1324 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=$abc$51271$n253 I1=wr_cnt[0] I2=wr_cnt[1] I3=$abc$51271$n260 O=$abc$51271$n1121 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11111000 +.gate SB_LUT4 I0=$abc$19694$n936_1 I1=$abc$19694$n701 I2=I2C.received_byte[0] I3=$false O=$abc$19694$n948_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$19694$n954_1 I1=$abc$19694$n580 I2=$abc$19694$n950_1 I3=$abc$19694$n1304 O=$abc$19694$n1326 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100000100000000 -.gate SB_LUT4 I0=wr_cnt[2] I1=wr_cnt[1] I2=$abc$51271$n269 I3=$false O=$abc$51271$n1125 +.param LUT_INIT 1000111110001000 +.gate SB_LUT4 I0=$abc$19694$n702_1 I1=$abc$19694$n953_1 I2=$abc$19694$n951_1 I3=$false O=$abc$19694$n950_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=$abc$51271$n681 I1=$abc$51271$n1127 I2=$false I3=$false O=$abc$51271$n1126 +.param LUT_INIT 10110000 +.gate SB_LUT4 I0=$abc$19694$n952_1 I1=$abc$19694$n946_1 I2=$abc$19694$n701 I3=$false O=$abc$19694$n951_1 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=wr_cnt[2] I1=wr_cnt[3] I2=wr_cnt[0] I3=$false O=$abc$51271$n1127 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11010000 +.gate SB_LUT4 I0=$abc$19694$n118 I1=$abc$19694$n929_1 I2=$abc$19694$n698 I3=$false O=$abc$19694$n952_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=$abc$51271$n1127 I1=$abc$51271$n1135 I2=$abc$51271$n1130 I3=$abc$51271$n681 O=$3\report_data_wr[7:0][1] +.param LUT_INIT 10110000 +.gate SB_LUT4 I0=$abc$19694$n941_1 I1=$abc$19694$n940_1 I2=$abc$19694$n698 I3=$false O=$abc$19694$n953_1 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111111100000001 -.gate SB_LUT4 I0=KEYBOARD.report[0][1] I1=$abc$51271$n1116_1 I2=$abc$51271$n1131 I3=$abc$51271$n1133 O=$abc$51271$n1130 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=$abc$19694$n118 I1=$abc$19694$n701 I2=$abc$19694$n955_1 I3=$false O=$abc$19694$n954_1 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=KEYBOARD.report[3][1] I1=$abc$51271$n1118 I2=$abc$51271$n1120 I3=$abc$51271$n1132 O=$abc$51271$n1131 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$19694$n698 I1=I2C.FLT_SCL.out I2=$abc$19694$n12 I3=$false O=$abc$19694$n955_1 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000010 +.gate SB_LUT4 I0=$abc$19694$n703 I1=$abc$19694$n954_1 I2=$abc$19694$n950_1 I3=$abc$19694$n1306 O=$abc$19694$n1328 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=KEYBOARD.report[4][1] I1=$abc$51271$n1121 I2=$abc$51271$n1110 I3=KEYBOARD.report[1][1] O=$abc$51271$n1132 +.param LUT_INIT 0100111101000100 +.gate SB_LUT4 I0=$abc$19694$n954_1 I1=$abc$19694$n582 I2=$abc$19694$n950_1 I3=$abc$19694$n1308 O=$abc$19694$n1330 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=KEYBOARD.report[2][1] I1=$abc$51271$n1114 I2=$abc$51271$n1134 I3=$false O=$abc$51271$n1133 +.param LUT_INIT 1000111110001000 +.gate SB_LUT4 I0=$abc$19694$n701 I1=$abc$19694$n953_1 I2=$abc$19694$n1711 I3=$false O=$abc$19694$n960_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=kbd_report[6][1] I1=$abc$51271$n1119_1 I2=$abc$51271$n1113 I3=KEYBOARD.report[5][1] O=$abc$51271$n1134 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=KEYBOARD.report[1][1] I1=KEYBOARD.report[0][1] I2=$abc$51271$n1125 I3=$abc$51271$n1120 O=$abc$51271$n1135 +.param LUT_INIT 11010000 +.gate SB_LUT4 I0=$abc$19694$n118 I1=$abc$19694$n929_1 I2=I2C.i2c_bit_counter[3] I3=$abc$19694$n946_1 O=$abc$19694$n961_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0101001100000000 -.gate SB_LUT4 I0=$abc$51271$n1127 I1=$abc$51271$n1153 I2=$abc$51271$n1148 I3=$abc$51271$n681 O=$3\report_data_wr[7:0][3] +.param LUT_INIT 0000000001001111 +.gate SB_LUT4 I0=$abc$19694$n698 I1=$abc$19694$n946_1 I2=$abc$19694$n701 I3=$abc$19694$n936_1 O=$abc$19694$n1334 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111111100000001 -.gate SB_LUT4 I0=KEYBOARD.report[0][3] I1=$abc$51271$n1116_1 I2=$abc$51271$n1120 I3=$abc$51271$n1149_1 O=$abc$51271$n1148 +.param LUT_INIT 0000000010111111 +.gate SB_LUT4 I0=$abc$19694$n966_1 I1=$abc$19694$n965_1 I2=$abc$19694$n941_1 I3=$abc$19694$n701 O=$abc$19694$n1336 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=KEYBOARD.report[2][3] I1=$abc$51271$n1114 I2=$abc$51271$n1150 I3=$abc$51271$n1152 O=$abc$51271$n1149_1 +.param LUT_INIT 1110111011110000 +.gate SB_LUT4 I0=$abc$19694$n935_1 I1=$abc$19694$n698 I2=$abc$19694$n941_1 I3=$abc$19694$n940_1 O=$abc$19694$n965_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=kbd_report[6][3] I1=$abc$51271$n1119_1 I2=$abc$51271$n1151 I3=$false O=$abc$51271$n1150 +.param LUT_INIT 0000000100110000 +.gate SB_LUT4 I0=$abc$19694$n929_1 I1=$abc$19694$n941_1 I2=$abc$19694$n952_1 I3=$false O=$abc$19694$n966_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=$abc$51271$n1118 I1=KEYBOARD.report[3][3] I2=$abc$51271$n1113 I3=KEYBOARD.report[5][3] O=$abc$51271$n1151 +.param LUT_INIT 11100000 +.gate SB_LUT4 I0=$abc$19694$n946_1 I1=$abc$19694$n969_1 I2=$abc$19694$n970_1 I3=$abc$19694$n968_1 O=$abc$19694$n1338 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=KEYBOARD.report[4][3] I1=$abc$51271$n1121 I2=$abc$51271$n1110 I3=KEYBOARD.report[1][3] O=$abc$51271$n1152 +.param LUT_INIT 0000000011111000 +.gate SB_LUT4 I0=$abc$19694$n952_1 I1=$abc$19694$n945_1 I2=$abc$19694$n953_1 I3=$abc$19694$n701 O=$abc$19694$n968_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=KEYBOARD.report[1][3] I1=KEYBOARD.report[0][3] I2=$abc$51271$n1125 I3=$abc$51271$n1120 O=$abc$51271$n1153 +.param LUT_INIT 0000110100000000 +.gate SB_LUT4 I0=$abc$19694$n936_1 I1=$abc$19694$n698 I2=$abc$19694$n701 I3=$false O=$abc$19694$n969_1 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0101001100000000 -.gate SB_LUT4 I0=$abc$51271$n1120 I1=$abc$51271$n1164 I2=$abc$51271$n1158 I3=$abc$51271$n1126 O=$3\report_data_wr[7:0][4] +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11100000 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=$abc$19694$n10 I3=I2C.wr O=$abc$19694$n970_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=KEYBOARD.report[4][4] I1=$abc$51271$n1121 I2=$abc$51271$n1120 I3=$abc$51271$n1159 O=$abc$51271$n1158 +.param LUT_INIT 0111110100000000 +.gate SB_LUT4 I0=$abc$19694$n720_1 I1=$abc$19694$n718 I2=$abc$19694$n716 I3=$false O=$abc$19694$n1413 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=$abc$51271$n1161 I1=$abc$51271$n1162 I2=$abc$51271$n1160_1 I3=$abc$51271$n1163 O=$abc$51271$n1159 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000111 +.gate SB_LUT4 I0=$abc$19694$n718 I1=$abc$19694$n759 I2=$false I3=$false O=$abc$19694$n1415 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=KEYBOARD.report[1][4] I1=KEYBOARD.report[5][4] I2=$abc$51271$n260 I3=$abc$51271$n1111 O=$abc$51271$n1160_1 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=$abc$19694$n718 I1=$abc$19694$n762 I2=$false I3=$false O=$abc$19694$n1417 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0011010111111111 -.gate SB_LUT4 I0=$abc$51271$n1119_1 I1=kbd_report[6][4] I2=$false I3=$false O=$abc$51271$n1161 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=$abc$19694$n718 I1=$abc$19694$n763 I2=$false I3=$false O=$abc$19694$n1418 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51271$n1118 I1=KEYBOARD.report[3][4] I2=$false I3=$false O=$abc$51271$n1162 +.param LUT_INIT 1110 +.gate SB_LUT4 I0=$abc$19694$n1421 I1=$abc$19694$n702 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$19694$n1422 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KEYBOARD.row_counter[1] I1=KEYBOARD.row_counter[0] I2=$false I3=$false O=$abc$19694$n1423 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51271$n1116_1 I1=KEYBOARD.report[0][4] I2=$abc$51271$n1114 I3=KEYBOARD.report[2][4] O=$abc$51271$n1163 +.param LUT_INIT 0110 +.gate SB_LUT4 I0=$abc$19694$n1423 I1=KEYBOARD.ram_adr[1] I2=KEYBOARD.ram_adr[0] I3=KEYBOARD.IS_RAM_INIT O=$abc$19694$n1425 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=KEYBOARD.report[1][4] I1=KEYBOARD.report[0][4] I2=$abc$51271$n1125 I3=$false O=$abc$51271$n1164 +.param LUT_INIT 0011110010101010 +.gate SB_LUT4 I0=$abc$19694$n1426 I1=$abc$19694$n705 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$19694$n1427 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01010011 -.gate SB_LUT4 I0=$abc$51271$n1114 I1=KEYBOARD.report[2][5] I2=$abc$51271$n1113 I3=KEYBOARD.report[5][5] O=$abc$51271$n1171 +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$19694$n706 I1=$abc$19694$n18 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$19694$n1428 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$51271$n1116_1 I1=KEYBOARD.report[0][5] I2=$false I3=$false O=$abc$51271$n1172 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10101100 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19694$n708 I2=$false I3=$false O=$abc$19694$n1429 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=kbd_report[6][5] I1=$abc$51271$n1119_1 I2=$abc$51271$n1118 I3=KEYBOARD.report[3][5] O=$abc$51271$n1173 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=KEYBOARD.report[4][6] I1=$abc$51271$n1121 I2=$abc$51271$n1120 I3=$abc$51271$n1179 O=$abc$51271$n1178 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=$abc$51271$n1180 I1=$abc$51271$n1182 I2=$abc$51271$n1181 I3=$abc$51271$n1183 O=$abc$51271$n1179 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19694$n709 I2=$false I3=$false O=$abc$19694$n1430 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$51271$n1110 I1=KEYBOARD.report[1][6] I2=$false I3=$false O=$abc$51271$n1180 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19694$n711 I2=$false I3=$false O=$abc$19694$n1431 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51271$n1114 I1=KEYBOARD.report[2][6] I2=$abc$51271$n1113 I3=KEYBOARD.report[5][6] O=$abc$51271$n1181 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19694$n1432 I2=$false I3=$false O=$abc$19694$n1433 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$51271$n1116_1 I1=KEYBOARD.report[0][6] I2=$false I3=$false O=$abc$51271$n1182 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19694$n717 I2=$false I3=$false O=$abc$19694$n1434 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=kbd_report[6][6] I1=$abc$51271$n1119_1 I2=$abc$51271$n1118 I3=KEYBOARD.report[3][6] O=$abc$51271$n1183 +.gate SB_LUT4 I0=$abc$19694$n986 I1=$abc$19694$n758 I2=$abc$19694$n819 I3=KEYBOARD.IS_RAM_INIT O=$abc$19694$n1436 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=kbd_report[6][7] I1=$abc$51271$n1119_1 I2=$abc$51271$n1118 I3=KEYBOARD.report[3][7] O=$abc$51271$n1191 +.param LUT_INIT 1111000000010001 +.gate SB_LUT4 I0=$abc$19694$n812 I1=$abc$19694$n819 I2=wr_cnt[0] I3=KEYBOARD.isr_internal O=$abc$19694$n986 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$51271$n731 I1=$abc$51271$n681 I2=$false I3=$false O=$3\report_wr_en[0:0] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51271$n1198_1 I1=$abc$51271$n1913 I2=$abc$51271$n1199 I3=$abc$51271$n22 O=$0\report_data_wadr[7:0][0] +.param LUT_INIT 1011101100001111 +.gate SB_LUT4 I0=$abc$19694$n988 I1=$abc$19694$n989_1 I2=KEYBOARD.report_adress_rd[0] I3=KEYBOARD.report_adress_rd[1] O=$abc$19694$n1438 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111000001000100 -.gate SB_LUT4 I0=init_ram_cnt[7] I1=init_ram_cnt[5] I2=$abc$51271$n732 I3=$abc$51271$n2420 O=$abc$51271$n1198_1 +.param LUT_INIT 1010101110111010 +.gate SB_LUT4 I0=KEYBOARD.isr_internal I1=wr_cnt[1] I2=$abc$19694$n758 I3=KEYBOARD.IS_RAM_INIT O=$abc$19694$n988 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1110111100000000 -.gate SB_LUT4 I0=$abc$51271$n681 I1=$6\report_data_wadr[7:0][0] I2=$false I3=$false O=$abc$51271$n1199 +.param LUT_INIT 0000000011110100 +.gate SB_LUT4 I0=KEYBOARD.isr_internal I1=$abc$19694$n812 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$19694$n989_1 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=init_ram_cnt[1] I1=$abc$51271$n1198_1 I2=$abc$51271$n22 I3=$abc$51271$n1201 O=$0\report_data_wadr[7:0][1] +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00001101 +.gate SB_LUT4 I0=$abc$19694$n822 I1=$abc$19694$n989_1 I2=$abc$19694$n991_1 I3=$false O=$abc$19694$n1440 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111111100000001 -.gate SB_LUT4 I0=$abc$51271$n1202 I1=$2\ring_wr[3:0][0] I2=$abc$51271$n681 I3=$abc$51271$n22 O=$abc$51271$n1201 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00001110 +.gate SB_LUT4 I0=KEYBOARD.isr_internal I1=wr_cnt[2] I2=$abc$19694$n758 I3=KEYBOARD.IS_RAM_INIT O=$abc$19694$n991_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100101000000000 -.gate SB_LUT4 I0=wr_cnt[1] I1=ring_wr[0] I2=$false I3=$false O=$abc$51271$n1202 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=$abc$51271$n1204 I1=$abc$51271$n1206 I2=$false I3=$false O=$0\report_data_wadr[7:0][2] +.param LUT_INIT 0000000011110001 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19694$n823 I2=$abc$19694$n767 I3=$abc$19694$n993_1 O=$abc$19694$n1442 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$51271$n1205 I1=$2\ring_wr[3:0][1] I2=$abc$51271$n681 I3=$abc$51271$n22 O=$abc$51271$n1204 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000011111000 +.gate SB_LUT4 I0=KEYBOARD.isr_internal I1=wr_cnt[3] I2=$abc$19694$n989_1 I3=$abc$19694$n823 O=$abc$19694$n993_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0011010100000000 -.gate SB_LUT4 I0=wr_cnt[1] I1=ring_wr[0] I2=wr_cnt[2] I3=ring_wr[1] O=$abc$51271$n1205 +.param LUT_INIT 1011000010111011 +.gate SB_LUT4 I0=KEYBOARD.report_adress_rd[0] I1=KEYBOARD.report_adress_rd[1] I2=$abc$19694$n999_1 I3=$false O=$abc$19694$n998 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10010000 +.gate SB_LUT4 I0=$abc$19694$n819 I1=$abc$19694$n822 I2=$abc$19694$n823 I3=KEYBOARD.IS_RAM_INIT O=$abc$19694$n999_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000011101111000 -.gate SB_LUT4 I0=$abc$51271$n1198_1 I1=init_ram_cnt[1] I2=init_ram_cnt[2] I3=$abc$51271$n22 O=$abc$51271$n1206 +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19694$n1001_1 I2=$abc$19694$n1003_1 I3=$abc$19694$n998 O=$abc$19694$n1446 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000010111110 -.gate SB_LUT4 I0=$abc$51271$n1198_1 I1=$abc$51271$n1919 I2=$abc$51271$n1208_1 I3=$abc$51271$n22 O=$0\report_data_wadr[7:0][3] +.param LUT_INIT 1111111100000001 +.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.kbd_code_hid[3] I2=$abc$19694$n1002 I3=$abc$19694$n769_1 O=$abc$19694$n1001_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000111101000100 -.gate SB_LUT4 I0=$abc$51271$n681 I1=$2\ring_wr[3:0][0] I2=$2\ring_wr[3:0][2] I3=$abc$51271$n1209 O=$abc$51271$n1208_1 +.param LUT_INIT 0111000001110111 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=KEYBOARD.REPORT.r_data[3] O=$abc$19694$n1002 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000011010111 -.gate SB_LUT4 I0=$abc$51271$n681 I1=$abc$51271$n1210 I2=$abc$51271$n1212 I3=ring_wr[0] O=$abc$51271$n1209 +.param LUT_INIT 0000000010111111 +.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.kbd_code_hid[1] I3=KEYBOARD.kbd_code_hid[0] O=$abc$19694$n1003_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001010001000001 -.gate SB_LUT4 I0=ring_wr[1] I1=wr_cnt[2] I2=$abc$51271$n1211 I3=$false O=$abc$51271$n1210 +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=$abc$19694$n1007 I1=$abc$19694$n1005 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$19694$n1448 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00000111 -.gate SB_LUT4 I0=wr_cnt[2] I1=ring_wr[1] I2=ring_wr[0] I3=wr_cnt[1] O=$abc$51271$n1211 +.param LUT_INIT 01011100 +.gate SB_LUT4 I0=$abc$19694$n769_1 I1=$abc$19694$n1006 I2=$abc$19694$n774_1 I3=KEYBOARD.report_wr_en O=$abc$19694$n1005 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0110000000000000 -.gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[2] I2=$false I3=$false O=$abc$51271$n1212 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=$abc$51271$n1198_1 I1=$abc$51271$n1921 I2=$abc$51271$n1214_1 I3=$abc$51271$n22 O=$0\report_data_wadr[7:0][4] +.param LUT_INIT 0000000000001110 +.gate SB_LUT4 I0=$abc$19694$n784_1 I1=$abc$19694$n771_1 I2=$abc$19694$n777 I3=KEYBOARD.is_pressed O=$abc$19694$n1006 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000111101000100 -.gate SB_LUT4 I0=$abc$51271$n1217 I1=$abc$51271$n2414 I2=$abc$51271$n2416 I3=$abc$51271$n681 O=$abc$51271$n1214_1 +.param LUT_INIT 0000001000110000 +.gate SB_LUT4 I0=KEYBOARD.ram_adr[1] I1=KEYBOARD.ram_adr[0] I2=$abc$19694$n1008_1 I3=$abc$19694$n1009 O=$abc$19694$n1007 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100001110101010 -.gate SB_LUT4 I0=$2\ring_wr[3:0][2] I1=$2\ring_wr[3:0][0] I2=$false I3=$false O=$abc$51271$n2416 +.param LUT_INIT 0110000000000000 +.gate SB_LUT4 I0=$abc$19694$n709 I1=$abc$19694$n711 I2=$abc$19694$n717 I3=$abc$19694$n1432 O=$abc$19694$n1008_1 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$2\ring_wr[3:0][1] I1=$2\ring_wr[3:0][3] I2=$false I3=$false O=$abc$51271$n2414 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000100000000 +.gate SB_LUT4 I0=$abc$19694$n702 I1=$abc$19694$n705 I2=$abc$19694$n706 I3=$abc$19694$n708 O=$abc$19694$n1009 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=$abc$51271$n2413 I1=$abc$51271$n2411 I2=$false I3=$false O=$abc$51271$n1217 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000000000000001 +.gate SB_LUT4 I0=$abc$19694$n1007 I1=KEYBOARD.IS_RAM_INIT I2=$false I3=$false O=$abc$19694$n1449 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1001 -.gate SB_LUT4 I0=$abc$51271$n1211 I1=$abc$51271$n1210 I2=ring_wr[0] I3=$abc$51271$n1212 O=$abc$51271$n2413 +.param LUT_INIT 0100 +.gate SB_LUT4 I0=$abc$19694$n1012 I1=KEYBOARD.report_wr_en I2=$abc$19694$n773 I3=$abc$19694$n758 O=$abc$19694$n1453 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1110101000110000 -.gate SB_LUT4 I0=$abc$51271$n1220 I1=$abc$51271$n1221 I2=ring_wr[1] I3=ring_wr[3] O=$abc$51271$n2411 +.param LUT_INIT 1111111100010000 +.gate SB_LUT4 I0=KEYBOARD.report_adress_rd[3] I1=$abc$19694$n1013 I2=$abc$19694$n769_1 I3=$abc$19694$n771_1 O=$abc$19694$n1012 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1110101100010100 -.gate SB_LUT4 I0=$abc$51271$n1212 I1=wr_cnt[2] I2=ring_wr[1] I3=$false O=$abc$51271$n1220 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[2] I2=$false I3=$false O=$abc$51271$n1221 +.param LUT_INIT 0000101000001100 +.gate SB_LUT4 I0=$abc$19694$n777 I1=KEYBOARD.is_pressed I2=$false I3=$false O=$abc$19694$n1013 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51271$n1198_1 I1=$abc$51271$n1923 I2=$abc$51271$n22 I3=$abc$51271$n1223 O=$0\report_data_wadr[7:0][5] +.gate SB_LUT4 I0=$abc$19694$n796_1 I1=KEYBOARD.ram_wr I2=$abc$19694$n758 I3=$abc$19694$n733_1 O=$abc$19694$n1459 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111010000000000 -.gate SB_LUT4 I0=$6\report_data_wadr[7:0][5] I1=$abc$51271$n1903 I2=$abc$51271$n681 I3=$abc$51271$n22 O=$abc$51271$n1223 +.param LUT_INIT 1111010001000100 +.gate SB_LUT4 I0=KEYBOARD.temp[0] I1=KBD_COLUMNS[0] I2=$abc$19694$n729 I3=$false O=$abc$19694$n1500 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100101011111111 -.gate SB_LUT4 I0=$abc$51271$n1198_1 I1=$abc$51271$n1925 I2=$abc$51271$n22 I3=$abc$51271$n1225_1 O=$0\report_data_wadr[7:0][6] +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[4] I1=$abc$19694$n729 I2=$abc$19694$n1017 I3=$abc$19694$n746 O=$abc$19694$n1501 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111010000000000 -.gate SB_LUT4 I0=$6\report_data_wadr[7:0][6] I1=$abc$51271$n1906 I2=$abc$51271$n681 I3=$abc$51271$n22 O=$abc$51271$n1225_1 +.param LUT_INIT 1111111111111000 +.gate SB_LUT4 I0=$abc$19694$n650 I1=$abc$19694$n649 I2=KEYBOARD.temp[4] I3=$false O=$abc$19694$n1017 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100101011111111 -.gate SB_LUT4 I0=$abc$51271$n1198_1 I1=$abc$51271$n1927 I2=$abc$51271$n22 I3=$abc$51271$n1227 O=$0\report_data_wadr[7:0][7] +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11100000 +.gate SB_LUT4 I0=$abc$19694$n742 I1=$abc$19694$n1019 I2=$false I3=$false O=$abc$19694$n1502 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111010000000000 -.gate SB_LUT4 I0=$6\report_data_wadr[7:0][7] I1=$abc$51271$n1909 I2=$abc$51271$n681 I3=$abc$51271$n22 O=$abc$51271$n1227 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=KEYBOARD.temp[2] I1=KEYBOARD.RAM.r_data[2] I2=$abc$19694$n744 I3=$abc$19694$n729 O=$abc$19694$n1019 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100101011111111 -.gate SB_LUT4 I0=$abc$51271$n1229 I1=$abc$51271$n1937 I2=$false I3=$false O=$0\rststate[3:0][0] +.param LUT_INIT 0000110000001010 +.gate SB_LUT4 I0=$abc$19694$n731 I1=$abc$19694$n1021 I2=$false I3=$false O=$abc$19694$n1503 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.is_read I1=$abc$51271$n845 I2=$abc$51271$n922 I3=$abc$51271$n826 O=$abc$51271$n1229 +.param LUT_INIT 1110 +.gate SB_LUT4 I0=KEYBOARD.temp[7] I1=KEYBOARD.RAM.r_data[7] I2=$abc$19694$n733_1 I3=$abc$19694$n729 O=$abc$19694$n1021 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$abc$51271$n1229 I1=$abc$51271$n1939 I2=$false I3=$false O=$0\rststate[3:0][1] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51271$n1229 I1=$abc$51271$n1941 I2=$false I3=$false O=$0\rststate[3:0][2] +.param LUT_INIT 0000110000001010 +.gate SB_LUT4 I0=$abc$19694$n755 I1=$abc$19694$n913 I2=$abc$19694$n735 I3=$false O=$abc$19694$n1504 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51271$n1229 I1=$abc$51271$n1943 I2=$false I3=$false O=$0\rststate[3:0][3] +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 11000101 +.gate SB_LUT4 I0=$abc$19694$n727 I1=$abc$19694$n1024 I2=$false I3=$false O=$abc$19694$n1505 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51271$n2003 I1=I2C.i2c_bit_counter[0] I2=$false I3=$false O=$abc$51271$n2005 +.param LUT_INIT 1110 +.gate SB_LUT4 I0=KEYBOARD.temp[3] I1=KEYBOARD.RAM.r_data[3] I2=$abc$19694$n730 I3=$abc$19694$n729 O=$abc$19694$n1024 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51271$n2003 I1=I2C.i2c_bit_counter[1] I2=$false I3=$false O=$abc$51271$n2007 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 0000110000001010 +.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[5] I1=$abc$19694$n729 I2=$abc$19694$n1026 I3=$abc$19694$n740 O=$abc$19694$n1506 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51271$n2003 I1=I2C.i2c_bit_counter[2] I2=$false I3=$false O=$abc$51271$n2009 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111110110000 +.gate SB_LUT4 I0=$abc$19694$n643 I1=$abc$19694$n649 I2=$abc$19694$n650 I3=KEYBOARD.temp[5] O=$abc$19694$n1026 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.i2c_bit_counter[3] I1=$abc$51271$n2003 I2=$false I3=$false O=$abc$51271$n2457 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111011100000001 +.gate SB_LUT4 I0=$abc$19694$n737_1 I1=$abc$19694$n1028 I2=$false I3=$false O=$abc$19694$n1507 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.i2c_state_machine I1=$abc$51271$n1247 I2=$abc$51271$n1239 I3=$false O=$abc$51271$n2019 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01001111 -.gate SB_LUT4 I0=$abc$51271$n1240 I1=$abc$51271$n1261 I2=$abc$51271$n1260 I3=$abc$51271$n1250 O=$abc$51271$n1239 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000011111 -.gate SB_LUT4 I0=$abc$51271$n1247 I1=$abc$51271$n1241 I2=$abc$51271$n1177 I3=$abc$51271$n1249 O=$abc$51271$n1240 +.param LUT_INIT 1110 +.gate SB_LUT4 I0=KEYBOARD.temp[6] I1=KEYBOARD.RAM.r_data[6] I2=$abc$19694$n739 I3=$abc$19694$n729 O=$abc$19694$n1028 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000110000001010 -.gate SB_LUT4 I0=$abc$51271$n1246 I1=$abc$51271$n1242 I2=$abc$51271$n307 I3=$abc$51271$n1243 O=$abc$51271$n1241 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100101000000000 -.gate SB_LUT4 I0=$abc$51271$n1052 I1=$abc$51271$n1048 I2=$abc$51271$n305 I3=$abc$51271$n877 O=$abc$51271$n1242 +.gate SB_LUT4 I0=$abc$19694$n769_1 I1=KEYBOARD.is_pressed I2=KEYBOARD.kbd_code_hid[0] I3=$abc$19694$n1030 O=$abc$19694$n1520 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111110011111010 -.gate SB_LUT4 I0=$abc$51271$n1244 I1=$abc$51271$n1245 I2=$abc$51271$n877 I3=$abc$51271$n305 O=$abc$51271$n1243 +.param LUT_INIT 1111111101000000 +.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[0] I1=KEYBOARD.is_pressed I2=$abc$19694$n775_1 I3=$abc$19694$n769_1 O=$abc$19694$n1030 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100101011111111 -.gate SB_LUT4 I0=$abc$51271$n1054 I1=$abc$51271$n1046 I2=$abc$51271$n307 I3=$false O=$abc$51271$n1244 +.param LUT_INIT 1100101000000000 +.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.kbd_code_hid[4] I2=$abc$19694$n1035 I3=$false O=$abc$19694$n1530 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$51271$n1050 I1=$abc$51271$n1042 I2=$abc$51271$n307 I3=$false O=$abc$51271$n1245 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10101100 -.gate SB_LUT4 I0=$abc$51271$n1044 I1=$abc$51271$n1040 I2=$abc$51271$n305 I3=$abc$51271$n877 O=$abc$51271$n1246 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111110011111010 -.gate SB_LUT4 I0=$abc$51271$n2003 I1=$abc$51271$n1248 I2=$false I3=$false O=$abc$51271$n1247 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=I2C.FLT_SCL.out I2=$abc$51271$n14 I3=I2C.SDA_DIR O=$abc$51271$n1248 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111111100000000 -.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$abc$51271$n16 I2=$false I3=$false O=$abc$51271$n1249 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$51271$n1259 I1=$abc$51271$n1247 I2=$abc$51271$n1251 I3=$abc$51271$n870 O=$abc$51271$n1250 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111010000000000 -.gate SB_LUT4 I0=$abc$51271$n1252 I1=$abc$51271$n1257 I2=$false I3=$false O=$abc$51271$n1251 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51271$n1253 I1=$abc$51271$n1255 I2=$false I3=$false O=$abc$51271$n1252 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=$abc$51271$n1254 I1=$abc$51271$n694 I2=$abc$51271$n2003 I3=$false O=$abc$51271$n1253 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=I2C.byte_counter[3] I1=I2C.byte_counter[0] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$51271$n1254 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000001 -.gate SB_LUT4 I0=I2C.received_byte[2] I1=I2C.received_byte[7] I2=$abc$51271$n1256 I3=I2C.received_byte[6] O=$abc$51271$n1255 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[1] I2=I2C.received_byte[5] I3=I2C.received_byte[3] O=$abc$51271$n1256 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$51271$n1258 I1=$abc$51271$n1259 I2=$false I3=$false O=$abc$51271$n1257 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51271$n2003 I1=I2C.is_ack I2=$false I3=$false O=$abc$51271$n1258 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51271$n1249 I1=$abc$51271$n1177 I2=$false I3=$false O=$abc$51271$n1259 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51271$n871_1 I1=$abc$51271$n873 I2=$false I3=$false O=$abc$51271$n1260 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=I2C.is_ack I1=$abc$51271$n1249 I2=$abc$51271$n1177 I3=$abc$51271$n1247 O=$abc$51271$n1261 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1011000000000000 -.gate SB_LUT4 I0=$abc$51271$n1252 I1=$abc$51271$n1257 I2=$abc$51271$n870 I3=$abc$51271$n1263 O=$abc$51271$n2021 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111111101110000 -.gate SB_LUT4 I0=$abc$51271$n1248 I1=$abc$51271$n1264 I2=I2C.FLT_SDA.out I3=$abc$51271$n1260 O=$abc$51271$n1263 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1011111100000000 -.gate SB_LUT4 I0=$abc$51271$n1258 I1=I2C.FLT_SCL.out I2=$abc$51271$n16 I3=$abc$51271$n1177 O=$abc$51271$n1264 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$51271$n1266 I1=$abc$51271$n871_1 I2=$false I3=$false O=$abc$51271$n2023 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$51271$n1253 I1=$abc$51271$n1257 I2=$abc$51271$n873 I3=I2C.received_byte[0] O=$abc$51271$n1266 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$abc$51271$n1272 I1=$abc$51271$n305 I2=$abc$51271$n1268 I3=$abc$51271$n2005 O=$abc$51271$n2025 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000111110001000 -.gate SB_LUT4 I0=$abc$51271$n1269 I1=$abc$51271$n1264 I2=$abc$51271$n1271 I3=$abc$51271$n873 O=$abc$51271$n1268 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000110100000000 -.gate SB_LUT4 I0=$abc$51271$n1270 I1=$abc$51271$n871_1 I2=$false I3=$false O=$abc$51271$n1269 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51271$n1177 I1=$abc$51271$n1249 I2=$false I3=$false O=$abc$51271$n1270 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51271$n1259 I1=$abc$51271$n1258 I2=$abc$51271$n871_1 I3=$abc$51271$n874 O=$abc$51271$n1271 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000000000111 -.gate SB_LUT4 I0=$abc$51271$n874 I1=$abc$51271$n1270 I2=$abc$51271$n871_1 I3=$abc$51271$n873 O=$abc$51271$n1272 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100101000000000 -.gate SB_LUT4 I0=$abc$51271$n877 I1=$abc$51271$n1272 I2=$abc$51271$n1268 I3=$abc$51271$n2007 O=$abc$51271$n2027 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100111101000100 -.gate SB_LUT4 I0=$abc$51271$n1272 I1=$abc$51271$n307 I2=$abc$51271$n1268 I3=$abc$51271$n2009 O=$abc$51271$n2029 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000111110001000 -.gate SB_LUT4 I0=$abc$51271$n1276 I1=$abc$51271$n1277 I2=$abc$51271$n1279 I3=$false O=$abc$51271$n2031 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01001111 -.gate SB_LUT4 I0=$abc$51271$n1270 I1=$abc$51271$n2457 I2=$abc$51271$n1264 I3=$abc$51271$n871_1 O=$abc$51271$n1276 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000111000000000 -.gate SB_LUT4 I0=$abc$51271$n2457 I1=$abc$51271$n874 I2=$abc$51271$n1278 I3=$abc$51271$n873 O=$abc$51271$n1277 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001111100000000 -.gate SB_LUT4 I0=$abc$51271$n1259 I1=$abc$51271$n1258 I2=$abc$51271$n871_1 I3=$false O=$abc$51271$n1278 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00000111 -.gate SB_LUT4 I0=$abc$51271$n2457 I1=$abc$51271$n308 I2=$abc$51271$n1272 I3=$false O=$abc$51271$n1279 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00111010 -.gate SB_LUT4 I0=$abc$51271$n1282 I1=$abc$51271$n2170 I2=$abc$51271$n1490 I3=$abc$51271$n1281 O=$abc$51271$n2033 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1110111011110000 -.gate SB_LUT4 I0=$abc$51271$n1264 I1=$abc$51271$n1257 I2=$abc$51271$n871_1 I3=$abc$51271$n873 O=$abc$51271$n1281 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1010110000000000 -.gate SB_LUT4 I0=$abc$51271$n1494 I1=$abc$51271$n1499 I2=$abc$51271$n1283 I3=$false O=$abc$51271$n1282 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$51271$n1284 I1=I2C.byte_counter[0] I2=I2C.byte_counter[1] I3=$false O=$abc$51271$n1283 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=I2C.byte_counter[2] I1=I2C.byte_counter[4] I2=I2C.byte_counter[5] I3=I2C.byte_counter[7] O=$abc$51271$n1284 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000000000000000 -.gate SB_LUT4 I0=$abc$51271$n1491 I1=$abc$51271$n1286 I2=$abc$51271$n1281 I3=$false O=$abc$51271$n2035 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00111010 -.gate SB_LUT4 I0=$abc$51271$n1282 I1=$2\I2C_INPUT_LEN[7:0][1] I2=$false I3=$false O=$abc$51271$n1286 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][1] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1001 -.gate SB_LUT4 I0=$abc$51271$n1282 I1=$abc$51271$n2172 I2=$abc$51271$n1493 I3=$abc$51271$n1281 O=$abc$51271$n2037 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1110111011110000 -.gate SB_LUT4 I0=$abc$51271$n1282 I1=$abc$51271$n2173 I2=$abc$51271$n1494 I3=$abc$51271$n1281 O=$abc$51271$n2039 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1110111011110000 -.gate SB_LUT4 I0=$abc$51271$n1282 I1=$abc$51271$n2174 I2=$abc$51271$n1496 I3=$abc$51271$n1281 O=$abc$51271$n2041 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1110111011110000 -.gate SB_LUT4 I0=$abc$51271$n1282 I1=$abc$51271$n2175 I2=$abc$51271$n1497 I3=$abc$51271$n1281 O=$abc$51271$n2043 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1110111011110000 -.gate SB_LUT4 I0=$abc$51271$n1282 I1=$abc$51271$n2176 I2=$abc$51271$n1499 I3=$abc$51271$n1281 O=$abc$51271$n2045 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1110111011110000 -.gate SB_LUT4 I0=$abc$51271$n1282 I1=$abc$51271$n2177 I2=$abc$51271$n1500 I3=$abc$51271$n1281 O=$abc$51271$n2047 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1110111011110000 -.gate SB_LUT4 I0=$abc$51271$n870 I1=$abc$51271$n1251 I2=$abc$51271$n1295 I3=$abc$51271$n1296 O=$abc$51271$n2049 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111111111111000 -.gate SB_LUT4 I0=$abc$51271$n1177 I1=$abc$51271$n1258 I2=$abc$51271$n1249 I3=$abc$51271$n1260 O=$abc$51271$n1295 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1010110000000000 -.gate SB_LUT4 I0=$abc$51271$n1259 I1=$abc$51271$n871_1 I2=$abc$51271$n873 I3=$abc$51271$n1258 O=$abc$51271$n1296 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001111100000000 -.gate SB_LUT4 I0=$abc$51271$n1299 I1=I2C.wr I2=$abc$51271$n1298 I3=$abc$51271$n1264 O=$abc$51271$n2051 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1000111110001000 -.gate SB_LUT4 I0=$abc$51271$n2428 I1=$abc$51271$n1263 I2=$abc$51271$n870 I3=$false O=$abc$51271$n1298 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10100011 -.gate SB_LUT4 I0=$abc$51271$n1278 I1=$abc$51271$n1268 I2=$abc$51271$n1301 I3=$false O=$abc$51271$n1299 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10110000 -.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$51271$n14 I2=I2C.FLT_SCL.out I3=$false O=$abc$51271$n1301 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01101111 -.gate SB_LUT4 I0=$abc$51271$n1511 I1=$abc$51271$n889 I2=$false I3=$false O=$abc$51271$n2179 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1011 -.gate SB_LUT4 I0=UART.tx_clk_counter[1] I1=UART.tx_clk_counter[0] I2=UART.tx_activity I3=$false O=$abc$51271$n2181 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10010000 -.gate SB_LUT4 I0=$abc$51271$n1514 I1=$abc$51271$n889 I2=$false I3=$false O=$abc$51271$n2183 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1011 -.gate SB_LUT4 I0=$abc$51271$n1515 I1=$abc$51271$n889 I2=$false I3=$false O=$abc$51271$n2185 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1011 -.gate SB_LUT4 I0=$abc$51271$n892 I1=$abc$51271$n890 I2=$abc$51271$n894 I3=$false O=$abc$51271$n2186 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00000111 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[0] I1=$abc$51271$n2190 I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$abc$51271$n2191 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[1] I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=KEYBOARD.init_ram_cnt[8] O=$abc$51271$n2194 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0011110010101010 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[2] I1=$abc$51271$n2196 I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$abc$51271$n2197 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[3] I1=$abc$51271$n30 I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$abc$51271$n2199 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=$abc$51271$n2190 I2=$abc$51271$n995 I3=$false O=$abc$51271$n2203 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$51271$n995 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$51271$n2205 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01111000 -.gate SB_LUT4 I0=KEYBOARD.row_counter[2] I1=$abc$51271$n2196 I2=$abc$51271$n995 I3=$false O=$abc$51271$n2207 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.row_counter[3] I1=$abc$51271$n30 I2=$abc$51271$n995 I3=$false O=$abc$51271$n2209 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$51271$n995 I1=KEYBOARD.ram_wr I2=$abc$51271$n29 I3=$abc$51271$n709 O=$abc$51271$n2213 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100111101000100 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[1] I1=KBD_COLUMNS[1] I2=$abc$51271$n699 I3=$false O=$abc$51271$n2243 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[3] I1=KBD_COLUMNS[3] I2=$abc$51271$n699 I3=$false O=$abc$51271$n2249 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[4] I1=KBD_COLUMNS[4] I2=$abc$51271$n699 I3=$false O=$abc$51271$n2252 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[5] I1=KBD_COLUMNS[5] I2=$abc$51271$n699 I3=$false O=$abc$51271$n2255 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[6] I1=KBD_COLUMNS[6] I2=$abc$51271$n699 I3=$false O=$abc$51271$n2258 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[7] I1=KBD_COLUMNS[7] I2=$abc$51271$n699 I3=$false O=$abc$51271$n2261 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=$abc$51271$n2271 I1=$abc$51271$n2262 I2=$false I3=$false O=$abc$51271$n2264 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$51271$n696 I1=$abc$51271$n1499_1 I2=$false I3=$false O=$abc$51271$n2271 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1001 -.gate SB_LUT4 I0=$abc$51271$n2271 I1=$abc$51271$n394 I2=$false I3=$false O=$abc$51271$n2265 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$51271$n2271 I1=$abc$51271$n383 I2=$false I3=$false O=$abc$51271$n2266 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$51271$n2271 I1=$abc$51271$n2203 I2=$false I3=$false O=$abc$51271$n2267 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$51271$n2271 I1=$abc$51271$n2205 I2=$false I3=$false O=$abc$51271$n2268 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$51271$n2271 I1=$abc$51271$n2207 I2=$false I3=$false O=$abc$51271$n2269 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=$abc$51271$n2271 I1=$abc$51271$n2209 I2=$false I3=$false O=$abc$51271$n2270 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=KEYBOARD.temp[0] I1=KBD_COLUMNS[0] I2=$abc$51271$n699 I3=$false O=$abc$51271$n2273 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11001010 -.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[4] I1=$abc$51271$n699 I2=$abc$51271$n1333 I3=$abc$51271$n712 O=$abc$51271$n2274 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111111111111000 -.gate SB_LUT4 I0=$abc$51271$n396 I1=$abc$51271$n394 I2=KEYBOARD.temp[4] I3=$false O=$abc$51271$n1333 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 11100000 -.gate SB_LUT4 I0=$abc$51271$n697 I1=$abc$51271$n1335 I2=$false I3=$false O=$abc$51271$n2275 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=KEYBOARD.temp[2] I1=KEYBOARD.RAM.r_data[2] I2=$abc$51271$n700 I3=$abc$51271$n699 O=$abc$51271$n1335 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000110000001010 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[7] I1=$abc$51271$n1337 I2=$abc$51271$n709 I3=$false O=$abc$51271$n2276 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10100011 -.gate SB_LUT4 I0=KEYBOARD.temp[7] I1=KEYBOARD.RAM.r_data[7] I2=$abc$51271$n699 I3=$false O=$abc$51271$n1337 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00110101 -.gate SB_LUT4 I0=$abc$51271$n704 I1=$abc$51271$n1339 I2=$false I3=$false O=$abc$51271$n2277 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=KEYBOARD.temp[1] I1=KEYBOARD.RAM.r_data[1] I2=$abc$51271$n705 I3=$abc$51271$n699 O=$abc$51271$n1339 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000110000001010 -.gate SB_LUT4 I0=$abc$51271$n706 I1=$abc$51271$n1341 I2=$false I3=$false O=$abc$51271$n2278 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=KEYBOARD.temp[3] I1=KEYBOARD.RAM.r_data[3] I2=$abc$51271$n707 I3=$abc$51271$n699 O=$abc$51271$n1341 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000110000001010 -.gate SB_LUT4 I0=$abc$51271$n702 I1=$abc$51271$n1343 I2=$false I3=$false O=$abc$51271$n2279 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=KEYBOARD.temp[5] I1=KEYBOARD.RAM.r_data[5] I2=$abc$51271$n703 I3=$abc$51271$n699 O=$abc$51271$n1343 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000110000001010 -.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[6] I1=$abc$51271$n1345 I2=$abc$51271$n711 I3=$false O=$abc$51271$n2280 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10100011 -.gate SB_LUT4 I0=KEYBOARD.temp[6] I1=KEYBOARD.RAM.r_data[6] I2=$abc$51271$n699 I3=$false O=$abc$51271$n1345 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00110101 -.gate SB_LUT4 I0=$abc$51271$n943_1 I1=$abc$51271$n917 I2=$false I3=$false O=$abc$51271$n2282 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0001 -.gate SB_LUT4 I0=KEYBOARD.report[0][0] I1=$abc$51271$n27 I2=$abc$51271$n918 I3=$false O=$abc$51271$n2286 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00111010 -.gate SB_LUT4 I0=KEYBOARD.report[0][1] I1=$abc$51271$n27 I2=$abc$51271$n1349_1 I3=$false O=$abc$51271$n2289 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00111010 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$51271$n1349_1 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=KEYBOARD.report[0][2] I1=$abc$51271$n27 I2=$abc$51271$n1351 I3=$false O=$abc$51271$n2292 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00111010 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.kbd_code_hid[1] I3=$false O=$abc$51271$n1351 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=KEYBOARD.report[0][3] I1=$abc$51271$n27 I2=$abc$51271$n1353 I3=$false O=$abc$51271$n2295 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00111010 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$51271$n1353 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=KEYBOARD.report[0][4] I1=$abc$51271$n27 I2=$abc$51271$n1355 I3=$false O=$abc$51271$n2298 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00111010 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$51271$n1355 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00010000 -.gate SB_LUT4 I0=KEYBOARD.report[0][5] I1=$abc$51271$n27 I2=$abc$51271$n1357 I3=$false O=$abc$51271$n2301 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00111010 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$51271$n1357 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=KEYBOARD.report[0][6] I1=$abc$51271$n27 I2=$abc$51271$n1359_1 I3=$false O=$abc$51271$n2304 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00111010 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$51271$n1359_1 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=KEYBOARD.report[0][7] I1=$abc$51271$n27 I2=$abc$51271$n1361 I3=$false O=$abc$51271$n2307 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 00111010 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$51271$n1361 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10000000 -.gate SB_LUT4 I0=$abc$51271$n27 I1=KEYBOARD.kbd_code_hid[0] I2=$false I3=$false O=$abc$51271$n2308 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51271$n27 I1=KEYBOARD.kbd_code_hid[1] I2=$false I3=$false O=$abc$51271$n2309 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51271$n27 I1=KEYBOARD.kbd_code_hid[2] I2=$false I3=$false O=$abc$51271$n2310 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51271$n27 I1=KEYBOARD.kbd_code_hid[3] I2=$false I3=$false O=$abc$51271$n2311 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51271$n27 I1=KEYBOARD.kbd_code_hid[4] I2=$false I3=$false O=$abc$51271$n2312 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51271$n27 I1=KEYBOARD.kbd_code_hid[5] I2=$false I3=$false O=$abc$51271$n2313 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51271$n27 I1=KEYBOARD.kbd_code_hid[6] I2=$false I3=$false O=$abc$51271$n2314 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=$abc$51271$n27 I1=KEYBOARD.kbd_code_hid[7] I2=$false I3=$false O=$abc$51271$n2315 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=I2C.byte_counter[0] I1=$abc$51271$n2321 I2=I2C_OUTPUT_TYPE[1] I3=I2C_OUTPUT_TYPE[0] O=$abc$51271$n2322 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100101011001100 -.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=I2C_OUTPUT_TYPE[0] I2=I2C.byte_counter[5] I3=$false O=$abc$51271$n2324 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01001011 -.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=I2C_OUTPUT_TYPE[0] I2=I2C.byte_counter[5] I3=I2C.byte_counter[6] O=$abc$51271$n2326 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100111110110000 -.gate SB_LUT4 I0=I2C.byte_counter[7] I1=$abc$51271$n2327 I2=I2C_OUTPUT_TYPE[1] I3=I2C_OUTPUT_TYPE[0] O=$abc$51271$n2328 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100101011001100 -.gate SB_LUT4 I0=$abc$51271$n1007 I1=$abc$51271$n1629 I2=$false I3=$false O=$abc$51271$n2331 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1110 -.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$abc$51271$n1633 O=$abc$51271$n2334 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111111100000001 -.gate SB_LUT4 I0=init_ram_cnt[1] I1=init_ram_cnt[0] I2=$false I3=$false O=$2\init_ram_cnt[7:0][1] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0110 -.gate SB_LUT4 I0=$2\ring_wr[3:0][1] I1=$2\ring_wr[3:0][3] I2=$false I3=$false O=$abc$51271$n2509 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[1] I2=ring_wr[3] I3=ring_wr[2] O=$abc$51271$n2516 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001011111000000 -.gate SB_LUT4 I0=ring_wr[3] I1=$abc$51271$n1220 I2=$false I3=$false O=$abc$51271$n2518 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 0100 -.gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[1] I2=ring_wr[3] I3=ring_wr[2] O=$abc$51271$n2519 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1110100000000000 -.gate SB_LUT4 I0=$10\ring_rd[3:0][1] I1=$10\ring_rd[3:0][3] I2=$false I3=$false O=$abc$51271$n2529 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51271$n2190 I1=$abc$51271$n2196 I2=KEYBOARD.row_counter[0] I3=KEYBOARD.row_counter[1] O=$abc$51271$n2572 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000000000001 -.gate SB_LUT4 I0=$abc$51271$n2196 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$51271$n2190 O=$abc$51271$n2573 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100000100000000 -.gate SB_LUT4 I0=$abc$51271$n2190 I1=$abc$51271$n2196 I2=KEYBOARD.row_counter[0] I3=KEYBOARD.row_counter[1] O=$abc$51271$n2574 +.param LUT_INIT 11111000 +.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[4] I1=KEYBOARD.is_pressed I2=$abc$19694$n1036 I3=$abc$19694$n769_1 O=$abc$19694$n1035 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000100010000 -.gate SB_LUT4 I0=$abc$51271$n2196 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$51271$n2190 O=$abc$51271$n2575 +.param LUT_INIT 1100101000000000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$19694$n1036 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001010000000000 -.gate SB_LUT4 I0=$abc$51271$n2190 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$51271$n2196 O=$abc$51271$n2576 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$19694$n769_1 I1=KEYBOARD.is_pressed I2=KEYBOARD.kbd_code_hid[5] I3=$abc$19694$n1038 O=$abc$19694$n1533 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100000100000000 -.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=KEYBOARD.row_counter[1] I2=$abc$51271$n2190 I3=$abc$51271$n2196 O=$abc$51271$n2577 +.param LUT_INIT 1111111101000000 +.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[5] I1=KEYBOARD.is_pressed I2=$abc$19694$n1039 I3=$abc$19694$n769_1 O=$abc$19694$n1038 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000000000 -.gate SB_LUT4 I0=$abc$51271$n2190 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$51271$n2196 O=$abc$51271$n2578 +.param LUT_INIT 1100101000000000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$19694$n1039 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001010000000000 -.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=KEYBOARD.row_counter[1] I2=$abc$51271$n2190 I3=$abc$51271$n2196 O=$abc$51271$n2579 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$19694$n769_1 I1=KEYBOARD.is_pressed I2=KEYBOARD.kbd_code_hid[6] I3=$abc$19694$n1041 O=$abc$19694$n1536 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0110000000000000 -.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$false I2=$false I3=$false O=$abc$51271$n5 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$false I2=$false I3=$false O=$abc$51271$n7 +.param LUT_INIT 1111111101000000 +.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[6] I1=KEYBOARD.is_pressed I2=$abc$19694$n1042 I3=$abc$19694$n769_1 O=$abc$19694$n1041 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=LED1 I1=$false I2=$false I3=$false O=COM_DCD +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100101000000000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$19694$n1042 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$51271$n10 I1=$false I2=$false I3=$false O=COM_DSR +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$19694$n769_1 I1=KEYBOARD.is_pressed I2=KEYBOARD.kbd_code_hid[7] I3=$abc$19694$n1044 O=$abc$19694$n1539 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$51271$n12 I1=$false I2=$false I3=$false O=COM_TX +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111101000000 +.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[7] I1=KEYBOARD.is_pressed I2=$abc$19694$n1045_1 I3=$abc$19694$n769_1 O=$abc$19694$n1044 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$51271$n22 I1=$false I2=$false I3=$false O=$abc$51271$n23 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100101000000000 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$19694$n1045_1 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=UART.tx_activity I1=$false I2=$false I3=$false O=$abc$51271$n25 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=I2C.FLT_SDA.counter[2] I3=$abc$19694$n829 O=$abc$19694$n1564 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$51271$n27 I1=$false I2=$false I3=$false O=$abc$51271$n26 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1111111100000001 +.gate SB_LUT4 I0=$abc$19694$n821 I1=$abc$19694$n833 I2=$false I3=$false O=$abc$19694$n1567 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$51271$n30 I1=$false I2=$false I3=$false O=$abc$51271$n31 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1110 +.gate SB_LUT4 I0=$abc$19694$n1423 I1=$abc$19694$n1421 I2=$abc$19694$n1426 I3=$false O=$abc$19694$n1760 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[8] I1=$false I2=$false I3=$false O=$abc$51271$n33 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00000001 +.gate SB_LUT4 I0=$abc$19694$n1423 I1=$abc$19694$n1426 I2=$abc$19694$n1421 I3=$false O=$abc$19694$n1761 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$false I2=$false I3=$false O=$abc$51271$n35 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$19694$n1421 I1=$abc$19694$n1426 I2=$abc$19694$n1423 I3=$false O=$abc$19694$n1762 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[7] I1=$false I2=$false I3=$false O=$abc$51271$n184 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$19694$n1426 I1=$abc$19694$n1421 I2=$abc$19694$n1423 I3=$false O=$abc$19694$n1763 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[6] I1=$false I2=$false I3=$false O=$abc$51271$n185 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$19694$n1423 I1=$abc$19694$n1421 I2=$abc$19694$n1426 I3=$false O=$abc$19694$n1764 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[5] I1=$false I2=$false I3=$false O=$abc$51271$n187 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 00010000 +.gate SB_LUT4 I0=$abc$19694$n1423 I1=$abc$19694$n1421 I2=$abc$19694$n1426 I3=$false O=$abc$19694$n1765 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[4] I1=$false I2=$false I3=$false O=$abc$51271$n188 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$19694$n1421 I1=$abc$19694$n1423 I2=$abc$19694$n1426 I3=$false O=$abc$19694$n1766 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[2] I1=$false I2=$false I3=$false O=$abc$51271$n191 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 01000000 +.gate SB_LUT4 I0=$abc$19694$n1423 I1=$abc$19694$n1421 I2=$abc$19694$n1426 I3=$false O=$abc$19694$n1767 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" -.param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[0] I1=$false I2=$false I3=$false O=$abc$51271$n194 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" +.param LUT_INIT 10000000 +.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$false I2=$false I3=$false O=$abc$19694$n3 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=i2c_input_data_type[3] I1=$false I2=$false I3=$false O=$abc$51271$n214 +.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$false I2=$false I3=$false O=$abc$19694$n5 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=i2c_input_data_type[1] I1=$false I2=$false I3=$false O=$abc$51271$n217 +.gate SB_LUT4 I0=LED1 I1=$false I2=$false I3=$false O=COM_DCD .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=i2c_input_data_type[0] I1=$false I2=$false I3=$false O=$abc$51271$n218 +.gate SB_LUT4 I0=$abc$19694$n14 I1=$false I2=$false I3=$false O=COM_TX .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[7] I1=$false I2=$false I3=$false O=$abc$51271$n221 +.gate SB_LUT4 I0=UART.tx_activity I1=$false I2=$false I3=$false O=$abc$19694$n17 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[6] I1=$false I2=$false I3=$false O=$abc$51271$n222 +.gate SB_LUT4 I0=$abc$19694$n18 I1=$false I2=$false I3=$false O=$abc$19694$n19 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[5] I1=$false I2=$false I3=$false O=$abc$51271$n224 +.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$false I2=$false I3=$false O=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[4] I1=$false I2=$false I3=$false O=$abc$51271$n225 +.gate SB_LUT4 I0=I2C.received_byte[7] I1=$false I2=$false I3=$false O=$abc$19694$n528 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[3] I1=$false I2=$false I3=$false O=$abc$51271$n227 +.gate SB_LUT4 I0=I2C.received_byte[6] I1=$false I2=$false I3=$false O=$abc$19694$n529 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[2] I1=$false I2=$false I3=$false O=$abc$51271$n228 +.gate SB_LUT4 I0=I2C.received_byte[5] I1=$false I2=$false I3=$false O=$abc$19694$n531 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C.received_byte[1] I1=$false I2=$false I3=$false O=$abc$51271$n230 +.gate SB_LUT4 I0=I2C.received_byte[4] I1=$false I2=$false I3=$false O=$abc$19694$n532 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$51271$n1313 I1=$false I2=$false I3=$false O=$abc$51271$n1382 +.gate SB_LUT4 I0=I2C.received_byte[3] I1=$false I2=$false I3=$false O=$abc$19694$n534 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[1] I1=$false I2=$false I3=$false O=$abc$51271$n1915 +.gate SB_LUT4 I0=I2C.received_byte[2] I1=$false I2=$false I3=$false O=$abc$19694$n535 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$51271$n2457 I1=$false I2=$false I3=$false O=$abc$51271$n2011 +.gate SB_LUT4 I0=I2C.received_byte[1] I1=$false I2=$false I3=$false O=$abc$19694$n537 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$51271$n2380 I1=$false I2=$false I3=$false O=$abc$51271$n2379 +.gate SB_LUT4 I0=$abc$19694$n1711 I1=$false I2=$false I3=$false O=$abc$19694$n1310 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$51271$n1491 I1=$false I2=$false I3=$false O=$abc$51271$n2390 +.gate SB_LUT4 I0=$abc$19694$n1306 I1=$false I2=$false I3=$false O=$abc$19694$n1605 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$51271$n2007 I1=$false I2=$false I3=$false O=$abc$51271$n2392 +.gate SB_LUT4 I0=$abc$19694$n1624 I1=$false I2=$false I3=$false O=$abc$19694$n1623 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=KEYBOARD.init_delay_cnt[1] I1=$false I2=$false I3=$false O=$abc$51271$n2396 +.gate SB_LUT4 I0=KEYBOARD.ROWS_EN[0] I1=$false I2=$false I3=$false O=$abc$19694$n1654 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[1] I1=$false I2=$false I3=$false O=$abc$51271$n2397 +.gate SB_LUT4 I0=KEYBOARD.ROWS_EN[1] I1=$false I2=$false I3=$false O=$abc$19694$n1656 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[1] I1=$false I2=$false I3=$false O=$abc$51271$n2448 +.gate SB_LUT4 I0=KEYBOARD.ROWS_EN[2] I1=$false I2=$false I3=$false O=$abc$19694$n1658 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=$false I2=$false I3=$false O=$abc$51271$n2449 +.gate SB_LUT4 I0=KEYBOARD.ROWS_EN[3] I1=$false I2=$false I3=$false O=$abc$19694$n1660 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=$false I2=$false I3=$false O=$abc$51271$n2450 +.gate SB_LUT4 I0=KEYBOARD.ROWS_EN[4] I1=$false I2=$false I3=$false O=$abc$19694$n1662 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[4] I1=$false I2=$false I3=$false O=$abc$51271$n2451 +.gate SB_LUT4 I0=KEYBOARD.ROWS_EN[5] I1=$false I2=$false I3=$false O=$abc$19694$n1664 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[5] I1=$false I2=$false I3=$false O=$abc$51271$n2452 +.gate SB_LUT4 I0=KEYBOARD.ROWS_EN[6] I1=$false I2=$false I3=$false O=$abc$19694$n1666 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[6] I1=$false I2=$false I3=$false O=$abc$51271$n2453 +.gate SB_LUT4 I0=KEYBOARD.ROWS_EN[7] I1=$false I2=$false I3=$false O=$abc$19694$n1668 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=I2C_INPUT_LEN[7] I1=$false I2=$false I3=$false O=$abc$51271$n2454 +.gate SB_LUT4 I0=KEYBOARD.ROWS_EN[8] I1=$false I2=$false I3=$false O=$abc$19694$n1670 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$51271$n2005 I1=$false I2=$false I3=$false O=$abc$51271$n2455 +.gate SB_LUT4 I0=KEYBOARD.ROWS_EN[9] I1=$false I2=$false I3=$false O=$abc$19694$n1672 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$51271$n2009 I1=$false I2=$false I3=$false O=$abc$51271$n2456 +.gate SB_LUT4 I0=KEYBOARD.ROWS_EN[10] I1=$false I2=$false I3=$false O=$abc$19694$n1674 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=init_ram_cnt[3] I1=$false I2=$false I3=$false O=$abc$51271$n2458 +.gate SB_LUT4 I0=KEYBOARD.ROWS_EN[11] I1=$false I2=$false I3=$false O=$abc$19694$n1676 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=i2c_input_data_type[2] I1=$false I2=$false I3=$false O=$abc$51271$n2461 +.gate SB_LUT4 I0=KEYBOARD.ROWS_EN[12] I1=$false I2=$false I3=$false O=$abc$19694$n1678 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$51271$n2381 I1=$false I2=$false I3=$false O=$abc$51271$n2463 +.gate SB_LUT4 I0=KEYBOARD.ROWS_EN[13] I1=$false I2=$false I3=$false O=$abc$19694$n1680 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$51271$n2464 I1=$false I2=$false I3=$false O=$abc$51271$n2465 +.gate SB_LUT4 I0=KEYBOARD.ROWS_EN[14] I1=$false I2=$false I3=$false O=$abc$19694$n1682 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$51271$n2466 I1=$false I2=$false I3=$false O=$abc$51271$n2467 +.gate SB_LUT4 I0=KEYBOARD.ROWS_EN[15] I1=$false I2=$false I3=$false O=$abc$19694$n1684 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$51271$n1494 I1=$false I2=$false I3=$false O=$abc$51271$n2468 +.gate SB_LUT4 I0=$abc$19694$n1304 I1=$false I2=$false I3=$false O=$abc$19694$n1709 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$51271$n1496 I1=$false I2=$false I3=$false O=$abc$51271$n2469 +.gate SB_LUT4 I0=$abc$19694$n1308 I1=$false I2=$false I3=$false O=$abc$19694$n1710 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$51271$n1499 I1=$false I2=$false I3=$false O=$abc$51271$n2470 +.gate SB_LUT4 I0=$abc$19694$n1625 I1=$false I2=$false I3=$false O=$abc$19694$n1712 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$51271$n1500 I1=$false I2=$false I3=$false O=$abc$51271$n2471 +.gate SB_LUT4 I0=$abc$19694$n1713 I1=$false I2=$false I3=$false O=$abc$19694$n1714 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$51271$n1493 I1=$false I2=$false I3=$false O=$abc$51271$n2472 +.gate SB_LUT4 I0=$abc$19694$n1715 I1=$false I2=$false I3=$false O=$abc$19694$n1716 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$51271$n1497 I1=$false I2=$false I3=$false O=$abc$51271$n2473 +.gate SB_LUT4 I0=CLK I1=$false I2=$false I3=$false O=REPORT_DATA.clk .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 -.gate SB_LUT4 I0=$abc$51271$n810 I1=$abc$51271$n813 I2=I2C.byte_counter[3] I3=I2C.byte_counter[2] O=$abc$51271$n1450 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000101011000000 -.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.is_read I2=I2C.byte_counter[1] I3=$abc$51271$n694 O=$abc$51271$n1451_1 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001000000000000 -.gate SB_LUT4 I0=$abc$51271$n748 I1=$abc$51271$n1451_1 I2=$abc$51271$n1450 I3=$abc$51271$n759 O=$abc$51271$n1010 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$abc$51271$n824 I1=$abc$51271$n830 I2=$abc$51271$n832 I3=I2C.byte_counter[0] O=$abc$51271$n1453_1 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000111101110111 -.gate SB_LUT4 I0=$abc$51271$n1453_1 I1=$abc$51271$n814 I2=I2C.byte_counter[1] I3=$abc$51271$n820 O=$abc$51271$n1454_1 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111111101110000 -.gate SB_LUT4 I0=$abc$51271$n809 I1=$abc$51271$n1454_1 I2=$abc$51271$n834_1 I3=$abc$51271$n737 O=$abc$51271$n1455 +.gate SB_LUT4 I0=$abc$19694$n613 I1=$abc$19694$n609 I2=$abc$19694$n603 I3=$abc$19694$n611 O=$abc$19694$n1096_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111000011111111 -.gate SB_LUT4 I0=I2C_INPUT_LEN[0] I1=I2C_INPUT_LEN[1] I2=i2c_input_data_type[1] I3=$abc$51271$n855 O=$abc$51271$n1457_1 +.param LUT_INIT 1100110011001010 +.gate SB_LUT4 I0=$abc$19694$n525 I1=$abc$19694$n1096_1 I2=$abc$19694$n563 I3=$abc$19694$n549 O=$abc$19694$n1097 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1011110111111111 -.gate SB_LUT4 I0=i2c_input_data_type[0] I1=$abc$51271$n1457_1 I2=$abc$51271$n811 I3=$false O=$abc$51271$n1458 +.param LUT_INIT 0000000001001111 +.gate SB_LUT4 I0=$abc$19694$n597 I1=$abc$19694$n592 I2=i2c_input_data_type[2] I3=$false O=$abc$19694$n1098_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 10111111 -.gate SB_LUT4 I0=$abc$51271$n921 I1=$abc$51271$n931 I2=$abc$51271$n949 I3=$abc$51271$n27 O=$abc$51271$n1459 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111000000010001 -.gate SB_LUT4 I0=$abc$51271$n943_1 I1=$abc$51271$n915 I2=$abc$51271$n924 I3=$abc$51271$n1459 O=$abc$51271$n1320 +.param LUT_INIT 00111010 +.gate SB_LUT4 I0=$abc$19694$n1101 I1=$abc$19694$n594_1 I2=i2c_input_data_type[2] I3=$abc$19694$n1098_1 O=$abc$19694$n1708 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.report[4][2] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.report[4][5] O=$abc$51271$n1461 +.param LUT_INIT 1000110011001111 +.gate SB_LUT4 I0=$abc$19694$n588 I1=$abc$19694$n586 I2=$abc$19694$n585 I3=$abc$19694$n584 O=$abc$19694$n1100_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[6] I1=KEYBOARD.report[4][6] I2=KEYBOARD.kbd_code_hid[7] I3=KEYBOARD.report[4][7] O=$abc$51271$n1462 +.param LUT_INIT 0000111111111011 +.gate SB_LUT4 I0=$abc$19694$n566 I1=$abc$19694$n1104_1 I2=$abc$19694$n585 I3=$abc$19694$n1100_1 O=$abc$19694$n1101 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.report[4][3] I2=$abc$51271$n1462 I3=$abc$51271$n1461 O=$abc$51271$n1463 +.param LUT_INIT 1111111000001111 +.gate SB_LUT4 I0=I2C.received_byte[3] I1=I2C_COUNTER[0] I2=I2C.received_byte[1] I3=I2C.received_byte[2] O=$abc$19694$n1102_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000000000 -.gate SB_LUT4 I0=$abc$51271$n962 I1=$abc$51271$n1463 I2=$abc$51271$n915 I3=$abc$51271$n27 O=$abc$51271$n1464 +.param LUT_INIT 0001000000000100 +.gate SB_LUT4 I0=I2C_COUNTER[3] I1=I2C_COUNTER[1] I2=$abc$19694$n575 I3=$abc$19694$n578 O=$abc$19694$n1103 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=$abc$51271$n906 I1=$abc$51271$n1408 I2=$abc$51271$n902 I3=$abc$51271$n915 O=$abc$51271$n1465 +.param LUT_INIT 0001000000000000 +.gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$19694$n1102_1 I2=$abc$19694$n571 I3=$abc$19694$n1103 O=$abc$19694$n1104_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$51271$n1465 I1=$abc$51271$n976 I2=$abc$51271$n27 I3=$abc$51271$n956 O=$abc$51271$n1359 +.param LUT_INIT 1011111100000000 +.gate SB_LUT4 I0=$abc$19694$n1644 I1=$abc$19694$n1702 I2=$abc$19694$n560 I3=$abc$19694$n551_1 O=$abc$19694$n1105 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0011101000000000 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.report[1][4] I2=KEYBOARD.report[1][0] I3=KEYBOARD.kbd_code_hid[0] O=$abc$51271$n1467_1 +.param LUT_INIT 1100000100000000 +.gate SB_LUT4 I0=$abc$19694$n1708 I1=$abc$19694$n521 I2=$abc$19694$n1702 I3=$abc$19694$n1105 O=$abc$19694$n1106_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.report[1][2] I2=KEYBOARD.kbd_code_hid[6] I3=KEYBOARD.report[1][6] O=$abc$51271$n1468 +.param LUT_INIT 0100111100000000 +.gate SB_LUT4 I0=$abc$19694$n1702 I1=$abc$19694$n524 I2=$abc$19694$n1106_1 I3=$abc$19694$n625 O=$abc$19694$n1107_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1001000000001001 -.gate SB_LUT4 I0=$abc$51271$n988 I1=$abc$51271$n1468 I2=$abc$51271$n1467_1 I3=$abc$51271$n990 O=$abc$51271$n1469 +.param LUT_INIT 1001000000000000 +.gate SB_LUT4 I0=$abc$19694$n755 I1=KEYBOARD.temp[3] I2=$abc$19694$n649 I3=$abc$19694$n650 O=$abc$19694$n1108 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$abc$51271$n1469 I1=KEYBOARD.kbd_code_hid[3] I2=KEYBOARD.report[1][3] I3=$abc$51271$n904 O=$abc$51271$n1470 +.param LUT_INIT 1100111101010000 +.gate SB_LUT4 I0=KEYBOARD.temp[2] I1=$abc$19694$n649 I2=$abc$19694$n643 I3=$abc$19694$n1108 O=$abc$19694$n1109_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000001111101 -.gate SB_LUT4 I0=$abc$51271$n1116_1 I1=$abc$51271$n269 I2=$abc$51271$n1120 I3=KEYBOARD.report[0][0] O=$abc$51271$n1471 +.param LUT_INIT 1111000111111111 +.gate SB_LUT4 I0=KEYBOARD.temp[5] I1=KEYBOARD.temp[7] I2=$abc$19694$n649 I3=$abc$19694$n650 O=$abc$19694$n1111 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100010111111111 -.gate SB_LUT4 I0=$abc$51271$n1121 I1=KEYBOARD.report[4][0] I2=$abc$51271$n1117 I3=$abc$51271$n1112 O=$abc$51271$n1472_1 +.param LUT_INIT 1100111110100000 +.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=$abc$19694$n777 I2=KEYBOARD.report_adress_rd[3] I3=$abc$19694$n771_1 O=$abc$19694$n1115_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=$abc$51271$n1125 I1=$abc$51271$n1110 I2=$abc$51271$n1120 I3=KEYBOARD.report[1][0] O=$abc$51271$n1473 +.param LUT_INIT 1111000010001000 +.gate SB_LUT4 I0=$abc$19694$n1115_1 I1=I2C.FLT_SCL.RESET I2=$abc$19694$n768_1 I3=$abc$19694$n773 O=$abc$19694$n1116_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0101001111111111 -.gate SB_LUT4 I0=$abc$51271$n1120 I1=$abc$51271$n1472_1 I2=$abc$51271$n1473 I3=$abc$51271$n1471 O=$abc$51271$n1474 +.param LUT_INIT 1000000000000000 +.gate SB_LUT4 I0=$abc$19694$n767 I1=$abc$19694$n1116_1 I2=$abc$19694$n790 I3=KEYBOARD.report_wr_en O=$abc$19694$n1117 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0001111111111111 -.gate SB_LUT4 I0=$abc$51271$n1474 I1=$abc$51271$n1126 I2=$false I3=$false O=$3\report_data_wr[7:0][0] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" -.param LUT_INIT 1000 -.gate SB_LUT4 I0=$abc$51271$n1116_1 I1=$abc$51271$n269 I2=$abc$51271$n1120 I3=KEYBOARD.report[0][2] O=$abc$51271$n1476 +.param LUT_INIT 0000111101110111 +.gate SB_LUT4 I0=$abc$19694$n769_1 I1=$abc$19694$n812 I2=$abc$19694$n774_1 I3=KEYBOARD.isr_internal O=$abc$19694$n1118 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100010111111111 -.gate SB_LUT4 I0=$abc$51271$n1118 I1=KEYBOARD.report[3][2] I2=KEYBOARD.report[4][2] I3=$abc$51271$n1121 O=$abc$51271$n1477 +.param LUT_INIT 0000101111111111 +.gate SB_LUT4 I0=$abc$19694$n771_1 I1=$abc$19694$n1006 I2=$abc$19694$n768_1 I3=$abc$19694$n1118 O=$abc$19694$n1119 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$51271$n1121 I1=KEYBOARD.report[4][5] I2=$abc$51271$n1172 I3=$abc$51271$n1173 O=$abc$51271$n1484 +.param LUT_INIT 0001000011111111 +.gate SB_LUT4 I0=KEYBOARD.report_wr_en I1=$abc$19694$n1119 I2=$abc$19694$n767 I3=$abc$19694$n30 O=$abc$19694$n1120 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011100000000 -.gate SB_LUT4 I0=$abc$51271$n1484 I1=$abc$51271$n1512 I2=$abc$51271$n1120 I3=$abc$51271$n1126 O=$3\report_data_wr[7:0][5] +.param LUT_INIT 1011111100000000 +.gate SB_LUT4 I0=$abc$19694$n791 I1=KEYBOARD.IS_RAM_INIT I2=$abc$19694$n1120 I3=$abc$19694$n1117 O=$abc$19694$n297 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100011100000000 -.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[2] I2=wr_cnt[3] I3=wr_cnt[1] O=$abc$51271$n1486 +.param LUT_INIT 1110000000000000 +.gate SB_LUT4 I0=I2C_COUNTER[0] I1=i2c_input_data_type[3] I2=I2C_COUNTER[1] I3=i2c_input_data_type[2] O=$abc$19694$n1122 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=KEYBOARD.report[0][6] I1=KEYBOARD.report[1][6] I2=$abc$51271$n269 I3=$abc$51271$n1486 O=$abc$51271$n1487 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100101011111111 -.gate SB_LUT4 I0=$abc$51271$n1178 I1=$abc$51271$n1126 I2=$abc$51271$n1487 I3=$false O=$3\report_data_wr[7:0][6] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01000000 -.gate SB_LUT4 I0=KEYBOARD.report[5][7] I1=KEYBOARD.report[1][7] I2=$abc$51271$n1120 I3=$abc$51271$n260 O=$abc$51271$n1489 +.gate SB_LUT4 I0=$abc$19694$n578 I1=$abc$19694$n568 I2=i2c_input_data_type[1] I3=i2c_input_data_type[0] O=$abc$19694$n1123 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100101011001100 -.gate SB_LUT4 I0=KEYBOARD.temp[1] I1=KEYBOARD.temp[2] I2=$abc$51271$n394 I3=$abc$51271$n396 O=$abc$51271$n1494_1 +.param LUT_INIT 1100000000001010 +.gate SB_LUT4 I0=i2c_input_data_type[1] I1=I2C_COUNTER[3] I2=$abc$19694$n1123 I3=$abc$19694$n597 O=$abc$19694$n1124 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000101011000000 -.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[0] I1=KEYBOARD.temp[3] I2=$abc$51271$n396 I3=$abc$51271$n394 O=$abc$51271$n1496_1 +.param LUT_INIT 1001000000000000 +.gate SB_LUT4 I0=$abc$19694$n1122 I1=$abc$19694$n1124 I2=$false I3=$false O=$abc$19694$n1125 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0011111111110101 -.gate SB_LUT4 I0=KEYBOARD.temp[6] I1=KEYBOARD.temp[7] I2=$abc$51271$n394 I3=$abc$51271$n396 O=$abc$51271$n1497_1 +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" +.param LUT_INIT 1000 +.gate SB_LUT4 I0=$abc$19694$n935_1 I1=$abc$19694$n941_1 I2=$abc$19694$n931_1 I3=$abc$19694$n940_1 O=$abc$19694$n1126_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0011111101010000 -.gate SB_LUT4 I0=KEYBOARD.temp[4] I1=KEYBOARD.temp[5] I2=$abc$51271$n394 I3=$abc$51271$n1497_1 O=$abc$51271$n1498 +.param LUT_INIT 1110111000001111 +.gate SB_LUT4 I0=$abc$19694$n1126_1 I1=$abc$19694$n698 I2=$abc$19694$n1130_1 I3=$abc$19694$n701 O=$abc$19694$n1127 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111001100000101 -.gate SB_LUT4 I0=$abc$51271$n1494_1 I1=$abc$51271$n1496_1 I2=$abc$51271$n1498 I3=$abc$51271$n383 O=$abc$51271$n1499_1 +.param LUT_INIT 0000110100000000 +.gate SB_LUT4 I0=$abc$19694$n927 I1=$abc$19694$n928 I2=$abc$19694$n926 I3=$abc$19694$n582 O=$abc$19694$n1128_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111000001000100 -.gate SB_LUT4 I0=$abc$51271$n734 I1=I2C.FLT_SCL.RESET I2=$abc$51271$n790 I3=$abc$51271$n837 O=$abc$51271$n1500_1 +.param LUT_INIT 0000110000001010 +.gate SB_LUT4 I0=$abc$19694$n925 I1=$abc$19694$n1128_1 I2=$abc$19694$n931_1 I3=$abc$19694$n929_1 O=$abc$19694$n1129 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0100000000000000 -.gate SB_LUT4 I0=$abc$51271$n731 I1=$abc$51271$n740 I2=$abc$51271$n738 I3=$abc$51271$n922 O=$abc$51271$n1501 +.param LUT_INIT 0100010011110000 +.gate SB_LUT4 I0=$abc$19694$n1129 I1=$abc$19694$n118 I2=$abc$19694$n933 I3=$abc$19694$n698 O=$abc$19694$n1130_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1111111101000000 -.gate SB_LUT4 I0=$abc$51271$n1501 I1=I2C.is_read I2=$abc$51271$n1500_1 I3=$abc$51271$n1455 O=$abc$51271$n1086 +.param LUT_INIT 0000110100000000 +.gate SB_LUT4 I0=$abc$19694$n702_1 I1=$abc$19694$n961_1 I2=$abc$19694$n698 I3=$abc$19694$n701 O=$abc$19694$n1131 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0111000000000000 -.gate SB_LUT4 I0=KEYBOARD.report[5][2] I1=KEYBOARD.report[1][2] I2=$abc$51271$n1120 I3=$abc$51271$n260 O=$abc$51271$n1503 +.param LUT_INIT 0011010111111111 +.gate SB_LUT4 I0=$abc$19694$n960_1 I1=$abc$19694$n1131 I2=$abc$19694$n583 I3=$abc$19694$n954_1 O=$abc$19694$n1332 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100101011001100 -.gate SB_LUT4 I0=$abc$51271$n1114 I1=KEYBOARD.report[2][2] I2=kbd_report[6][2] I3=$abc$51271$n1119_1 O=$abc$51271$n1504 +.param LUT_INIT 1111010001000100 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.kbd_code_hid[1] I3=$abc$19694$n769_1 O=$abc$19694$n1133 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$51271$n1504 I1=$abc$51271$n1477 I2=$abc$51271$n1125 I3=$abc$51271$n1120 O=$abc$51271$n1505_1 +.param LUT_INIT 0000010011110000 +.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[1] I1=$abc$19694$n769_1 I2=KEYBOARD.is_pressed I3=$abc$19694$n1133 O=$abc$19694$n1134 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111000010001000 -.gate SB_LUT4 I0=$abc$51271$n1111 I1=$abc$51271$n1503 I2=$abc$51271$n1120 I3=$abc$51271$n1505_1 O=$abc$51271$n1506_1 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0011011111110000 -.gate SB_LUT4 I0=$abc$51271$n1476 I1=$abc$51271$n1506_1 I2=$abc$51271$n1126 I3=$false O=$3\report_data_wr[7:0][2] +.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19694$n1134 I2=$abc$19694$n998 I3=$false O=$abc$19694$n1444 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01110000 -.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[2] I2=wr_cnt[3] I3=wr_cnt[1] O=$abc$51271$n1510 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000000100000000 -.gate SB_LUT4 I0=KEYBOARD.report[0][5] I1=KEYBOARD.report[1][5] I2=$abc$51271$n269 I3=$abc$51271$n1510 O=$abc$51271$n1511_1 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100101011001100 -.gate SB_LUT4 I0=$abc$51271$n1110 I1=$abc$51271$n1511_1 I2=$abc$51271$n1171 I3=$abc$51271$n1510 O=$abc$51271$n1512 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100110001110000 -.gate SB_LUT4 I0=$abc$51271$n1116_1 I1=$abc$51271$n269 I2=$abc$51271$n1120 I3=KEYBOARD.report[0][7] O=$abc$51271$n1513 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 1100010111111111 -.gate SB_LUT4 I0=$abc$51271$n1114 I1=KEYBOARD.report[2][7] I2=KEYBOARD.report[4][7] I3=$abc$51271$n1121 O=$abc$51271$n1514_1 +.param LUT_INIT 11110100 +.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$abc$19694$n769_1 O=$abc$19694$n1136 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0000011101110111 -.gate SB_LUT4 I0=$abc$51271$n1514_1 I1=$abc$51271$n1191 I2=$abc$51271$n1125 I3=$abc$51271$n1120 O=$abc$51271$n1515_1 +.param LUT_INIT 0000010011110000 +.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[2] I1=$abc$19694$n769_1 I2=KEYBOARD.is_pressed I3=$abc$19694$n1136 O=$abc$19694$n1525 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111000010001000 -.gate SB_LUT4 I0=$abc$51271$n1111 I1=$abc$51271$n1489 I2=$abc$51271$n1120 I3=$abc$51271$n1515_1 O=$abc$51271$n1516 +.gate SB_LUT4 I0=KEYBOARD.temp[6] I1=$abc$19694$n643 I2=$abc$19694$n1111 I3=$abc$19694$n649 O=$abc$19694$n1138 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" -.param LUT_INIT 0011011111110000 -.gate SB_LUT4 I0=$abc$51271$n1516 I1=$abc$51271$n1513 I2=$abc$51271$n1126 I3=$false O=$3\report_data_wr[7:0][7] +.param LUT_INIT 0011000001111111 +.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[0] I1=KEYBOARD.temp[4] I2=$abc$19694$n643 I3=$false O=$abc$19694$n1139 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" -.param LUT_INIT 01110000 -.gate SB_CARRY CI=$abc$51271$n2463 CO=$auto$alumacc.cc:474:replace_alu$9510.C[2] I0=$false I1=$abc$51271$n2380 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:30|uart.v:39|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9510.C[2] CO=$auto$alumacc.cc:474:replace_alu$9510.C[3] I0=$false I1=$abc$51271$n2465 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:30|uart.v:39|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9510.C[3] CO=$abc$51271$n2189 I0=$false I1=$abc$51271$n2467 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:30|uart.v:39|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$abc$51271$n230 CO=$auto$alumacc.cc:474:replace_alu$9515.C[3] I0=$true I1=$abc$51271$n228 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9515.C[3] CO=$auto$alumacc.cc:474:replace_alu$9515.C[4] I0=$false I1=$abc$51271$n227 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9515.C[4] CO=$auto$alumacc.cc:474:replace_alu$9515.C[5] I0=$false I1=$abc$51271$n225 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9515.C[5] CO=$auto$alumacc.cc:474:replace_alu$9515.C[6] I0=$false I1=$abc$51271$n224 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9515.C[6] CO=$auto$alumacc.cc:474:replace_alu$9515.C[7] I0=$false I1=$abc$51271$n222 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9515.C[7] CO=$abc$51271$n2418 I0=$false I1=$abc$51271$n221 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$abc$51271$n2448 CO=$auto$alumacc.cc:474:replace_alu$9520.C[3] I0=$false I1=$abc$51271$n2449 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:243|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9520.C[3] CO=$auto$alumacc.cc:474:replace_alu$9520.C[4] I0=$false I1=$abc$51271$n2450 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:243|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9520.C[4] CO=$auto$alumacc.cc:474:replace_alu$9520.C[5] I0=$false I1=$abc$51271$n2451 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:243|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9520.C[5] CO=$auto$alumacc.cc:474:replace_alu$9520.C[6] I0=$false I1=$abc$51271$n2452 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:243|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9520.C[6] CO=$auto$alumacc.cc:474:replace_alu$9520.C[7] I0=$false I1=$abc$51271$n2453 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:243|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9520.C[7] CO=$abc$51271$n2419 I0=$false I1=$abc$51271$n2454 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:243|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$abc$51271$n2455 CO=$auto$alumacc.cc:474:replace_alu$9530.C[2] I0=$false I1=$abc$51271$n2392 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:104|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9530.C[2] CO=$auto$alumacc.cc:474:replace_alu$9530.C[3] I0=$false I1=$abc$51271$n2456 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:104|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9530.C[3] CO=$abc$51271$n1177 I0=$false I1=$abc$51271$n2457 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:104|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$abc$51271$n194 CO=$auto$alumacc.cc:474:replace_alu$9535.C[2] I0=$true I1=$abc$51271$n1915 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:87|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9535.C[2] CO=$auto$alumacc.cc:474:replace_alu$9535.C[3] I0=$false I1=$abc$51271$n191 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:87|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9535.C[3] CO=$auto$alumacc.cc:474:replace_alu$9535.C[4] I0=$true I1=$abc$51271$n2458 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:87|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9535.C[4] CO=$auto$alumacc.cc:474:replace_alu$9535.C[5] I0=$false I1=$abc$51271$n188 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:87|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9535.C[5] CO=$auto$alumacc.cc:474:replace_alu$9535.C[6] I0=$false I1=$abc$51271$n187 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:87|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9535.C[6] CO=$auto$alumacc.cc:474:replace_alu$9535.C[7] I0=$false I1=$abc$51271$n185 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:87|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9535.C[7] CO=$abc$51271$n2420 I0=$false I1=$abc$51271$n184 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:87|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=init_ram_cnt[1] CO=$auto$alumacc.cc:474:replace_alu$9546.C[3] I0=init_ram_cnt[2] I1=$true -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9546.C[3] CO=$auto$alumacc.cc:474:replace_alu$9546.C[4] I0=init_ram_cnt[3] I1=$false -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9546.C[4] CO=$auto$alumacc.cc:474:replace_alu$9546.C[5] I0=init_ram_cnt[4] I1=$true -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9546.C[5] CO=$auto$alumacc.cc:474:replace_alu$9546.C[6] I0=init_ram_cnt[5] I1=$false -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9546.C[6] CO=$auto$alumacc.cc:474:replace_alu$9546.C[7] I0=init_ram_cnt[6] I1=$true -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9546.C[7] CO=$abc$51271$n22 I0=init_ram_cnt[7] I1=$false -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$abc$51271$n218 CO=$auto$alumacc.cc:474:replace_alu$9553.C[2] I0=$false I1=$abc$51271$n217 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:225|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9553.C[2] CO=$auto$alumacc.cc:474:replace_alu$9553.C[3] I0=$true I1=$abc$51271$n2461 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:225|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9553.C[3] CO=$abc$51271$n2423 I0=$false I1=$abc$51271$n214 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:225|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$abc$51271$n230 CO=$auto$alumacc.cc:474:replace_alu$9564.C[3] I0=$false I1=$abc$51271$n228 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9564.C[3] CO=$auto$alumacc.cc:474:replace_alu$9564.C[4] I0=$false I1=$abc$51271$n227 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9564.C[4] CO=$auto$alumacc.cc:474:replace_alu$9564.C[5] I0=$false I1=$abc$51271$n225 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9564.C[5] CO=$auto$alumacc.cc:474:replace_alu$9564.C[6] I0=$false I1=$abc$51271$n224 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9564.C[6] CO=$auto$alumacc.cc:474:replace_alu$9564.C[7] I0=$false I1=$abc$51271$n222 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9564.C[7] CO=$abc$51271$n2426 I0=$false I1=$abc$51271$n221 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$51271$n2463 I3=$true O=$abc$51271$n2359 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:30|uart.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$abc$51271$n2463 CO=$auto$alumacc.cc:474:replace_alu$9577.C[2] I0=$false I1=$abc$51271$n2380 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:30|uart.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$51271$n2465 I3=$auto$alumacc.cc:474:replace_alu$9577.C[2] O=$abc$51271$n2345 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:30|uart.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=ring_wr[0] I3=$false O=$abc$51271$n1862 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:100|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=ring_wr[0] CO=$auto$alumacc.cc:474:replace_alu$9580.C[2] I0=$false I1=ring_wr[1] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:100|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=ring_wr[2] I3=$auto$alumacc.cc:474:replace_alu$9580.C[2] O=$abc$51271$n1868 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:100|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9580.C[2] CO=$auto$alumacc.cc:474:replace_alu$9580.C[3] I0=$false I1=ring_wr[2] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:100|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=ring_wr[3] I3=$auto$alumacc.cc:474:replace_alu$9580.C[3] O=$abc$51271$n1871 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:100|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9580.C[3] CO=$auto$alumacc.cc:474:replace_alu$9580.C[4] I0=$false I1=ring_wr[3] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:100|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$alumacc.cc:474:replace_alu$9580.C[4] O=$abc$51271$n1451 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:100|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=wr_cnt[0] I3=$false O=$abc$51271$n1854 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=wr_cnt[0] CO=$auto$alumacc.cc:474:replace_alu$9583.C[2] I0=$false I1=wr_cnt[1] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[2] I3=$auto$alumacc.cc:474:replace_alu$9583.C[2] O=$abc$51271$n1857 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9583.C[2] CO=$auto$alumacc.cc:474:replace_alu$9583.C[3] I0=$false I1=wr_cnt[2] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[3] I3=$auto$alumacc.cc:474:replace_alu$9583.C[3] O=$abc$51271$n1859 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=ring_rd[0] I3=$false O=$abc$51271$n1772 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:178|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=ring_rd[0] CO=$auto$alumacc.cc:474:replace_alu$9586.C[2] I0=$false I1=ring_rd[1] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:178|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=ring_rd[2] I3=$auto$alumacc.cc:474:replace_alu$9586.C[2] O=$abc$51271$n1778 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:178|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9586.C[2] CO=$auto$alumacc.cc:474:replace_alu$9586.C[3] I0=$false I1=ring_rd[2] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:178|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=ring_rd[3] I3=$auto$alumacc.cc:474:replace_alu$9586.C[3] O=$abc$51271$n1781 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:178|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=report_data_radr[0] I3=$false O=$add$top.v:182$126_Y[0] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:182|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=report_data_radr[0] CO=$auto$alumacc.cc:474:replace_alu$9589.C[2] I0=$false I1=report_data_radr[1] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:182|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[2] I3=$auto$alumacc.cc:474:replace_alu$9589.C[2] O=$abc$51271$n1785 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:182|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9589.C[2] CO=$auto$alumacc.cc:474:replace_alu$9589.C[3] I0=$false I1=report_data_radr[2] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:182|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[3] I3=$auto$alumacc.cc:474:replace_alu$9589.C[3] O=$abc$51271$n1788 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:182|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9589.C[3] CO=$auto$alumacc.cc:474:replace_alu$9589.C[4] I0=$false I1=report_data_radr[3] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:182|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[4] I3=$auto$alumacc.cc:474:replace_alu$9589.C[4] O=$abc$51271$n1791 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:182|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9589.C[4] CO=$auto$alumacc.cc:474:replace_alu$9589.C[5] I0=$false I1=report_data_radr[4] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:182|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[5] I3=$auto$alumacc.cc:474:replace_alu$9589.C[5] O=$abc$51271$n1794 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:182|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9589.C[5] CO=$auto$alumacc.cc:474:replace_alu$9589.C[6] I0=$false I1=report_data_radr[5] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:182|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[6] I3=$auto$alumacc.cc:474:replace_alu$9589.C[6] O=$abc$51271$n1797 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:182|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9589.C[6] CO=$auto$alumacc.cc:474:replace_alu$9589.C[7] I0=$false I1=report_data_radr[6] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:182|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[7] I3=$auto$alumacc.cc:474:replace_alu$9589.C[7] O=$abc$51271$n1800 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:182|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=int_tmr[0] I3=$false O=$13\int_tmr[14:0][0] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[10] I3=$auto$alumacc.cc:474:replace_alu$9592.C[10] O=$13\int_tmr[14:0][10] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9592.C[10] CO=$auto$alumacc.cc:474:replace_alu$9592.C[11] I0=$false I1=int_tmr[10] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[11] I3=$auto$alumacc.cc:474:replace_alu$9592.C[11] O=$13\int_tmr[14:0][11] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9592.C[11] CO=$auto$alumacc.cc:474:replace_alu$9592.C[12] I0=$false I1=int_tmr[11] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[12] I3=$auto$alumacc.cc:474:replace_alu$9592.C[12] O=$13\int_tmr[14:0][12] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9592.C[12] CO=$auto$alumacc.cc:474:replace_alu$9592.C[13] I0=$false I1=int_tmr[12] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[13] I3=$auto$alumacc.cc:474:replace_alu$9592.C[13] O=$13\int_tmr[14:0][13] +.param LUT_INIT 11001010 +.gate SB_LUT4 I0=$abc$19694$n1139 I1=$abc$19694$n1138 I2=$abc$19694$n1111 I3=$abc$19694$n1109_1 O=$abc$19694$n1140 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9592.C[13] CO=$auto$alumacc.cc:474:replace_alu$9592.C[14] I0=$false I1=int_tmr[13] +.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" +.param LUT_INIT 1100011100000000 +.gate SB_CARRY CI=$abc$19694$n1712 CO=$auto$alumacc.cc:474:replace_alu$5172.C[2] I0=$false I1=$abc$19694$n1624 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[14] I3=$auto$alumacc.cc:474:replace_alu$9592.C[14] O=$13\int_tmr[14:0][14] +.attr src "top.v:29|uart.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5172.C[2] CO=$auto$alumacc.cc:474:replace_alu$5172.C[3] I0=$false I1=$abc$19694$n1714 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=int_tmr[0] CO=$auto$alumacc.cc:474:replace_alu$9592.C[2] I0=$false I1=int_tmr[1] +.attr src "top.v:29|uart.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5172.C[3] CO=$abc$19694$n1420 I0=$false I1=$abc$19694$n1716 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[2] I3=$auto$alumacc.cc:474:replace_alu$9592.C[2] O=$13\int_tmr[14:0][2] +.attr src "top.v:29|uart.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$19694$n1709 CO=$auto$alumacc.cc:474:replace_alu$5177.C[2] I0=$false I1=$abc$19694$n1605 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9592.C[2] CO=$auto$alumacc.cc:474:replace_alu$9592.C[3] I0=$false I1=int_tmr[2] +.attr src "top.v:20|i2c_slave.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5177.C[2] CO=$auto$alumacc.cc:474:replace_alu$5177.C[3] I0=$false I1=$abc$19694$n1710 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[3] I3=$auto$alumacc.cc:474:replace_alu$9592.C[3] O=$13\int_tmr[14:0][3] +.attr src "top.v:20|i2c_slave.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5177.C[3] CO=$abc$19694$n118 I0=$false I1=$abc$19694$n1711 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9592.C[3] CO=$auto$alumacc.cc:474:replace_alu$9592.C[4] I0=$false I1=int_tmr[3] +.attr src "top.v:20|i2c_slave.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$19694$n525 CO=$auto$alumacc.cc:474:replace_alu$5182.C[2] I0=$false I1=$abc$19694$n524 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[4] I3=$auto$alumacc.cc:474:replace_alu$9592.C[4] O=$13\int_tmr[14:0][4] +.attr src "top.v:217|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5182.C[2] CO=$auto$alumacc.cc:474:replace_alu$5182.C[3] I0=$true I1=$abc$19694$n1708 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9592.C[4] CO=$auto$alumacc.cc:474:replace_alu$9592.C[5] I0=$false I1=int_tmr[4] +.attr src "top.v:217|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5182.C[3] CO=$abc$19694$n1644 I0=$false I1=$abc$19694$n521 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[5] I3=$auto$alumacc.cc:474:replace_alu$9592.C[5] O=$13\int_tmr[14:0][5] +.attr src "top.v:217|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$19694$n537 CO=$auto$alumacc.cc:474:replace_alu$5193.C[3] I0=$false I1=$abc$19694$n535 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9592.C[5] CO=$auto$alumacc.cc:474:replace_alu$9592.C[6] I0=$false I1=int_tmr[5] +.attr src "top.v:144|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5193.C[3] CO=$auto$alumacc.cc:474:replace_alu$5193.C[4] I0=$false I1=$abc$19694$n534 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[6] I3=$auto$alumacc.cc:474:replace_alu$9592.C[6] O=$13\int_tmr[14:0][6] +.attr src "top.v:144|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5193.C[4] CO=$auto$alumacc.cc:474:replace_alu$5193.C[5] I0=$false I1=$abc$19694$n532 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9592.C[6] CO=$auto$alumacc.cc:474:replace_alu$9592.C[7] I0=$false I1=int_tmr[6] +.attr src "top.v:144|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5193.C[5] CO=$auto$alumacc.cc:474:replace_alu$5193.C[6] I0=$false I1=$abc$19694$n531 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[7] I3=$auto$alumacc.cc:474:replace_alu$9592.C[7] O=$13\int_tmr[14:0][7] +.attr src "top.v:144|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5193.C[6] CO=$auto$alumacc.cc:474:replace_alu$5193.C[7] I0=$false I1=$abc$19694$n529 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9592.C[7] CO=$auto$alumacc.cc:474:replace_alu$9592.C[8] I0=$false I1=int_tmr[7] +.attr src "top.v:144|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5193.C[7] CO=$abc$19694$n1647 I0=$false I1=$abc$19694$n528 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[8] I3=$auto$alumacc.cc:474:replace_alu$9592.C[8] O=$13\int_tmr[14:0][8] +.attr src "top.v:144|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$19694$n1702 CO=$auto$alumacc.cc:474:replace_alu$5206.C[3] I0=$false I1=$abc$19694$n1703 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9592.C[8] CO=$auto$alumacc.cc:474:replace_alu$9592.C[9] I0=$false I1=int_tmr[8] +.attr src "top.v:235|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5206.C[3] CO=$auto$alumacc.cc:474:replace_alu$5206.C[4] I0=$false I1=$abc$19694$n1704 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[9] I3=$auto$alumacc.cc:474:replace_alu$9592.C[9] O=$13\int_tmr[14:0][9] +.attr src "top.v:235|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5206.C[4] CO=$auto$alumacc.cc:474:replace_alu$5206.C[5] I0=$false I1=$abc$19694$n1705 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9592.C[9] CO=$auto$alumacc.cc:474:replace_alu$9592.C[10] I0=$false I1=int_tmr[9] +.attr src "top.v:235|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5206.C[5] CO=$auto$alumacc.cc:474:replace_alu$5206.C[6] I0=$false I1=$abc$19694$n1706 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$abc$51271$n35 I2=rststate[0] I3=$false O=$abc$51271$n1937 +.attr src "top.v:235|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5206.C[6] CO=$abc$19694$n1649 I0=$false I1=$abc$19694$n1707 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$false CO=$auto$alumacc.cc:474:replace_alu$9595.C[1] I0=$abc$51271$n35 I1=rststate[0] +.attr src "top.v:235|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$abc$19694$n537 CO=$auto$alumacc.cc:474:replace_alu$5211.C[3] I0=$true I1=$abc$19694$n535 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=rststate[1] I3=$auto$alumacc.cc:474:replace_alu$9595.C[1] O=$abc$51271$n1939 +.attr src "top.v:144|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5211.C[3] CO=$auto$alumacc.cc:474:replace_alu$5211.C[4] I0=$false I1=$abc$19694$n534 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9595.C[1] CO=$auto$alumacc.cc:474:replace_alu$9595.C[2] I0=$false I1=rststate[1] +.attr src "top.v:144|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5211.C[4] CO=$auto$alumacc.cc:474:replace_alu$5211.C[5] I0=$false I1=$abc$19694$n532 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=rststate[2] I3=$auto$alumacc.cc:474:replace_alu$9595.C[2] O=$abc$51271$n1941 +.attr src "top.v:144|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5211.C[5] CO=$auto$alumacc.cc:474:replace_alu$5211.C[6] I0=$false I1=$abc$19694$n531 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9595.C[2] CO=$auto$alumacc.cc:474:replace_alu$9595.C[3] I0=$false I1=rststate[2] +.attr src "top.v:144|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5211.C[6] CO=$auto$alumacc.cc:474:replace_alu$5211.C[7] I0=$false I1=$abc$19694$n529 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=rststate[3] I3=$auto$alumacc.cc:474:replace_alu$9595.C[3] O=$abc$51271$n1943 +.attr src "top.v:144|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5211.C[7] CO=$abc$19694$n1650 I0=$false I1=$abc$19694$n528 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=init_ram_cnt[0] I3=$false O=$2\init_ram_cnt[7:0][0] +.attr src "top.v:144|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$true I2=ring_wr[0] I3=$false O=$abc$19694$n1234 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:109|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=init_ram_cnt[0] CO=$auto$alumacc.cc:474:replace_alu$9598.C[2] I0=$false I1=init_ram_cnt[1] +.gate SB_CARRY CI=ring_wr[0] CO=$auto$alumacc.cc:474:replace_alu$5216.C[2] I0=$false I1=ring_wr[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[2] I3=$auto$alumacc.cc:474:replace_alu$9598.C[2] O=$2\init_ram_cnt[7:0][2] +.attr src "top.v:109|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=ring_wr[2] I3=$auto$alumacc.cc:474:replace_alu$5216.C[2] O=$abc$19694$n1240 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:109|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9598.C[2] CO=$auto$alumacc.cc:474:replace_alu$9598.C[3] I0=$false I1=init_ram_cnt[2] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5216.C[2] CO=$auto$alumacc.cc:474:replace_alu$5216.C[3] I0=$false I1=ring_wr[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[3] I3=$auto$alumacc.cc:474:replace_alu$9598.C[3] O=$2\init_ram_cnt[7:0][3] +.attr src "top.v:109|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=ring_wr[3] I3=$auto$alumacc.cc:474:replace_alu$5216.C[3] O=$abc$19694$n1243 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:109|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9598.C[3] CO=$auto$alumacc.cc:474:replace_alu$9598.C[4] I0=$false I1=init_ram_cnt[3] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5216.C[3] CO=$auto$alumacc.cc:474:replace_alu$5216.C[4] I0=$false I1=ring_wr[3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[4] I3=$auto$alumacc.cc:474:replace_alu$9598.C[4] O=$2\init_ram_cnt[7:0][4] +.attr src "top.v:109|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$alumacc.cc:474:replace_alu$5216.C[4] O=$abc$19694$n345 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:109|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9598.C[4] CO=$auto$alumacc.cc:474:replace_alu$9598.C[5] I0=$false I1=init_ram_cnt[4] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[5] I3=$auto$alumacc.cc:474:replace_alu$9598.C[5] O=$2\init_ram_cnt[7:0][5] +.gate SB_LUT4 I0=$false I1=$true I2=wr_cnt[0] I3=$false O=$abc$19694$n733 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:133|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9598.C[5] CO=$auto$alumacc.cc:474:replace_alu$9598.C[6] I0=$false I1=init_ram_cnt[5] +.gate SB_CARRY CI=wr_cnt[0] CO=$auto$alumacc.cc:474:replace_alu$5219.C[2] I0=$false I1=wr_cnt[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[6] I3=$auto$alumacc.cc:474:replace_alu$9598.C[6] O=$2\init_ram_cnt[7:0][6] +.attr src "top.v:133|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[2] I3=$auto$alumacc.cc:474:replace_alu$5219.C[2] O=$abc$19694$n736 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:133|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9598.C[6] CO=$auto$alumacc.cc:474:replace_alu$9598.C[7] I0=$false I1=init_ram_cnt[6] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5219.C[2] CO=$auto$alumacc.cc:474:replace_alu$5219.C[3] I0=$false I1=wr_cnt[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[7] I3=$auto$alumacc.cc:474:replace_alu$9598.C[7] O=$2\init_ram_cnt[7:0][7] +.attr src "top.v:133|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[3] I3=$auto$alumacc.cc:474:replace_alu$5219.C[3] O=$abc$19694$n737 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:133|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=wr_cnt[0] I2=$true I3=$true O=$abc$51271$n269 +.gate SB_LUT4 I0=$false I1=$true I2=ring_rd[0] I3=$false O=$abc$19694$n1107 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:124|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:184|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=wr_cnt[0] I2=$false I3=$true O=$abc$51271$n253 +.gate SB_CARRY CI=ring_rd[0] CO=$auto$alumacc.cc:474:replace_alu$5222.C[2] I0=$false I1=ring_rd[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:126|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:184|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=ring_rd[2] I3=$auto$alumacc.cc:474:replace_alu$5222.C[2] O=$abc$19694$n1113 +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:184|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=wr_cnt[0] CO=$auto$alumacc.cc:474:replace_alu$9604.C[2] I0=wr_cnt[1] I1=$false +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5222.C[2] CO=$auto$alumacc.cc:474:replace_alu$5222.C[3] I0=$false I1=ring_rd[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:126|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=wr_cnt[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9604.C[2] O=$abc$51271$n260 +.attr src "top.v:184|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=ring_rd[3] I3=$auto$alumacc.cc:474:replace_alu$5222.C[3] O=$abc$19694$n1116 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:126|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:184|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[0] I2=$false I3=$true O=$2\I2C_INPUT_LEN[7:0][0] +.gate SB_LUT4 I0=$false I1=$true I2=I2C_COUNTER[0] I3=$false O=$abc$19694$n1092 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:132|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:193|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=I2C.byte_counter[0] CO=$auto$alumacc.cc:474:replace_alu$9607.C[2] I0=I2C.byte_counter[1] I1=$true +.gate SB_CARRY CI=I2C_COUNTER[0] CO=$auto$alumacc.cc:474:replace_alu$5225.C[2] I0=$false I1=I2C_COUNTER[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:132|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9607.C[2] O=$2\I2C_INPUT_LEN[7:0][2] +.attr src "top.v:193|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[2] I3=$auto$alumacc.cc:474:replace_alu$5225.C[2] O=$abc$19694$n1096 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:132|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:193|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9607.C[2] CO=$auto$alumacc.cc:474:replace_alu$9607.C[3] I0=I2C.byte_counter[2] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5225.C[2] CO=$auto$alumacc.cc:474:replace_alu$5225.C[3] I0=$false I1=I2C_COUNTER[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:132|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[3] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9607.C[3] O=$2\I2C_INPUT_LEN[7:0][3] +.attr src "top.v:193|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[3] I3=$auto$alumacc.cc:474:replace_alu$5225.C[3] O=$abc$19694$n1098 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:132|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:193|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9607.C[3] CO=$auto$alumacc.cc:474:replace_alu$9607.C[4] I0=I2C.byte_counter[3] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5225.C[3] CO=$auto$alumacc.cc:474:replace_alu$5225.C[4] I0=$false I1=I2C_COUNTER[3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:132|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[4] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9607.C[4] O=$2\I2C_INPUT_LEN[7:0][4] +.attr src "top.v:193|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[4] I3=$auto$alumacc.cc:474:replace_alu$5225.C[4] O=$abc$19694$n1100 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:132|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:193|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9607.C[4] CO=$auto$alumacc.cc:474:replace_alu$9607.C[5] I0=I2C.byte_counter[4] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5225.C[4] CO=$auto$alumacc.cc:474:replace_alu$5225.C[5] I0=$false I1=I2C_COUNTER[4] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:132|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[5] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9607.C[5] O=$2\I2C_INPUT_LEN[7:0][5] +.attr src "top.v:193|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[5] I3=$auto$alumacc.cc:474:replace_alu$5225.C[5] O=$abc$19694$n1102 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:132|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:193|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9607.C[5] CO=$auto$alumacc.cc:474:replace_alu$9607.C[6] I0=I2C.byte_counter[5] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5225.C[5] CO=$auto$alumacc.cc:474:replace_alu$5225.C[6] I0=$false I1=I2C_COUNTER[5] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:132|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[6] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9607.C[6] O=$2\I2C_INPUT_LEN[7:0][6] +.attr src "top.v:193|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[6] I3=$auto$alumacc.cc:474:replace_alu$5225.C[6] O=$abc$19694$n1104 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:132|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:193|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9607.C[6] CO=$auto$alumacc.cc:474:replace_alu$9607.C[7] I0=I2C.byte_counter[6] I1=$true -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:132|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.byte_counter[7] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9607.C[7] O=$2\I2C_INPUT_LEN[7:0][7] +.gate SB_LUT4 I0=$false I1=$true I2=$3\int_tmr[14:0][0] I3=$false O=$abc$19694$n929 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:132|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=init_ram_cnt[0] I2=$true I3=$true O=$abc$51271$n1913 +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][10] I3=$auto$alumacc.cc:474:replace_alu$5228.C[10] O=$abc$19694$n960 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:90|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=init_ram_cnt[1] CO=$auto$alumacc.cc:474:replace_alu$9610.C[3] I0=init_ram_cnt[2] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5228.C[10] CO=$auto$alumacc.cc:474:replace_alu$5228.C[11] I0=$false I1=$3\int_tmr[14:0][10] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:90|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=init_ram_cnt[3] I2=$false I3=$auto$alumacc.cc:474:replace_alu$9610.C[3] O=$abc$51271$n1919 +.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][11] I3=$auto$alumacc.cc:474:replace_alu$5228.C[11] O=$abc$19694$n963 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:90|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9610.C[3] CO=$auto$alumacc.cc:474:replace_alu$9610.C[4] I0=init_ram_cnt[3] I1=$false +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5228.C[11] CO=$auto$alumacc.cc:474:replace_alu$5228.C[12] I0=$false I1=$3\int_tmr[14:0][11] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:90|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=init_ram_cnt[4] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9610.C[4] O=$abc$51271$n1921 +.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][12] I3=$auto$alumacc.cc:474:replace_alu$5228.C[12] O=$abc$19694$n966 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:90|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9610.C[4] CO=$auto$alumacc.cc:474:replace_alu$9610.C[5] I0=init_ram_cnt[4] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5228.C[12] CO=$auto$alumacc.cc:474:replace_alu$5228.C[13] I0=$false I1=$3\int_tmr[14:0][12] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:90|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=init_ram_cnt[5] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9610.C[5] O=$abc$51271$n1923 +.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][13] I3=$auto$alumacc.cc:474:replace_alu$5228.C[13] O=$abc$19694$n969 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:90|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9610.C[5] CO=$auto$alumacc.cc:474:replace_alu$9610.C[6] I0=init_ram_cnt[5] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5228.C[13] CO=$auto$alumacc.cc:474:replace_alu$5228.C[14] I0=$false I1=$3\int_tmr[14:0][13] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:90|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=init_ram_cnt[6] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9610.C[6] O=$abc$51271$n1925 +.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][14] I3=$auto$alumacc.cc:474:replace_alu$5228.C[14] O=$abc$19694$n972 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:90|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9610.C[6] CO=$auto$alumacc.cc:474:replace_alu$9610.C[7] I0=init_ram_cnt[6] I1=$true +.gate SB_CARRY CI=$3\int_tmr[14:0][0] CO=$auto$alumacc.cc:474:replace_alu$5228.C[2] I0=$false I1=$3\int_tmr[14:0][1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:90|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=init_ram_cnt[7] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9610.C[7] O=$abc$51271$n1927 +.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][2] I3=$auto$alumacc.cc:474:replace_alu$5228.C[2] O=$abc$19694$n936 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:90|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$abc$51271$n2390 CO=$auto$alumacc.cc:474:replace_alu$9613.C[3] I0=$false I1=$abc$51271$n2472 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:128|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9613.C[3] CO=$auto$alumacc.cc:474:replace_alu$9613.C[4] I0=$false I1=$abc$51271$n2468 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:128|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9613.C[4] CO=$auto$alumacc.cc:474:replace_alu$9613.C[5] I0=$false I1=$abc$51271$n2469 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5228.C[2] CO=$auto$alumacc.cc:474:replace_alu$5228.C[3] I0=$false I1=$3\int_tmr[14:0][2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:128|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9613.C[5] CO=$auto$alumacc.cc:474:replace_alu$9613.C[6] I0=$false I1=$abc$51271$n2473 +.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][3] I3=$auto$alumacc.cc:474:replace_alu$5228.C[3] O=$abc$19694$n939 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:128|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9613.C[6] CO=$auto$alumacc.cc:474:replace_alu$9613.C[7] I0=$false I1=$abc$51271$n2470 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:128|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9613.C[7] CO=$abc$51271$n2428 I0=$false I1=$abc$51271$n2471 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:128|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$true I2=$abc$51271$n1490 I3=$false O=$abc$51271$n2170 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:121|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$abc$51271$n1490 CO=$auto$alumacc.cc:474:replace_alu$9618.C[2] I0=$false I1=$abc$51271$n1491 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5228.C[3] CO=$auto$alumacc.cc:474:replace_alu$5228.C[4] I0=$false I1=$3\int_tmr[14:0][3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:121|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$51271$n1493 I3=$auto$alumacc.cc:474:replace_alu$9618.C[2] O=$abc$51271$n2172 +.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][4] I3=$auto$alumacc.cc:474:replace_alu$5228.C[4] O=$abc$19694$n942 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:121|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9618.C[2] CO=$auto$alumacc.cc:474:replace_alu$9618.C[3] I0=$false I1=$abc$51271$n1493 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5228.C[4] CO=$auto$alumacc.cc:474:replace_alu$5228.C[5] I0=$false I1=$3\int_tmr[14:0][4] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:121|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$51271$n1494 I3=$auto$alumacc.cc:474:replace_alu$9618.C[3] O=$abc$51271$n2173 +.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][5] I3=$auto$alumacc.cc:474:replace_alu$5228.C[5] O=$abc$19694$n945 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:121|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9618.C[3] CO=$auto$alumacc.cc:474:replace_alu$9618.C[4] I0=$false I1=$abc$51271$n1494 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5228.C[5] CO=$auto$alumacc.cc:474:replace_alu$5228.C[6] I0=$false I1=$3\int_tmr[14:0][5] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:121|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$51271$n1496 I3=$auto$alumacc.cc:474:replace_alu$9618.C[4] O=$abc$51271$n2174 +.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][6] I3=$auto$alumacc.cc:474:replace_alu$5228.C[6] O=$abc$19694$n948 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:121|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9618.C[4] CO=$auto$alumacc.cc:474:replace_alu$9618.C[5] I0=$false I1=$abc$51271$n1496 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5228.C[6] CO=$auto$alumacc.cc:474:replace_alu$5228.C[7] I0=$false I1=$3\int_tmr[14:0][6] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:121|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$51271$n1497 I3=$auto$alumacc.cc:474:replace_alu$9618.C[5] O=$abc$51271$n2175 +.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][7] I3=$auto$alumacc.cc:474:replace_alu$5228.C[7] O=$abc$19694$n951 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:121|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9618.C[5] CO=$auto$alumacc.cc:474:replace_alu$9618.C[6] I0=$false I1=$abc$51271$n1497 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5228.C[7] CO=$auto$alumacc.cc:474:replace_alu$5228.C[8] I0=$false I1=$3\int_tmr[14:0][7] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:121|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$51271$n1499 I3=$auto$alumacc.cc:474:replace_alu$9618.C[6] O=$abc$51271$n2176 +.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][8] I3=$auto$alumacc.cc:474:replace_alu$5228.C[8] O=$abc$19694$n954 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:121|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9618.C[6] CO=$auto$alumacc.cc:474:replace_alu$9618.C[7] I0=$false I1=$abc$51271$n1499 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5228.C[8] CO=$auto$alumacc.cc:474:replace_alu$5228.C[9] I0=$false I1=$3\int_tmr[14:0][8] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:121|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$abc$51271$n1500 I3=$auto$alumacc.cc:474:replace_alu$9618.C[7] O=$abc$51271$n2177 +.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][9] I3=$auto$alumacc.cc:474:replace_alu$5228.C[9] O=$abc$19694$n957 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:121|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$abc$51271$n2005 I2=$false I3=$true O=$abc$51271$n305 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5228.C[9] CO=$auto$alumacc.cc:474:replace_alu$5228.C[10] I0=$false I1=$3\int_tmr[14:0][9] +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$abc$19694$n21 I2=rststate[0] I3=$false O=$abc$19694$n1261 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:106|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:69|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$abc$51271$n2005 CO=$auto$alumacc.cc:474:replace_alu$9621.C[2] I0=$abc$51271$n2007 I1=$true +.gate SB_CARRY CI=$false CO=$auto$alumacc.cc:474:replace_alu$5231.C[1] I0=$abc$19694$n21 I1=rststate[0] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:106|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$abc$51271$n2009 I2=$true I3=$auto$alumacc.cc:474:replace_alu$9621.C[2] O=$abc$51271$n307 +.attr src "top.v:69|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=rststate[1] I3=$auto$alumacc.cc:474:replace_alu$5231.C[1] O=$abc$19694$n1263 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:106|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:69|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9621.C[2] CO=$auto$alumacc.cc:474:replace_alu$9621.C[3] I0=$abc$51271$n2009 I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5231.C[1] CO=$auto$alumacc.cc:474:replace_alu$5231.C[2] I0=$false I1=rststate[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:106|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$abc$51271$n2011 I2=$true I3=$auto$alumacc.cc:474:replace_alu$9621.C[3] O=$abc$51271$n308 +.attr src "top.v:69|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=rststate[2] I3=$auto$alumacc.cc:474:replace_alu$5231.C[2] O=$abc$19694$n1265 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:106|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:69|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9621.C[3] CO=$abc$51271$n2391 I0=$abc$51271$n2011 I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5231.C[2] CO=$auto$alumacc.cc:474:replace_alu$5231.C[3] I0=$false I1=rststate[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:106|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$true I3=$abc$51271$n2391 O=$abc$51271$n319 +.attr src "top.v:69|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=rststate[3] I3=$auto$alumacc.cc:474:replace_alu$5231.C[3] O=$abc$19694$n1267 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:106|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:69|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[0] I2=$false I3=$true O=$abc$51271$n1630 +.gate SB_LUT4 I0=$false I1=$22\i2c_input_data_type[3:0][0] I2=$false I3=$true O=$abc$19694$n1014 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:45|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:220|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=I2C.FLT_SCL.counter[0] CO=$auto$alumacc.cc:474:replace_alu$9624.C[2] I0=I2C.FLT_SCL.counter[1] I1=$true +.gate SB_CARRY CI=$22\i2c_input_data_type[3:0][0] CO=$auto$alumacc.cc:474:replace_alu$5234.C[2] I0=$22\i2c_input_data_type[3:0][1] I1=$true .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:45|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9624.C[2] O=$abc$51271$n1633 +.attr src "top.v:220|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$22\i2c_input_data_type[3:0][2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5234.C[2] O=$abc$19694$n1016 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:45|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:220|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[0] I2=$false I3=$true O=$abc$51271$n1626 +.gate SB_LUT4 I0=$false I1=$abc$19694$n1304 I2=$false I3=$true O=$abc$19694$n580 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:46|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:20|i2c_slave.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=I2C.FLT_SDA.counter[0] CO=$auto$alumacc.cc:474:replace_alu$9627.C[2] I0=I2C.FLT_SDA.counter[1] I1=$true +.gate SB_CARRY CI=$abc$19694$n1304 CO=$auto$alumacc.cc:474:replace_alu$5237.C[2] I0=$abc$19694$n1306 I1=$true .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:46|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9627.C[2] O=$abc$51271$n1629 +.attr src "top.v:20|i2c_slave.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$abc$19694$n1308 I2=$true I3=$auto$alumacc.cc:474:replace_alu$5237.C[2] O=$abc$19694$n582 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:46|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:20|i2c_slave.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=I2C.byte_counter[0] I3=$false O=$abc$51271$n2321 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5237.C[2] CO=$auto$alumacc.cc:474:replace_alu$5237.C[3] I0=$abc$19694$n1308 I1=$true .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:41|descriptors.v:36|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=I2C.byte_counter[5] CO=$auto$alumacc.cc:474:replace_alu$9630.C[7] I0=$false I1=I2C.byte_counter[6] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:41|descriptors.v:36|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=I2C.byte_counter[7] I3=$auto$alumacc.cc:474:replace_alu$9630.C[7] O=$abc$51271$n2327 +.attr src "top.v:20|i2c_slave.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$abc$19694$n1310 I2=$true I3=$auto$alumacc.cc:474:replace_alu$5237.C[3] O=$abc$19694$n583 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:41|descriptors.v:36|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:20|i2c_slave.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$abc$51271$n396 I2=$false I3=$false O=$abc$51271$n2262 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5237.C[3] CO=$abc$19694$n1604 I0=$abc$19694$n1310 I1=$true .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:171|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.init_delay_cnt[0] I3=$false O=$auto$wreduce.cc:347:run$9493[0] +.attr src "top.v:20|i2c_slave.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$true I3=$abc$19694$n1604 O=$abc$19694$n594 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:60|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:20|i2c_slave.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=KEYBOARD.init_delay_cnt[0] CO=$auto$alumacc.cc:474:replace_alu$9636.C[2] I0=$false I1=KEYBOARD.init_delay_cnt[1] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:60|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_delay_cnt[2] I3=$auto$alumacc.cc:474:replace_alu$9636.C[2] O=$auto$wreduce.cc:347:run$9493[2] +.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[0] I2=$false I3=$true O=$abc$19694$n830 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:60|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:20|i2c_slave.v:45|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9636.C[2] CO=$auto$alumacc.cc:474:replace_alu$9636.C[3] I0=$false I1=KEYBOARD.init_delay_cnt[2] +.gate SB_CARRY CI=I2C.FLT_SCL.counter[0] CO=$auto$alumacc.cc:474:replace_alu$5240.C[2] I0=I2C.FLT_SCL.counter[1] I1=$true .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:60|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_delay_cnt[3] I3=$auto$alumacc.cc:474:replace_alu$9636.C[3] O=$auto$wreduce.cc:347:run$9493[3] +.attr src "top.v:20|i2c_slave.v:45|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5240.C[2] O=$abc$19694$n833 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:60|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:20|i2c_slave.v:45|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.init_ram_cnt[0] I3=$false O=$auto$wreduce.cc:347:run$9494[0] +.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[0] I2=$false I3=$true O=$abc$19694$n826 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:20|i2c_slave.v:46|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=KEYBOARD.init_ram_cnt[0] CO=$auto$alumacc.cc:474:replace_alu$9639.C[2] I0=$false I1=KEYBOARD.init_ram_cnt[1] +.gate SB_CARRY CI=I2C.FLT_SDA.counter[0] CO=$auto$alumacc.cc:474:replace_alu$5243.C[2] I0=I2C.FLT_SDA.counter[1] I1=$true .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[2] I3=$auto$alumacc.cc:474:replace_alu$9639.C[2] O=$auto$wreduce.cc:347:run$9494[2] +.attr src "top.v:20|i2c_slave.v:46|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5243.C[2] O=$abc$19694$n829 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:20|i2c_slave.v:46|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9639.C[2] CO=$auto$alumacc.cc:474:replace_alu$9639.C[3] I0=$false I1=KEYBOARD.init_ram_cnt[2] +.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.report_adress_rd[0] I3=$false O=$abc$19694$n819 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[3] I3=$auto$alumacc.cc:474:replace_alu$9639.C[3] O=$auto$wreduce.cc:347:run$9494[3] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:37|matrix_kbd.v:101|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9639.C[3] CO=$auto$alumacc.cc:474:replace_alu$9639.C[4] I0=$false I1=KEYBOARD.init_ram_cnt[3] +.gate SB_CARRY CI=KEYBOARD.report_adress_rd[0] CO=$auto$alumacc.cc:474:replace_alu$5246.C[2] I0=$false I1=KEYBOARD.report_adress_rd[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[4] I3=$auto$alumacc.cc:474:replace_alu$9639.C[4] O=$auto$wreduce.cc:347:run$9494[4] +.attr src "top.v:37|matrix_kbd.v:101|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.report_adress_rd[2] I3=$auto$alumacc.cc:474:replace_alu$5246.C[2] O=$abc$19694$n822 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:37|matrix_kbd.v:101|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9639.C[4] CO=$auto$alumacc.cc:474:replace_alu$9639.C[5] I0=$false I1=KEYBOARD.init_ram_cnt[4] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5246.C[2] CO=$auto$alumacc.cc:474:replace_alu$5246.C[3] I0=$false I1=KEYBOARD.report_adress_rd[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[5] I3=$auto$alumacc.cc:474:replace_alu$9639.C[5] O=$auto$wreduce.cc:347:run$9494[5] +.attr src "top.v:37|matrix_kbd.v:101|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.report_adress_rd[3] I3=$auto$alumacc.cc:474:replace_alu$5246.C[3] O=$abc$19694$n823 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:37|matrix_kbd.v:101|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9639.C[5] CO=$auto$alumacc.cc:474:replace_alu$9639.C[6] I0=$false I1=KEYBOARD.init_ram_cnt[5] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[6] I3=$auto$alumacc.cc:474:replace_alu$9639.C[6] O=$auto$wreduce.cc:347:run$9494[6] +.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_time[0] I3=$false O=$abc$19694$n795 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9639.C[6] CO=$auto$alumacc.cc:474:replace_alu$9639.C[7] I0=$false I1=KEYBOARD.init_ram_cnt[6] +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[10] I3=$auto$alumacc.cc:474:replace_alu$5249.C[10] O=$abc$19694$n806 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[7] I3=$auto$alumacc.cc:474:replace_alu$9639.C[7] O=$auto$wreduce.cc:347:run$9494[7] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9639.C[7] CO=$auto$alumacc.cc:474:replace_alu$9639.C[8] I0=$false I1=KEYBOARD.init_ram_cnt[7] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5249.C[10] CO=$auto$alumacc.cc:474:replace_alu$5249.C[11] I0=$false I1=KEYBOARD.row_time[10] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[8] I3=$auto$alumacc.cc:474:replace_alu$9639.C[8] O=$auto$wreduce.cc:347:run$9494[8] +.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[11] I3=$auto$alumacc.cc:474:replace_alu$5249.C[11] O=$abc$19694$n807 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_time[0] I3=$false O=$abc$51271$n1606 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5249.C[11] CO=$auto$alumacc.cc:474:replace_alu$5249.C[12] I0=$false I1=KEYBOARD.row_time[11] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[10] I3=$auto$alumacc.cc:474:replace_alu$9642.C[10] O=$abc$51271$n1617 +.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[12] I3=$auto$alumacc.cc:474:replace_alu$5249.C[12] O=$abc$19694$n809 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9642.C[10] CO=$auto$alumacc.cc:474:replace_alu$9642.C[11] I0=$false I1=KEYBOARD.row_time[10] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5249.C[12] CO=$auto$alumacc.cc:474:replace_alu$5249.C[13] I0=$false I1=KEYBOARD.row_time[12] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[11] I3=$auto$alumacc.cc:474:replace_alu$9642.C[11] O=$abc$51271$n1618 +.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[13] I3=$auto$alumacc.cc:474:replace_alu$5249.C[13] O=$abc$19694$n810 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9642.C[11] CO=$auto$alumacc.cc:474:replace_alu$9642.C[12] I0=$false I1=KEYBOARD.row_time[11] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5249.C[13] CO=$auto$alumacc.cc:474:replace_alu$5249.C[14] I0=$false I1=KEYBOARD.row_time[13] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[12] I3=$auto$alumacc.cc:474:replace_alu$9642.C[12] O=$abc$51271$n1623 +.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[14] I3=$auto$alumacc.cc:474:replace_alu$5249.C[14] O=$abc$19694$n814 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=KEYBOARD.row_time[0] CO=$auto$alumacc.cc:474:replace_alu$9642.C[2] I0=$false I1=KEYBOARD.row_time[1] +.gate SB_CARRY CI=KEYBOARD.row_time[0] CO=$auto$alumacc.cc:474:replace_alu$5249.C[2] I0=$false I1=KEYBOARD.row_time[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[2] I3=$auto$alumacc.cc:474:replace_alu$9642.C[2] O=$abc$51271$n1609 +.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[2] I3=$auto$alumacc.cc:474:replace_alu$5249.C[2] O=$abc$19694$n798 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9642.C[2] CO=$auto$alumacc.cc:474:replace_alu$9642.C[3] I0=$false I1=KEYBOARD.row_time[2] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5249.C[2] CO=$auto$alumacc.cc:474:replace_alu$5249.C[3] I0=$false I1=KEYBOARD.row_time[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[3] I3=$auto$alumacc.cc:474:replace_alu$9642.C[3] O=$abc$51271$n1610 +.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[3] I3=$auto$alumacc.cc:474:replace_alu$5249.C[3] O=$abc$19694$n799 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9642.C[3] CO=$auto$alumacc.cc:474:replace_alu$9642.C[4] I0=$false I1=KEYBOARD.row_time[3] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5249.C[3] CO=$auto$alumacc.cc:474:replace_alu$5249.C[4] I0=$false I1=KEYBOARD.row_time[3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[4] I3=$auto$alumacc.cc:474:replace_alu$9642.C[4] O=$abc$51271$n1604 +.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[4] I3=$auto$alumacc.cc:474:replace_alu$5249.C[4] O=$abc$19694$n793 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9642.C[4] CO=$auto$alumacc.cc:474:replace_alu$9642.C[5] I0=$false I1=KEYBOARD.row_time[4] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5249.C[4] CO=$auto$alumacc.cc:474:replace_alu$5249.C[5] I0=$false I1=KEYBOARD.row_time[4] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[5] I3=$auto$alumacc.cc:474:replace_alu$9642.C[5] O=$abc$51271$n396 +.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[5] I3=$auto$alumacc.cc:474:replace_alu$5249.C[5] O=$abc$19694$n649 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9642.C[5] CO=$auto$alumacc.cc:474:replace_alu$9642.C[6] I0=$false I1=KEYBOARD.row_time[5] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5249.C[5] CO=$auto$alumacc.cc:474:replace_alu$5249.C[6] I0=$false I1=KEYBOARD.row_time[5] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[6] I3=$auto$alumacc.cc:474:replace_alu$9642.C[6] O=$abc$51271$n394 +.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[6] I3=$auto$alumacc.cc:474:replace_alu$5249.C[6] O=$abc$19694$n650 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9642.C[6] CO=$auto$alumacc.cc:474:replace_alu$9642.C[7] I0=$false I1=KEYBOARD.row_time[6] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5249.C[6] CO=$auto$alumacc.cc:474:replace_alu$5249.C[7] I0=$false I1=KEYBOARD.row_time[6] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[7] I3=$auto$alumacc.cc:474:replace_alu$9642.C[7] O=$abc$51271$n383 +.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[7] I3=$auto$alumacc.cc:474:replace_alu$5249.C[7] O=$abc$19694$n643 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9642.C[7] CO=$auto$alumacc.cc:474:replace_alu$9642.C[8] I0=$false I1=KEYBOARD.row_time[7] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5249.C[7] CO=$auto$alumacc.cc:474:replace_alu$5249.C[8] I0=$false I1=KEYBOARD.row_time[7] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[8] I3=$auto$alumacc.cc:474:replace_alu$9642.C[8] O=$abc$51271$n1614 +.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[8] I3=$auto$alumacc.cc:474:replace_alu$5249.C[8] O=$abc$19694$n803 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9642.C[8] CO=$auto$alumacc.cc:474:replace_alu$9642.C[9] I0=$false I1=KEYBOARD.row_time[8] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5249.C[8] CO=$auto$alumacc.cc:474:replace_alu$5249.C[9] I0=$false I1=KEYBOARD.row_time[8] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[9] I3=$auto$alumacc.cc:474:replace_alu$9642.C[9] O=$abc$51271$n1615 +.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[9] I3=$auto$alumacc.cc:474:replace_alu$5249.C[9] O=$abc$19694$n804 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9642.C[9] CO=$auto$alumacc.cc:474:replace_alu$9642.C[10] I0=$false I1=KEYBOARD.row_time[9] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5249.C[9] CO=$auto$alumacc.cc:474:replace_alu$5249.C[10] I0=$false I1=KEYBOARD.row_time[9] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_counter[0] I3=$false O=$abc$51271$n2190 +.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_counter[0] I3=$false O=$abc$19694$n1421 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:37|matrix_kbd.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=KEYBOARD.row_counter[0] CO=$auto$alumacc.cc:474:replace_alu$9645.C[2] I0=$false I1=KEYBOARD.row_counter[1] +.gate SB_CARRY CI=KEYBOARD.row_counter[0] CO=$auto$alumacc.cc:474:replace_alu$5252.C[2] I0=$false I1=KEYBOARD.row_counter[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[2] I3=$auto$alumacc.cc:474:replace_alu$9645.C[2] O=$abc$51271$n2196 +.attr src "top.v:37|matrix_kbd.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[2] I3=$auto$alumacc.cc:474:replace_alu$5252.C[2] O=$abc$19694$n1426 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:37|matrix_kbd.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9645.C[2] CO=$auto$alumacc.cc:474:replace_alu$9645.C[3] I0=$false I1=KEYBOARD.row_counter[2] +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5252.C[2] CO=$auto$alumacc.cc:474:replace_alu$5252.C[3] I0=$false I1=KEYBOARD.row_counter[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[3] I3=$auto$alumacc.cc:474:replace_alu$9645.C[3] O=$abc$51271$n30 +.attr src "top.v:37|matrix_kbd.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[3] I3=$auto$alumacc.cc:474:replace_alu$5252.C[3] O=$abc$19694$n18 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:37|matrix_kbd.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[0] I2=$false I3=$true O=$abc$51271$n1511 +.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.ram_adr[0] I3=$false O=$abc$19694$n702 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:30|uart.v:32|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:37|matrix_kbd.v:99|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=UART.tx_clk_counter[0] CO=$auto$alumacc.cc:474:replace_alu$9648.C[2] I0=UART.tx_clk_counter[1] I1=$true +.gate SB_CARRY CI=KEYBOARD.ram_adr[0] CO=$auto$alumacc.cc:474:replace_alu$5255.C[2] I0=$false I1=KEYBOARD.ram_adr[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:30|uart.v:32|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9648.C[2] O=$abc$51271$n1514 +.attr src "top.v:37|matrix_kbd.v:99|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.ram_adr[2] I3=$auto$alumacc.cc:474:replace_alu$5255.C[2] O=$abc$19694$n705 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:30|uart.v:32|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:37|matrix_kbd.v:99|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9648.C[2] CO=$auto$alumacc.cc:474:replace_alu$9648.C[3] I0=UART.tx_clk_counter[2] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5255.C[2] CO=$auto$alumacc.cc:474:replace_alu$5255.C[3] I0=$false I1=KEYBOARD.ram_adr[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:30|uart.v:32|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[3] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9648.C[3] O=$abc$51271$n1515 +.attr src "top.v:37|matrix_kbd.v:99|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.ram_adr[3] I3=$auto$alumacc.cc:474:replace_alu$5255.C[3] O=$abc$19694$n706 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:30|uart.v:32|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:37|matrix_kbd.v:99|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[0] I2=$false I3=$true O=$abc$51271$n2381 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5255.C[3] CO=$auto$alumacc.cc:474:replace_alu$5255.C[4] I0=$false I1=KEYBOARD.ram_adr[3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:30|uart.v:38|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" -.param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=UART.tx_bit_counter[0] CO=$auto$alumacc.cc:474:replace_alu$9651.C[2] I0=UART.tx_bit_counter[1] I1=$true -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:30|uart.v:38|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9651.C[2] O=$abc$51271$n2464 +.attr src "top.v:37|matrix_kbd.v:99|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.ram_adr[4] I3=$auto$alumacc.cc:474:replace_alu$5255.C[4] O=$abc$19694$n708 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:30|uart.v:38|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:37|matrix_kbd.v:99|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9651.C[2] CO=$auto$alumacc.cc:474:replace_alu$9651.C[3] I0=UART.tx_bit_counter[2] I1=$true +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5255.C[4] CO=$auto$alumacc.cc:474:replace_alu$5255.C[5] I0=$false I1=KEYBOARD.ram_adr[4] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:30|uart.v:38|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[3] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9651.C[3] O=$abc$51271$n2466 +.attr src "top.v:37|matrix_kbd.v:99|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.ram_adr[5] I3=$auto$alumacc.cc:474:replace_alu$5255.C[5] O=$abc$19694$n709 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:30|uart.v:38|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:37|matrix_kbd.v:99|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$false CO=$auto$maccmap.cc:240:synth$10128.C[5] I0=$abc$51271$n2408 I1=$abc$51271$n2410 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5255.C[5] CO=$auto$alumacc.cc:474:replace_alu$5255.C[6] I0=$false I1=KEYBOARD.ram_adr[5] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$10\ring_rd[3:0][2] I2=$abc$51271$n2529 I3=$auto$maccmap.cc:240:synth$10128.C[5] O=$abc$51271$n1793 +.attr src "top.v:37|matrix_kbd.v:99|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.ram_adr[6] I3=$auto$alumacc.cc:474:replace_alu$5255.C[6] O=$abc$19694$n711 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:37|matrix_kbd.v:99|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$10128.C[5] CO=$auto$maccmap.cc:240:synth$10128.C[6] I0=$10\ring_rd[3:0][2] I1=$abc$51271$n2529 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5255.C[6] CO=$auto$alumacc.cc:474:replace_alu$5255.C[7] I0=$false I1=KEYBOARD.ram_adr[6] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$10\ring_rd[3:0][3] I2=$false I3=$auto$maccmap.cc:240:synth$10128.C[6] O=$abc$51271$n1796 +.attr src "top.v:37|matrix_kbd.v:99|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.ram_adr[7] I3=$auto$alumacc.cc:474:replace_alu$5255.C[7] O=$abc$19694$n1432 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:37|matrix_kbd.v:99|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$10128.C[6] CO=$auto$maccmap.cc:240:synth$10128.C[7] I0=$10\ring_rd[3:0][3] I1=$false +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5255.C[7] CO=$auto$alumacc.cc:474:replace_alu$5255.C[8] I0=$false I1=KEYBOARD.ram_adr[7] .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$maccmap.cc:240:synth$10128.C[7] O=$abc$51271$n1799 +.attr src "top.v:37|matrix_kbd.v:99|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.ram_adr[8] I3=$auto$alumacc.cc:474:replace_alu$5255.C[8] O=$abc$19694$n717 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:37|matrix_kbd.v:99|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_LUT4 I0=$false I1=wr_cnt[0] I2=$false I3=$false O=$6\report_data_wadr[7:0][0] +.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[0] I2=$false I3=$true O=$abc$19694$n759 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:29|uart.v:33|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$false CO=$auto$maccmap.cc:240:synth$10497.C[5] I0=$abc$51271$n2411 I1=$abc$51271$n2413 +.gate SB_CARRY CI=UART.tx_clk_counter[0] CO=$auto$alumacc.cc:474:replace_alu$5258.C[2] I0=UART.tx_clk_counter[1] I1=$true .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$abc$51271$n2516 I2=$abc$51271$n2518 I3=$auto$maccmap.cc:240:synth$10497.C[5] O=$6\report_data_wadr[7:0][5] +.attr src "top.v:29|uart.v:33|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5258.C[2] O=$abc$19694$n762 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:29|uart.v:33|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$10497.C[5] CO=$auto$maccmap.cc:240:synth$10497.C[6] I0=$abc$51271$n2516 I1=$abc$51271$n2518 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5258.C[2] CO=$auto$alumacc.cc:474:replace_alu$5258.C[3] I0=UART.tx_clk_counter[2] I1=$true .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=ring_wr[3] I2=$abc$51271$n2519 I3=$auto$maccmap.cc:240:synth$10497.C[6] O=$6\report_data_wadr[7:0][6] +.attr src "top.v:29|uart.v:33|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[3] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5258.C[3] O=$abc$19694$n763 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:29|uart.v:33|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$10497.C[6] CO=$auto$maccmap.cc:240:synth$10497.C[7] I0=ring_wr[3] I1=$abc$51271$n2519 +.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[0] I2=$false I3=$true O=$abc$19694$n1625 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$maccmap.cc:240:synth$10497.C[7] O=$6\report_data_wadr[7:0][7] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:29|uart.v:39|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$false CO=$auto$maccmap.cc:240:synth$10516.C[5] I0=$abc$51271$n2414 I1=$abc$51271$n2416 +.gate SB_CARRY CI=UART.tx_bit_counter[0] CO=$auto$alumacc.cc:474:replace_alu$5261.C[2] I0=UART.tx_bit_counter[1] I1=$true .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$2\ring_wr[3:0][2] I2=$abc$51271$n2509 I3=$auto$maccmap.cc:240:synth$10516.C[5] O=$abc$51271$n1903 +.attr src "top.v:29|uart.v:39|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5261.C[2] O=$abc$19694$n1713 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:29|uart.v:39|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$10516.C[5] CO=$auto$maccmap.cc:240:synth$10516.C[6] I0=$2\ring_wr[3:0][2] I1=$abc$51271$n2509 +.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5261.C[2] CO=$auto$alumacc.cc:474:replace_alu$5261.C[3] I0=UART.tx_bit_counter[2] I1=$true +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:29|uart.v:39|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[3] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5261.C[3] O=$abc$19694$n1715 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$2\ring_wr[3:0][3] I2=$false I3=$auto$maccmap.cc:240:synth$10516.C[6] O=$abc$51271$n1906 +.attr src "top.v:29|uart.v:39|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.param LUT_INIT 0110100110010110 +.gate SB_LUT4 I0=$false I1=$false I2=$abc$19694$n1712 I3=$true O=$abc$19694$n1584 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:29|uart.v:41|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 -.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$10516.C[6] CO=$auto$maccmap.cc:240:synth$10516.C[7] I0=$2\ring_wr[3:0][3] I1=$false +.gate SB_CARRY CI=$abc$19694$n1712 CO=$auto$alumacc.cc:474:replace_alu$5264.C[2] I0=$false I1=$abc$19694$n1624 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:47" -.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$maccmap.cc:240:synth$10516.C[7] O=$abc$51271$n1909 +.attr src "top.v:29|uart.v:41|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" +.gate SB_LUT4 I0=$false I1=$false I2=$abc$19694$n1714 I3=$auto$alumacc.cc:474:replace_alu$5264.C[2] O=$abc$19694$n1570 .attr module_not_derived 00000000000000000000000000000001 -.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:53" +.attr src "top.v:29|uart.v:41|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_DFF C=CLK D=$0\rststate[3:0][0] Q=rststate[0] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$0\rststate[3:0][1] Q=rststate[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$0\rststate[3:0][2] Q=rststate[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$0\rststate[3:0][3] Q=rststate[3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFESR C=CLK D=$2\UART_WR[0:0] E=$abc$51271$n751 Q=UART_WR R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][0] E=$abc$51271$n756 Q=UART_TX_DATA[0] S=$abc$51271$n19 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][1] E=$abc$51271$n756 Q=UART_TX_DATA[1] S=$abc$51271$n19 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][2] E=$abc$51271$n756 Q=UART_TX_DATA[2] S=$abc$51271$n19 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][3] E=$abc$51271$n756 Q=UART_TX_DATA[3] S=$abc$51271$n19 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][4] E=$abc$51271$n756 Q=UART_TX_DATA[4] S=$abc$51271$n19 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][5] E=$abc$51271$n756 Q=UART_TX_DATA[5] S=$abc$51271$n19 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][6] E=$abc$51271$n756 Q=UART_TX_DATA[6] S=$abc$51271$n19 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][7] E=$abc$51271$n756 Q=UART_TX_DATA[7] S=$abc$51271$n19 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$51271$n1 E=$abc$51271$n819 Q=LED1 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][0] E=$abc$51271$n871 Q=int_tmr[0] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][1] E=$abc$51271$n861 Q=int_tmr[1] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][2] E=$abc$51271$n871 Q=int_tmr[2] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][3] E=$abc$51271$n871 Q=int_tmr[3] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][4] E=$abc$51271$n871 Q=int_tmr[4] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][5] E=$abc$51271$n871 Q=int_tmr[5] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][6] E=$abc$51271$n871 Q=int_tmr[6] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][7] E=$abc$51271$n871 Q=int_tmr[7] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][8] E=$abc$51271$n871 Q=int_tmr[8] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][9] E=$abc$51271$n871 Q=int_tmr[9] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][10] E=$abc$51271$n871 Q=int_tmr[10] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][11] E=$abc$51271$n871 Q=int_tmr[11] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][12] E=$abc$51271$n871 Q=int_tmr[12] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][13] E=$abc$51271$n871 Q=int_tmr[13] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][14] E=$abc$51271$n871 Q=int_tmr[14] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$true E=$abc$51271$n922 Q=$abc$51271$n10 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][0] E=$abc$51271$n778 Q=init_ram_cnt[0] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][1] E=$abc$51271$n778 Q=init_ram_cnt[1] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][2] E=$abc$51271$n778 Q=init_ram_cnt[2] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][3] E=$abc$51271$n778 Q=init_ram_cnt[3] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][4] E=$abc$51271$n778 Q=init_ram_cnt[4] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][5] E=$abc$51271$n778 Q=init_ram_cnt[5] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][6] E=$abc$51271$n778 Q=init_ram_cnt[6] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][7] E=$abc$51271$n778 Q=init_ram_cnt[7] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][0] E=$abc$51271$n926 Q=ring_wr[0] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][1] E=$abc$51271$n926 Q=ring_wr[1] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][2] E=$abc$51271$n926 Q=ring_wr[2] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][3] E=$abc$51271$n926 Q=ring_wr[3] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$10\ring_rd[3:0][0] E=$abc$51271$n940 Q=ring_rd[0] S=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$10\ring_rd[3:0][1] E=$abc$51271$n940 Q=ring_rd[1] S=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$10\ring_rd[3:0][2] E=$abc$51271$n940 Q=ring_rd[2] S=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$10\ring_rd[3:0][3] E=$abc$51271$n940 Q=ring_rd[3] S=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][0] E=$abc$51271$n943 Q=wr_cnt[0] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][1] E=$abc$51271$n943 Q=wr_cnt[1] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][2] E=$abc$51271$n943 Q=wr_cnt[2] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][3] E=$abc$51271$n943 Q=wr_cnt[3] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$3\report_wr_en[0:0] E=$abc$51271$n951 Q=report_wr_en S=$abc$51271$n23 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][0] E=$abc$51271$n955 Q=report_data_wadr[0] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][1] E=$abc$51271$n955 Q=report_data_wadr[1] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][2] E=$abc$51271$n955 Q=report_data_wadr[2] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][3] E=$abc$51271$n955 Q=report_data_wadr[3] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][4] E=$abc$51271$n955 Q=report_data_wadr[4] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][5] E=$abc$51271$n955 Q=report_data_wadr[5] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][6] E=$abc$51271$n955 Q=report_data_wadr[6] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][7] E=$abc$51271$n955 Q=report_data_wadr[7] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$add$top.v:182$126_Y[0] E=$abc$51271$n977 Q=report_data_radr[0] R=$abc$51271$n21 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][1] E=$abc$51271$n971 Q=report_data_radr[1] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][2] E=$abc$51271$n977 Q=report_data_radr[2] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][3] E=$abc$51271$n977 Q=report_data_radr[3] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][4] E=$abc$51271$n977 Q=report_data_radr[4] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][5] E=$abc$51271$n977 Q=report_data_radr[5] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][6] E=$abc$51271$n977 Q=report_data_radr[6] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][7] E=$abc$51271$n977 Q=report_data_radr[7] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][0] E=$abc$51271$n955 Q=report_data_wr[0] R=$abc$51271$n23 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][1] E=$abc$51271$n955 Q=report_data_wr[1] R=$abc$51271$n23 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][2] E=$abc$51271$n955 Q=report_data_wr[2] R=$abc$51271$n23 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][3] E=$abc$51271$n955 Q=report_data_wr[3] R=$abc$51271$n23 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][4] E=$abc$51271$n955 Q=report_data_wr[4] R=$abc$51271$n23 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][5] E=$abc$51271$n955 Q=report_data_wr[5] R=$abc$51271$n23 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][6] E=$abc$51271$n955 Q=report_data_wr[6] R=$abc$51271$n23 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][7] E=$abc$51271$n955 Q=report_data_wr[7] R=$abc$51271$n23 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$abc$51271$n1010 Q=temp_output_report[0] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$abc$51271$n1010 Q=temp_output_report[1] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$abc$51271$n1010 Q=temp_output_report[2] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][0] E=$abc$51271$n1086 Q=i2c_input_data_type[0] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][1] E=$abc$51271$n1086 Q=i2c_input_data_type[1] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][2] E=$abc$51271$n1086 Q=i2c_input_data_type[2] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][3] E=$abc$51271$n1086 Q=i2c_input_data_type[3] -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][0] E=$abc$51271$n1116 Q=I2C_INPUT_LEN[0] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][1] E=$abc$51271$n1116 Q=I2C_INPUT_LEN[1] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][2] E=$abc$51271$n1116 Q=I2C_INPUT_LEN[2] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][3] E=$abc$51271$n1116 Q=I2C_INPUT_LEN[3] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][4] E=$abc$51271$n1116 Q=I2C_INPUT_LEN[4] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][5] E=$abc$51271$n1116 Q=I2C_INPUT_LEN[5] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][6] E=$abc$51271$n1116 Q=I2C_INPUT_LEN[6] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][7] E=$abc$51271$n1116 Q=I2C_INPUT_LEN[7] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][0] E=$abc$51271$n1119 Q=I2C_OUTPUT_TYPE[0] S=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][1] E=$abc$51271$n1119 Q=I2C_OUTPUT_TYPE[1] S=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][2] E=$abc$51271$n1119 Q=I2C_OUTPUT_TYPE[2] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51271$n1119 Q=I2C_OUT_DESC_MASK[0] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51271$n1119 Q=I2C_OUT_DESC_MASK[1] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51271$n1119 Q=I2C_OUT_DESC_MASK[2] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51271$n1119 Q=I2C_OUT_DESC_MASK[3] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51271$n1119 Q=I2C_OUT_DESC_MASK[4] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51271$n1119 Q=I2C_OUT_DESC_MASK[5] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51271$n1119 Q=I2C_OUT_DESC_MASK[6] R=$abc$51271$n35 +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFESR C=CLK D=$2\UART_WR[0:0] E=$abc$19694$n26 Q=UART_WR R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51271$n1119 Q=I2C_OUT_DESC_MASK[7] R=$abc$51271$n35 +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][0] E=$abc$19694$n31 Q=UART_TX_DATA[0] S=$abc$19694$n36 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=temp_output_report[0] E=$abc$51271$n1136 Q=LED2 S=$abc$51271$n35 +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][1] E=$abc$19694$n31 Q=UART_TX_DATA[1] S=$abc$19694$n36 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=temp_output_report[1] E=$abc$51271$n1136 Q=LED3 R=$abc$51271$n35 +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][2] E=$abc$19694$n31 Q=UART_TX_DATA[2] S=$abc$19694$n36 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=temp_output_report[2] E=$abc$51271$n1136 Q=LED4 S=$abc$51271$n35 +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][3] E=$abc$19694$n31 Q=UART_TX_DATA[3] S=$abc$19694$n36 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.wr E=$abc$51271$n1146 Q=last_wr +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][4] E=$abc$19694$n31 Q=UART_TX_DATA[4] S=$abc$19694$n36 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=I2C_TRANS E=$abc$51271$n1149 Q=last_trans R=$abc$51271$n35 +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][5] E=$abc$19694$n31 Q=UART_TX_DATA[5] S=$abc$19694$n36 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=UART.tx_activity E=$abc$51271$n1156 Q=last_uart_active R=$abc$51271$n35 +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][6] E=$abc$19694$n31 Q=UART_TX_DATA[6] S=$abc$19694$n36 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.isr E=$abc$51271$n1160 Q=last_isr R=$abc$51271$n35 +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][7] E=$abc$19694$n31 Q=UART_TX_DATA[7] S=$abc$19694$n36 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$2\uart_double_ff[0:0] E=$abc$51271$n1165 Q=uart_double_ff R=$abc$51271$n35 +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$abc$19694$n1 E=$abc$19694$n25 Q=LED1 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFN C=CLK D=SCL Q=I2C.SCLF +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][0] E=$abc$19694$n25 Q=int_tmr[0] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:1" -.gate SB_DFFN C=CLK D=I2C.SDA_IN Q=I2C.SDAF +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][1] E=$abc$19694$n25 Q=int_tmr[1] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:1" -.gate SB_DFF C=CLK D=$abc$51271$n2051 Q=I2C.wr +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][2] E=$abc$19694$n25 Q=int_tmr[2] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$51271$n2049 Q=I2C.is_ack +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][3] E=$abc$19694$n25 Q=int_tmr[3] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$51271$n2033 Q=I2C.byte_counter[0] +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][4] E=$abc$19694$n25 Q=int_tmr[4] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$51271$n2035 Q=I2C.byte_counter[1] +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][5] E=$abc$19694$n25 Q=int_tmr[5] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$51271$n2037 Q=I2C.byte_counter[2] +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][6] E=$abc$19694$n25 Q=int_tmr[6] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$51271$n2039 Q=I2C.byte_counter[3] +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][7] E=$abc$19694$n25 Q=int_tmr[7] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$51271$n2041 Q=I2C.byte_counter[4] +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][8] E=$abc$19694$n25 Q=int_tmr[8] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$51271$n2043 Q=I2C.byte_counter[5] +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][9] E=$abc$19694$n25 Q=int_tmr[9] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$51271$n2045 Q=I2C.byte_counter[6] +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][10] E=$abc$19694$n25 Q=int_tmr[10] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$51271$n2047 Q=I2C.byte_counter[7] +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][11] E=$abc$19694$n25 Q=int_tmr[11] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51271$n1174 Q=I2C.received_byte[0] +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][12] E=$abc$19694$n25 Q=int_tmr[12] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51271$n1188 Q=I2C.received_byte[1] +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][13] E=$abc$19694$n25 Q=int_tmr[13] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51271$n1193 Q=I2C.received_byte[2] +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][14] E=$abc$19694$n25 Q=int_tmr[14] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51271$n1198 Q=I2C.received_byte[3] +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][0] E=$abc$19694$n25 Q=ring_wr[0] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51271$n1203 Q=I2C.received_byte[4] +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][1] E=$abc$19694$n25 Q=ring_wr[1] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51271$n1208 Q=I2C.received_byte[5] +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][2] E=$abc$19694$n25 Q=ring_wr[2] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51271$n1214 Q=I2C.received_byte[6] +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][3] E=$abc$19694$n25 Q=ring_wr[3] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51271$n1222 Q=I2C.received_byte[7] +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][0] E=$abc$19694$n25 Q=ring_rd[0] S=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFF C=CLK D=$abc$51271$n2025 Q=I2C.i2c_bit_counter[0] +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][1] E=$abc$19694$n25 Q=ring_rd[1] S=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$51271$n2027 Q=I2C.i2c_bit_counter[1] +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][2] E=$abc$19694$n25 Q=ring_rd[2] S=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$51271$n2029 Q=I2C.i2c_bit_counter[2] +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][3] E=$abc$19694$n25 Q=ring_rd[3] S=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$51271$n2031 Q=I2C.i2c_bit_counter[3] +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][0] E=$abc$19694$n45 Q=wr_cnt[0] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$51271$n2023 Q=I2C.is_read +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][1] E=$abc$19694$n45 Q=wr_cnt[1] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFE C=CLK D=$abc$51271$n2003 E=$abc$51271$n1225 Q=I2C.i2c_start_latency +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][2] E=$abc$19694$n45 Q=wr_cnt[2] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFF C=CLK D=$abc$51271$n5 Q=$abc$51271$n14 +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][3] E=$abc$19694$n45 Q=wr_cnt[3] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$51271$n7 Q=$abc$51271$n16 +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\report_wr_en[0:0] E=$abc$19694$n59 Q=report_wr_en R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFF C=CLK D=$abc$51271$n2019 Q=I2C.SDA_DIR +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\temp_output_report[2:0][0] E=$abc$19694$n30 Q=temp_output_report[0] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFE C=CLK D=$abc$51271$n2186 E=I2C.FLT_SCL.RESET Q=UART.tx_activity +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\temp_output_report[2:0][1] E=$abc$19694$n30 Q=temp_output_report[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:30|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$51271$n3 E=$abc$51271$n1230 Q=$abc$51271$n12 +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFE C=CLK D=$0\temp_output_report[2:0][2] E=$abc$19694$n30 Q=temp_output_report[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:30|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2179 E=$abc$51271$n1235 Q=UART.tx_clk_counter[0] R=$abc$51271$n35 +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$20\i2c_input_data_type[3:0][0] E=$abc$19694$n30 Q=i2c_input_data_type[0] R=$abc$19694$n36 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:30|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2181 E=$abc$51271$n1235 Q=UART.tx_clk_counter[1] R=$abc$51271$n35 +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$20\i2c_input_data_type[3:0][1] E=$abc$19694$n30 Q=i2c_input_data_type[1] R=$abc$19694$n36 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:30|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2183 E=$abc$51271$n1235 Q=UART.tx_clk_counter[2] R=$abc$51271$n35 +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$20\i2c_input_data_type[3:0][2] E=$abc$19694$n30 Q=i2c_input_data_type[2] R=$abc$19694$n36 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:30|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2185 E=$abc$51271$n1235 Q=UART.tx_clk_counter[3] R=$abc$51271$n35 +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$20\i2c_input_data_type[3:0][3] E=$abc$19694$n30 Q=i2c_input_data_type[3] R=$abc$19694$n36 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:30|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$51271$n2381 E=$abc$51271$n1230 Q=UART.tx_bit_counter[0] S=$abc$51271$n25 +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][0] E=$abc$19694$n25 Q=I2C_COUNTER[0] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:30|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2379 E=$abc$51271$n1230 Q=UART.tx_bit_counter[1] R=$abc$51271$n25 +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][1] E=$abc$19694$n25 Q=I2C_COUNTER[1] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:30|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2464 E=$abc$51271$n1230 Q=UART.tx_bit_counter[2] R=$abc$51271$n25 +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][2] E=$abc$19694$n25 Q=I2C_COUNTER[2] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:30|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$51271$n2466 E=$abc$51271$n1230 Q=UART.tx_bit_counter[3] S=$abc$51271$n25 +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][3] E=$abc$19694$n25 Q=I2C_COUNTER[3] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:30|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=UART_WR E=I2C.FLT_SCL.RESET Q=UART.TX_sig_last +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][4] E=$abc$19694$n25 Q=I2C_COUNTER[4] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:30|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2308 E=$abc$51271$n1274 Q=KEYBOARD.report[5][0] R=$abc$51271$n35 +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][5] E=$abc$19694$n25 Q=I2C_COUNTER[5] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2309 E=$abc$51271$n1274 Q=KEYBOARD.report[5][1] R=$abc$51271$n35 +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][6] E=$abc$19694$n25 Q=I2C_COUNTER[6] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2310 E=$abc$51271$n1274 Q=KEYBOARD.report[5][2] R=$abc$51271$n35 +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][0] E=$abc$19694$n25 Q=I2C_HID_DESC.DESC_TYPE R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2311 E=$abc$51271$n1274 Q=KEYBOARD.report[5][3] R=$abc$51271$n35 +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESS C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][1] E=$abc$19694$n25 Q=I2C_OUTPUT_TYPE[1] S=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2312 E=$abc$51271$n1274 Q=KEYBOARD.report[5][4] R=$abc$51271$n35 +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][2] E=$abc$19694$n25 Q=I2C_OUTPUT_TYPE[2] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2313 E=$abc$51271$n1274 Q=KEYBOARD.report[5][5] R=$abc$51271$n35 +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$19694$n63 Q=I2C_OUT_DESC_MASK[0] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2314 E=$abc$51271$n1274 Q=KEYBOARD.report[5][6] R=$abc$51271$n35 +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$19694$n63 Q=I2C_OUT_DESC_MASK[1] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2315 E=$abc$51271$n1274 Q=KEYBOARD.report[5][7] R=$abc$51271$n35 +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$19694$n63 Q=I2C_OUT_DESC_MASK[2] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[0] E=$abc$51271$n1320 Q=kbd_report[6][0] R=$abc$51271$n27 +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$19694$n63 Q=I2C_OUT_DESC_MASK[3] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[1] E=$abc$51271$n1320 Q=kbd_report[6][1] R=$abc$51271$n27 +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$19694$n63 Q=I2C_OUT_DESC_MASK[4] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[2] E=$abc$51271$n1320 Q=kbd_report[6][2] R=$abc$51271$n27 +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$19694$n63 Q=I2C_OUT_DESC_MASK[5] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[3] E=$abc$51271$n1320 Q=kbd_report[6][3] R=$abc$51271$n27 +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$19694$n63 Q=I2C_OUT_DESC_MASK[6] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[4] E=$abc$51271$n1320 Q=kbd_report[6][4] R=$abc$51271$n27 +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$19694$n63 Q=I2C_OUT_DESC_MASK[7] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[5] E=$abc$51271$n1320 Q=kbd_report[6][5] R=$abc$51271$n27 +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$0\temp_output_report[2:0][0] E=$abc$19694$n90 Q=LED2 R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[6] E=$abc$51271$n1320 Q=kbd_report[6][6] R=$abc$51271$n27 +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$0\temp_output_report[2:0][1] E=$abc$19694$n90 Q=LED3 R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[7] E=$abc$51271$n1320 Q=kbd_report[6][7] R=$abc$51271$n27 +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=$0\temp_output_report[2:0][2] E=$abc$19694$n90 Q=LED4 R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2308 E=$abc$51271$n1336 Q=KEYBOARD.report[4][0] R=$abc$51271$n35 +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=I2C.wr E=$abc$19694$n25 Q=last_wr R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2309 E=$abc$51271$n1336 Q=KEYBOARD.report[4][1] R=$abc$51271$n35 +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=I2C_TRANS E=$abc$19694$n25 Q=last_trans R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2310 E=$abc$51271$n1336 Q=KEYBOARD.report[4][2] R=$abc$51271$n35 +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFESR C=CLK D=KEYBOARD.isr E=$abc$19694$n25 Q=last_isr R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2311 E=$abc$51271$n1336 Q=KEYBOARD.report[4][3] R=$abc$51271$n35 +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" +.gate SB_DFFSS C=CLK D=$2\IS_RAM_INIT[0:0] Q=IS_RAM_INIT S=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2312 E=$abc$51271$n1336 Q=KEYBOARD.report[4][4] R=$abc$51271$n35 +.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=SCL Q=I2C.SCLF .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2313 E=$abc$51271$n1336 Q=KEYBOARD.report[4][5] R=$abc$51271$n35 +.attr src "top.v:20|i2c_slave.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFF C=CLK D=I2C.SDA_IN Q=I2C.SDAF .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2314 E=$abc$51271$n1336 Q=KEYBOARD.report[4][6] R=$abc$51271$n35 +.attr src "top.v:20|i2c_slave.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" +.gate SB_DFFNE C=CLK D=$abc$19694$n1338 E=I2C.FLT_SCL.RESET Q=I2C.wr .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2315 E=$abc$51271$n1336 Q=KEYBOARD.report[4][7] R=$abc$51271$n35 +.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19694$n1336 E=I2C.FLT_SCL.RESET Q=I2C.is_ack .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2308 E=$abc$51271$n1349 Q=KEYBOARD.report[3][0] R=$abc$51271$n35 +.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19694$n1334 E=I2C.FLT_SCL.RESET Q=I2C.is_adress .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2309 E=$abc$51271$n1349 Q=KEYBOARD.report[3][1] R=$abc$51271$n35 +.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$19694$n101 Q=I2C.received_byte[0] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2310 E=$abc$51271$n1349 Q=KEYBOARD.report[3][2] R=$abc$51271$n35 +.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$19694$n115 Q=I2C.received_byte[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2311 E=$abc$51271$n1349 Q=KEYBOARD.report[3][3] R=$abc$51271$n35 +.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$19694$n127 Q=I2C.received_byte[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2312 E=$abc$51271$n1349 Q=KEYBOARD.report[3][4] R=$abc$51271$n35 +.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$19694$n133 Q=I2C.received_byte[3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2313 E=$abc$51271$n1349 Q=KEYBOARD.report[3][5] R=$abc$51271$n35 +.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$19694$n139 Q=I2C.received_byte[4] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2314 E=$abc$51271$n1349 Q=KEYBOARD.report[3][6] R=$abc$51271$n35 +.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$19694$n145 Q=I2C.received_byte[5] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2315 E=$abc$51271$n1349 Q=KEYBOARD.report[3][7] R=$abc$51271$n35 +.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$19694$n151 Q=I2C.received_byte[6] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2308 E=$abc$51271$n1359 Q=KEYBOARD.report[2][0] R=$abc$51271$n35 +.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$19694$n160 Q=I2C.received_byte[7] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2309 E=$abc$51271$n1359 Q=KEYBOARD.report[2][1] R=$abc$51271$n35 +.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19694$n1326 E=I2C.FLT_SCL.RESET Q=I2C.i2c_bit_counter[0] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2310 E=$abc$51271$n1359 Q=KEYBOARD.report[2][2] R=$abc$51271$n35 +.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19694$n1328 E=I2C.FLT_SCL.RESET Q=I2C.i2c_bit_counter[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2311 E=$abc$51271$n1359 Q=KEYBOARD.report[2][3] R=$abc$51271$n35 +.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19694$n1330 E=I2C.FLT_SCL.RESET Q=I2C.i2c_bit_counter[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2312 E=$abc$51271$n1359 Q=KEYBOARD.report[2][4] R=$abc$51271$n35 +.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19694$n1332 E=I2C.FLT_SCL.RESET Q=I2C.i2c_bit_counter[3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2313 E=$abc$51271$n1359 Q=KEYBOARD.report[2][5] R=$abc$51271$n35 +.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19694$n1324 E=I2C.FLT_SCL.RESET Q=I2C.is_read .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2314 E=$abc$51271$n1359 Q=KEYBOARD.report[2][6] R=$abc$51271$n35 +.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19694$n1302 E=$abc$19694$n165 Q=I2C.i2c_start_latency .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2315 E=$abc$51271$n1359 Q=KEYBOARD.report[2][7] R=$abc$51271$n35 +.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNSR C=CLK D=$abc$19694$n1322 Q=I2C.i2c_state_machine R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2308 E=$abc$51271$n1372 Q=KEYBOARD.report[1][0] R=$abc$51271$n35 +.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:1" +.gate SB_DFFNE C=CLK D=$abc$19694$n3 E=I2C.FLT_SCL.RESET Q=$abc$19694$n10 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2309 E=$abc$51271$n1372 Q=KEYBOARD.report[1][1] R=$abc$51271$n35 +.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19694$n5 E=I2C.FLT_SCL.RESET Q=$abc$19694$n12 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2310 E=$abc$51271$n1372 Q=KEYBOARD.report[1][2] R=$abc$51271$n35 +.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19694$n1320 E=I2C.FLT_SCL.RESET Q=I2C.SDA_DIR .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2311 E=$abc$51271$n1372 Q=KEYBOARD.report[1][3] R=$abc$51271$n35 +.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNSR C=CLK D=$abc$19694$n1413 Q=UART.tx_activity R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2312 E=$abc$51271$n1372 Q=KEYBOARD.report[1][4] R=$abc$51271$n35 +.attr src "top.v:29|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:1" +.gate SB_DFFNE C=CLK D=$abc$19694$n7 E=$abc$19694$n180 Q=$abc$19694$n14 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2313 E=$abc$51271$n1372 Q=KEYBOARD.report[1][5] R=$abc$51271$n35 +.attr src "top.v:29|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$19694$n1415 E=$abc$19694$n179 Q=UART.tx_clk_counter[0] S=$abc$19694$n17 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2314 E=$abc$51271$n1372 Q=KEYBOARD.report[1][6] R=$abc$51271$n35 +.attr src "top.v:29|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n1416 E=$abc$19694$n179 Q=UART.tx_clk_counter[1] R=$abc$19694$n17 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2315 E=$abc$51271$n1372 Q=KEYBOARD.report[1][7] R=$abc$51271$n35 +.attr src "top.v:29|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$19694$n1417 E=$abc$19694$n179 Q=UART.tx_clk_counter[2] S=$abc$19694$n17 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2286 E=$abc$51271$n1378 Q=KEYBOARD.report[0][0] R=$abc$51271$n35 +.attr src "top.v:29|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$19694$n1418 E=$abc$19694$n179 Q=UART.tx_clk_counter[3] S=$abc$19694$n17 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2289 E=$abc$51271$n1378 Q=KEYBOARD.report[0][1] R=$abc$51271$n35 +.attr src "top.v:29|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$19694$n1625 E=$abc$19694$n180 Q=UART.tx_bit_counter[0] S=$abc$19694$n17 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2292 E=$abc$51271$n1378 Q=KEYBOARD.report[0][2] R=$abc$51271$n35 +.attr src "top.v:29|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n1623 E=$abc$19694$n180 Q=UART.tx_bit_counter[1] R=$abc$19694$n17 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2295 E=$abc$51271$n1378 Q=KEYBOARD.report[0][3] R=$abc$51271$n35 +.attr src "top.v:29|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n1713 E=$abc$19694$n180 Q=UART.tx_bit_counter[2] R=$abc$19694$n17 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2298 E=$abc$51271$n1378 Q=KEYBOARD.report[0][4] R=$abc$51271$n35 +.attr src "top.v:29|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$19694$n1715 E=$abc$19694$n180 Q=UART.tx_bit_counter[3] S=$abc$19694$n17 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2301 E=$abc$51271$n1378 Q=KEYBOARD.report[0][5] R=$abc$51271$n35 +.attr src "top.v:29|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=UART_WR E=I2C.FLT_SCL.RESET Q=UART.TX_sig_last .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2304 E=$abc$51271$n1378 Q=KEYBOARD.report[0][6] R=$abc$51271$n35 +.attr src "top.v:29|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$19694$n1436 E=$abc$19694$n213 Q=KEYBOARD.report_adress_rd[0] S=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2307 E=$abc$51271$n1378 Q=KEYBOARD.report[0][7] R=$abc$51271$n35 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n1438 E=$abc$19694$n213 Q=KEYBOARD.report_adress_rd[1] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9493[0] E=$abc$51271$n1382 Q=KEYBOARD.init_delay_cnt[0] R=$abc$51271$n35 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$19694$n1440 E=$abc$19694$n213 Q=KEYBOARD.report_adress_rd[2] S=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2396 E=$abc$51271$n1383 Q=KEYBOARD.init_delay_cnt[1] R=$abc$51271$n35 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n1442 E=$abc$19694$n213 Q=KEYBOARD.report_adress_rd[3] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9493[2] E=$abc$51271$n1382 Q=KEYBOARD.init_delay_cnt[2] R=$abc$51271$n35 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n1422 E=$abc$19694$n246 Q=KEYBOARD.ram_adr[0] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9493[3] E=$abc$51271$n1382 Q=KEYBOARD.init_delay_cnt[3] R=$abc$51271$n35 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n1425 E=$abc$19694$n246 Q=KEYBOARD.ram_adr[1] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$51271$n2191 E=$abc$51271$n1386 Q=KEYBOARD.ram_adr[0] +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$19694$n1427 E=$abc$19694$n246 Q=KEYBOARD.ram_adr[2] S=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$51271$n2194 E=$abc$51271$n1386 Q=KEYBOARD.ram_adr[1] +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n1428 E=$abc$19694$n246 Q=KEYBOARD.ram_adr[3] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$51271$n2197 E=$abc$51271$n1386 Q=KEYBOARD.ram_adr[2] +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$19694$n1429 E=$abc$19694$n246 Q=KEYBOARD.ram_adr[4] S=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$51271$n2199 E=$abc$51271$n1386 Q=KEYBOARD.ram_adr[3] +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$19694$n1430 E=$abc$19694$n246 Q=KEYBOARD.ram_adr[5] S=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[4] E=$abc$51271$n1386 Q=KEYBOARD.ram_adr[4] R=KEYBOARD.init_ram_cnt[8] +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$19694$n1431 E=$abc$19694$n246 Q=KEYBOARD.ram_adr[6] S=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[5] E=$abc$51271$n1386 Q=KEYBOARD.ram_adr[5] R=KEYBOARD.init_ram_cnt[8] +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$19694$n1433 E=$abc$19694$n246 Q=KEYBOARD.ram_adr[7] S=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[6] E=$abc$51271$n1386 Q=KEYBOARD.ram_adr[6] R=KEYBOARD.init_ram_cnt[8] +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$19694$n1434 E=$abc$19694$n246 Q=KEYBOARD.ram_adr[8] S=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[7] E=$abc$51271$n1386 Q=KEYBOARD.ram_adr[7] R=KEYBOARD.init_ram_cnt[8] +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$19694$n1459 E=$abc$19694$n30 Q=KEYBOARD.ram_wr S=KEYBOARD.IS_RAM_INIT .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[8] E=$abc$51271$n1386 Q=KEYBOARD.ram_adr[8] R=KEYBOARD.init_ram_cnt[8] +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19694$n909 E=$abc$19694$n251 Q=KEYBOARD.is_pressed .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$51271$n2213 E=$abc$51271$n1313 Q=KEYBOARD.ram_wr S=$abc$51271$n33 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19694$n913 E=$abc$19694$n254 Q=KEYBOARD.COLS_SHADOW[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$51271$n26 E=$abc$51271$n1408 Q=KEYBOARD.is_pressed +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19694$n916 E=$abc$19694$n254 Q=KEYBOARD.COLS_SHADOW[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$51271$n2264 E=$abc$51271$n1408 Q=KEYBOARD.kbd_code[0] S=$abc$51271$n29 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19694$n919 E=$abc$19694$n254 Q=KEYBOARD.COLS_SHADOW[3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$51271$n2265 E=$abc$51271$n1408 Q=KEYBOARD.kbd_code[1] S=$abc$51271$n29 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19694$n911 E=$abc$19694$n254 Q=KEYBOARD.COLS_SHADOW[4] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$51271$n2266 E=$abc$51271$n1408 Q=KEYBOARD.kbd_code[2] S=$abc$51271$n29 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19694$n914 E=$abc$19694$n254 Q=KEYBOARD.COLS_SHADOW[5] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$51271$n2267 E=$abc$51271$n1408 Q=KEYBOARD.kbd_code[3] S=$abc$51271$n29 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19694$n917 E=$abc$19694$n254 Q=KEYBOARD.COLS_SHADOW[6] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$51271$n2268 E=$abc$51271$n1408 Q=KEYBOARD.kbd_code[4] S=$abc$51271$n29 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19694$n920 E=$abc$19694$n254 Q=KEYBOARD.COLS_SHADOW[7] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$51271$n2269 E=$abc$51271$n1408 Q=KEYBOARD.kbd_code[5] S=$abc$51271$n29 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n1760 E=$abc$19694$n256 Q=KEYBOARD.ROWS_EN[0] R=$abc$19694$n18 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$51271$n2270 E=$abc$51271$n1408 Q=KEYBOARD.kbd_code[6] S=$abc$51271$n29 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n1761 E=$abc$19694$n256 Q=KEYBOARD.ROWS_EN[1] R=$abc$19694$n18 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$51271$n2271 E=$abc$51271$n1408 Q=KEYBOARD.kbd_code[7] S=$abc$51271$n29 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n1762 E=$abc$19694$n256 Q=KEYBOARD.ROWS_EN[2] R=$abc$19694$n18 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$51271$n2243 E=$abc$51271$n1390 Q=KEYBOARD.COLS_SHADOW[1] +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n1763 E=$abc$19694$n256 Q=KEYBOARD.ROWS_EN[3] R=$abc$19694$n18 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$51271$n2246 E=$abc$51271$n1390 Q=KEYBOARD.COLS_SHADOW[2] +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n1764 E=$abc$19694$n256 Q=KEYBOARD.ROWS_EN[4] R=$abc$19694$n18 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$51271$n2249 E=$abc$51271$n1390 Q=KEYBOARD.COLS_SHADOW[3] +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n1765 E=$abc$19694$n256 Q=KEYBOARD.ROWS_EN[5] R=$abc$19694$n18 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$51271$n2252 E=$abc$51271$n1390 Q=KEYBOARD.COLS_SHADOW[4] +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n1766 E=$abc$19694$n256 Q=KEYBOARD.ROWS_EN[6] R=$abc$19694$n18 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$51271$n2255 E=$abc$51271$n1390 Q=KEYBOARD.COLS_SHADOW[5] +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n1767 E=$abc$19694$n256 Q=KEYBOARD.ROWS_EN[7] R=$abc$19694$n18 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$51271$n2258 E=$abc$51271$n1390 Q=KEYBOARD.COLS_SHADOW[6] +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n1760 E=$abc$19694$n256 Q=KEYBOARD.ROWS_EN[8] R=$abc$19694$n19 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$51271$n2261 E=$abc$51271$n1390 Q=KEYBOARD.COLS_SHADOW[7] +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n1761 E=$abc$19694$n256 Q=KEYBOARD.ROWS_EN[9] R=$abc$19694$n19 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2572 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[0] R=$abc$51271$n30 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n1762 E=$abc$19694$n256 Q=KEYBOARD.ROWS_EN[10] R=$abc$19694$n19 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2573 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[1] R=$abc$51271$n30 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n1763 E=$abc$19694$n256 Q=KEYBOARD.ROWS_EN[11] R=$abc$19694$n19 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2574 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[2] R=$abc$51271$n30 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n1764 E=$abc$19694$n256 Q=KEYBOARD.ROWS_EN[12] R=$abc$19694$n19 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2575 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[3] R=$abc$51271$n30 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n1765 E=$abc$19694$n256 Q=KEYBOARD.ROWS_EN[13] R=$abc$19694$n19 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2576 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[4] R=$abc$51271$n30 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n1766 E=$abc$19694$n256 Q=KEYBOARD.ROWS_EN[14] R=$abc$19694$n19 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2577 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[5] R=$abc$51271$n30 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n1767 E=$abc$19694$n256 Q=KEYBOARD.ROWS_EN[15] R=$abc$19694$n19 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2578 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[6] R=$abc$51271$n30 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n1453 E=$abc$19694$n262 Q=KEYBOARD.isr_internal R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2579 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[7] R=$abc$51271$n30 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=KEYBOARD.isr_internal E=$abc$19694$n266 Q=KEYBOARD.isr R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2572 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[8] R=$abc$51271$n31 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$19694$n1500 E=$abc$19694$n268 Q=KEYBOARD.temp[0] S=KEYBOARD.IS_RAM_INIT .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2573 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[9] R=$abc$51271$n31 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$19694$n1504 E=$abc$19694$n268 Q=KEYBOARD.temp[1] S=KEYBOARD.IS_RAM_INIT .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2574 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[10] R=$abc$51271$n31 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$19694$n1502 E=$abc$19694$n268 Q=KEYBOARD.temp[2] S=KEYBOARD.IS_RAM_INIT .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2575 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[11] R=$abc$51271$n31 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$19694$n1505 E=$abc$19694$n268 Q=KEYBOARD.temp[3] S=KEYBOARD.IS_RAM_INIT .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2576 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[12] R=$abc$51271$n31 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$19694$n1501 E=$abc$19694$n268 Q=KEYBOARD.temp[4] S=KEYBOARD.IS_RAM_INIT .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2577 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[13] R=$abc$51271$n31 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$19694$n1506 E=$abc$19694$n268 Q=KEYBOARD.temp[5] S=KEYBOARD.IS_RAM_INIT .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2578 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[14] R=$abc$51271$n31 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$19694$n1507 E=$abc$19694$n268 Q=KEYBOARD.temp[6] S=KEYBOARD.IS_RAM_INIT .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2579 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[15] R=$abc$51271$n31 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$19694$n1503 E=$abc$19694$n268 Q=KEYBOARD.temp[7] S=KEYBOARD.IS_RAM_INIT .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2282 E=$abc$51271$n1405 Q=KEYBOARD.isr R=$abc$51271$n35 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19694$n1421 E=$abc$19694$n256 Q=KEYBOARD.row_counter[0] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$51271$n2273 E=$abc$51271$n1407 Q=KEYBOARD.temp[0] S=$abc$51271$n33 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19694$n1423 E=$abc$19694$n256 Q=KEYBOARD.row_counter[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$51271$n2277 E=$abc$51271$n1407 Q=KEYBOARD.temp[1] S=$abc$51271$n33 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19694$n1426 E=$abc$19694$n256 Q=KEYBOARD.row_counter[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$51271$n2275 E=$abc$51271$n1407 Q=KEYBOARD.temp[2] S=$abc$51271$n33 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19694$n18 E=$abc$19694$n256 Q=KEYBOARD.row_counter[3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$51271$n2278 E=$abc$51271$n1407 Q=KEYBOARD.temp[3] S=$abc$51271$n33 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n795 E=$abc$19694$n249 Q=KEYBOARD.row_time[0] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$51271$n2274 E=$abc$51271$n1407 Q=KEYBOARD.temp[4] S=$abc$51271$n33 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n796 E=$abc$19694$n249 Q=KEYBOARD.row_time[1] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$51271$n2279 E=$abc$51271$n1407 Q=KEYBOARD.temp[5] S=$abc$51271$n33 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n798 E=$abc$19694$n249 Q=KEYBOARD.row_time[2] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$51271$n2280 E=$abc$51271$n1407 Q=KEYBOARD.temp[6] S=$abc$51271$n33 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n799 E=$abc$19694$n249 Q=KEYBOARD.row_time[3] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESS C=CLK D=$abc$51271$n2276 E=$abc$51271$n1407 Q=KEYBOARD.temp[7] S=$abc$51271$n33 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n793 E=$abc$19694$n249 Q=KEYBOARD.row_time[4] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$51271$n2203 E=$abc$51271$n1408 Q=KEYBOARD.row_counter[0] +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n649 E=$abc$19694$n249 Q=KEYBOARD.row_time[5] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$51271$n2205 E=$abc$51271$n1408 Q=KEYBOARD.row_counter[1] +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n650 E=$abc$19694$n249 Q=KEYBOARD.row_time[6] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$51271$n2207 E=$abc$51271$n1408 Q=KEYBOARD.row_counter[2] +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n643 E=$abc$19694$n249 Q=KEYBOARD.row_time[7] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFE C=CLK D=$abc$51271$n2209 E=$abc$51271$n1408 Q=KEYBOARD.row_counter[3] +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n803 E=$abc$19694$n249 Q=KEYBOARD.row_time[8] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n1606 E=$abc$51271$n1273 Q=KEYBOARD.row_time[0] R=$abc$51271$n35 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n804 E=$abc$19694$n249 Q=KEYBOARD.row_time[9] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n1607 E=$abc$51271$n1273 Q=KEYBOARD.row_time[1] R=$abc$51271$n35 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n806 E=$abc$19694$n249 Q=KEYBOARD.row_time[10] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n1609 E=$abc$51271$n1273 Q=KEYBOARD.row_time[2] R=$abc$51271$n35 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n807 E=$abc$19694$n249 Q=KEYBOARD.row_time[11] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n1610 E=$abc$51271$n1273 Q=KEYBOARD.row_time[3] R=$abc$51271$n35 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n809 E=$abc$19694$n249 Q=KEYBOARD.row_time[12] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n1604 E=$abc$51271$n1273 Q=KEYBOARD.row_time[4] R=$abc$51271$n35 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n810 E=$abc$19694$n249 Q=KEYBOARD.row_time[13] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n396 E=$abc$51271$n1273 Q=KEYBOARD.row_time[5] R=$abc$51271$n35 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n814 E=$abc$19694$n249 Q=KEYBOARD.row_time[14] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n394 E=$abc$51271$n1273 Q=KEYBOARD.row_time[6] R=$abc$51271$n35 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESS C=CLK D=$abc$19694$n1449 E=$abc$19694$n25 Q=KEYBOARD.IS_RAM_INIT S=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n383 E=$abc$51271$n1273 Q=KEYBOARD.row_time[7] R=$abc$51271$n35 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n1520 E=$abc$19694$n297 Q=KEYBOARD.report_data_wr[0] R=KEYBOARD.IS_RAM_INIT .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n1614 E=$abc$51271$n1273 Q=KEYBOARD.row_time[8] R=$abc$51271$n35 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19694$n1444 E=$abc$19694$n297 Q=KEYBOARD.report_data_wr[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n1615 E=$abc$51271$n1273 Q=KEYBOARD.row_time[9] R=$abc$51271$n35 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n1525 E=$abc$19694$n297 Q=KEYBOARD.report_data_wr[2] R=KEYBOARD.IS_RAM_INIT .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n1617 E=$abc$51271$n1273 Q=KEYBOARD.row_time[10] R=$abc$51271$n35 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNE C=CLK D=$abc$19694$n1446 E=$abc$19694$n297 Q=KEYBOARD.report_data_wr[3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n1618 E=$abc$51271$n1273 Q=KEYBOARD.row_time[11] R=$abc$51271$n35 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n1530 E=$abc$19694$n297 Q=KEYBOARD.report_data_wr[4] R=KEYBOARD.IS_RAM_INIT .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n1623 E=$abc$51271$n1273 Q=KEYBOARD.row_time[12] R=$abc$51271$n35 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n1533 E=$abc$19694$n297 Q=KEYBOARD.report_data_wr[5] R=KEYBOARD.IS_RAM_INIT .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9494[0] E=$abc$51271$n1415 Q=KEYBOARD.init_ram_cnt[0] R=$abc$51271$n35 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n1536 E=$abc$19694$n297 Q=KEYBOARD.report_data_wr[6] R=KEYBOARD.IS_RAM_INIT .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2397 E=$abc$51271$n1414 Q=KEYBOARD.init_ram_cnt[1] R=$abc$51271$n35 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n1539 E=$abc$19694$n297 Q=KEYBOARD.report_data_wr[7] R=KEYBOARD.IS_RAM_INIT .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9494[2] E=$abc$51271$n1415 Q=KEYBOARD.init_ram_cnt[2] R=$abc$51271$n35 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFNESR C=CLK D=$abc$19694$n1448 E=$abc$19694$n309 Q=KEYBOARD.report_wr_en R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9494[3] E=$abc$51271$n1415 Q=KEYBOARD.init_ram_cnt[3] R=$abc$51271$n35 +.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" +.gate SB_DFFES C=CLK D=I2C.SDAF E=$abc$19694$n319 Q=I2C.FLT_SDA.out S=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9494[4] E=$abc$51271$n1415 Q=KEYBOARD.init_ram_cnt[4] R=$abc$51271$n35 +.attr src "top.v:20|i2c_slave.v:46|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:23" +.gate SB_DFFER C=CLK D=$abc$19694$n1562 E=$abc$19694$n320 Q=I2C.FLT_SDA.counter[0] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9494[5] E=$abc$51271$n1415 Q=KEYBOARD.init_ram_cnt[5] R=$abc$51271$n35 +.attr src "top.v:20|i2c_slave.v:46|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22" +.gate SB_DFFER C=CLK D=$abc$19694$n1563 E=$abc$19694$n320 Q=I2C.FLT_SDA.counter[1] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9494[6] E=$abc$51271$n1415 Q=KEYBOARD.init_ram_cnt[6] R=$abc$51271$n35 +.attr src "top.v:20|i2c_slave.v:46|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22" +.gate SB_DFFER C=CLK D=$abc$19694$n1564 E=$abc$19694$n320 Q=I2C.FLT_SDA.counter[2] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9494[7] E=$abc$51271$n1415 Q=KEYBOARD.init_ram_cnt[7] R=$abc$51271$n35 +.attr src "top.v:20|i2c_slave.v:46|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22" +.gate SB_DFFES C=CLK D=I2C.SCLF E=$abc$19694$n330 Q=I2C.FLT_SCL.out S=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9494[8] E=$abc$51271$n1415 Q=KEYBOARD.init_ram_cnt[8] R=$abc$51271$n35 +.attr src "top.v:20|i2c_slave.v:45|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:23" +.gate SB_DFFER C=CLK D=$abc$19694$n1565 E=$abc$19694$n331 Q=I2C.FLT_SCL.counter[0] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2322 E=$abc$51271$n1417 Q=I2C_HID_DESC.real_adress[0] R=$abc$51271$n35 +.attr src "top.v:20|i2c_slave.v:45|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22" +.gate SB_DFFER C=CLK D=$abc$19694$n1566 E=$abc$19694$n331 Q=I2C.FLT_SCL.counter[1] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:41|descriptors.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=I2C.byte_counter[1] E=$abc$51271$n1417 Q=I2C_HID_DESC.real_adress[1] R=$abc$51271$n35 +.attr src "top.v:20|i2c_slave.v:45|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22" +.gate SB_DFFER C=CLK D=$abc$19694$n1567 E=$abc$19694$n331 Q=I2C.FLT_SCL.counter[2] R=$abc$19694$n21 .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:41|descriptors.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=I2C.byte_counter[2] E=$abc$51271$n1417 Q=I2C_HID_DESC.real_adress[2] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:41|descriptors.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=I2C.byte_counter[3] E=$abc$51271$n1417 Q=I2C_HID_DESC.real_adress[3] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:41|descriptors.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=I2C.byte_counter[4] E=$abc$51271$n1417 Q=I2C_HID_DESC.real_adress[4] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:41|descriptors.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2324 E=$abc$51271$n1417 Q=I2C_HID_DESC.real_adress[5] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:41|descriptors.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2326 E=$abc$51271$n1417 Q=I2C_HID_DESC.real_adress[6] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:41|descriptors.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFESR C=CLK D=$abc$51271$n2328 E=$abc$51271$n1417 Q=I2C_HID_DESC.real_adress[7] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:41|descriptors.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" -.gate SB_DFFSR C=CLK D=I2C.wr Q=I2C_HID_DESC.last_rd_request R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:41|descriptors.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" -.gate SB_DFFR C=CLK D=$abc$51271$n2021 Q=I2C.i2c_state_machine R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:12" -.gate SB_DFFES C=CLK D=I2C.SDAF E=$abc$51271$n1426 Q=I2C.FLT_SDA.out S=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:46|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:23" -.gate SB_DFFER C=CLK D=$abc$51271$n2329 E=$abc$51271$n1427 Q=I2C.FLT_SDA.counter[0] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:46|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22" -.gate SB_DFFER C=CLK D=$abc$51271$n2330 E=$abc$51271$n1427 Q=I2C.FLT_SDA.counter[1] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:46|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22" -.gate SB_DFFER C=CLK D=$abc$51271$n2331 E=$abc$51271$n1427 Q=I2C.FLT_SDA.counter[2] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:46|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22" -.gate SB_DFFES C=CLK D=I2C.SCLF E=$abc$51271$n1436 Q=I2C.FLT_SCL.out S=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:45|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:23" -.gate SB_DFFER C=CLK D=$abc$51271$n2332 E=$abc$51271$n1437 Q=I2C.FLT_SCL.counter[0] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:45|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22" -.gate SB_DFFER C=CLK D=$abc$51271$n2333 E=$abc$51271$n1437 Q=I2C.FLT_SCL.counter[1] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:45|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22" -.gate SB_DFFER C=CLK D=$abc$51271$n2334 E=$abc$51271$n1437 Q=I2C.FLT_SCL.counter[2] R=$abc$51271$n35 -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:45|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22" +.attr src "top.v:20|i2c_slave.v:45|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22" .gate SB_IO D_IN_0=I2C.SDA_IN D_OUT_0=$false OUTPUT_ENABLE=I2C.SDA_DIR PACKAGE_PIN=SDA .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:21|i2c_slave.v:178" +.attr src "top.v:20|i2c_slave.v:158" .param PIN_TYPE 101001 .param PULLUP 0 -.gate SB_RAM40_4K RADDR[0]=I2C_HID_DESC.real_adress[0] RADDR[1]=I2C_HID_DESC.real_adress[1] RADDR[2]=I2C_HID_DESC.real_adress[2] RADDR[3]=I2C_HID_DESC.real_adress[3] RADDR[4]=I2C_HID_DESC.real_adress[4] RADDR[5]=I2C_HID_DESC.real_adress[5] RADDR[6]=I2C_HID_DESC.real_adress[6] RADDR[7]=I2C_HID_DESC.real_adress[7] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=I2C_HID_DESC.VAL[0] RDATA[1]=I2C_HID_DESC.VAL[1] RDATA[2]=I2C_HID_DESC.VAL[2] RDATA[3]=I2C_HID_DESC.VAL[3] RDATA[4]=I2C_HID_DESC.VAL[4] RDATA[5]=I2C_HID_DESC.VAL[5] RDATA[6]=I2C_HID_DESC.VAL[6] RDATA[7]=I2C_HID_DESC.VAL[7] RDATA[8]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$390[0] RDATA[9]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$390[1] RDATA[10]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$390[2] RDATA[11]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$390[3] RDATA[12]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$390[4] RDATA[13]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$390[5] RDATA[14]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$390[6] RDATA[15]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$390[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WDATA[8]=$false WDATA[9]=$false WDATA[10]=$false WDATA[11]=$false WDATA[12]=$false WDATA[13]=$false WDATA[14]=$false WDATA[15]=$false WE=$false -.attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:41|descriptors.v:143" -.param INIT_0 0000000000000000000000000000010000000000000000000000000000001010000000000000000000000000000000110000000000000000000000000000001000000000000000000000000000111111000000000000000100000000000000000000000000000000000000000001111000000000000000000000000000000000 -.param INIT_1 0000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000001000000000000000100000000000001000000000010011111000000000000000000000000000001100000000000000000000000000000010100000000000000000000000000000011 -.param INIT_2 0000000000000000000000000001010100000000111001110000000000101001000000001110000000000000000110010000000000000111000000000000010100000000000000010000000010100001000000000000011000000000000010010000000000000001000000000000010100000000000000000000000000000000 -.param INIT_3 0000000000000101000000001001010100000000000000010000000010000001000000000000100000000000011101010000000000000001000000001001010100000000000000100000000010000001000000000000100000000000100101010000000000000001000000000111010100000000000000010000000000100101 -.param INIT_4 0000000000000011000000001001000100000000000000110000000001110101000000000000000100000000100101010000000000000010000000001001000100000000000001010000000000101001000000000000000100000000000110010000000000001000000000000000010100000000000000010000000001110101 -.param INIT_5 0000000000000000000000001000000100000000011001010000000000101001000000000000000000000000000110010000000000000111000000000000010100000000011001010000000000100101000000000000000000000000000101010000000000001000000000000111010100000000000001100000000010010101 -.param INIT_6 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000 +.gate SB_RAM40_4K RADDR[0]=I2C_COUNTER[0] RADDR[1]=I2C_COUNTER[1] RADDR[2]=I2C_COUNTER[2] RADDR[3]=I2C_COUNTER[3] RADDR[4]=I2C_COUNTER[4] RADDR[5]=I2C_COUNTER[5] RADDR[6]=I2C_COUNTER[6] RADDR[7]=I2C_HID_DESC.DESC_TYPE RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=I2C_HID_DESC.VAL[0] RDATA[1]=I2C_HID_DESC.VAL[1] RDATA[2]=I2C_HID_DESC.VAL[2] RDATA[3]=I2C_HID_DESC.VAL[3] RDATA[4]=I2C_HID_DESC.VAL[4] RDATA[5]=I2C_HID_DESC.VAL[5] RDATA[6]=I2C_HID_DESC.VAL[6] RDATA[7]=I2C_HID_DESC.VAL[7] RDATA[8]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$310[0] RDATA[9]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$310[1] RDATA[10]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$310[2] RDATA[11]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$310[3] RDATA[12]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$310[4] RDATA[13]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$310[5] RDATA[14]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$310[6] RDATA[15]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$310[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WDATA[8]=$false WDATA[9]=$false WDATA[10]=$false WDATA[11]=$false WDATA[12]=$false WDATA[13]=$false WDATA[14]=$false WDATA[15]=$false WE=$false +.attr module_not_derived 00000000000000000000000000000001 +.attr src "top.v:39|descriptors.v:147" +.param INIT_0 0000000000000011000000000000000000000000000001000000000000000000000000000000101000000000000000000000000000000011000000000000000000000000000000100000000000000000000000000011111100000000000000010000000000000000000000000000000000000000000111100000000000000000 +.param INIT_1 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000100000000000000010000000000000100000000001001111100000000000000000000000000000110000000000000000000000000000001010000000000000000 +.param INIT_8 0000000000100101000000000000000000000000000101010000000011100111000000000010100100000000111000000000000000011001000000000000011100000000000001010000000000000001000000001010000100000000000001100000000000001001000000000000000100000000000001010000000000000000 +.param INIT_9 0000000001110101000000000000010100000000100101010000000000000001000000001000000100000000000010000000000001110101000000000000000100000000100101010000000000000010000000001000000100000000000010000000000010010101000000000000000100000000011101010000000000000001 +.param INIT_A 0000000010010101000000000000001100000000100100010000000000000011000000000111010100000000000000010000000010010101000000000000001000000000100100010000000000000101000000000010100100000000000000010000000000011001000000000000100000000000000001010000000000000001 +.param INIT_B 0000000011000000000000000000000000000000100000010000000001100101000000000010100100000000000000000000000000011001000000000000011100000000000001010000000001100101000000000010010100000000000000000000000000010101000000000000100000000000011101010000000000000110 +.param INIT_C 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +.param READ_MODE 00000000000000000000000000000001 +.param WRITE_MODE 00000000000000000000000000000001 +.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=KEYBOARD.ram_adr[0] RADDR[1]=KEYBOARD.ram_adr[1] RADDR[2]=KEYBOARD.ram_adr[2] RADDR[3]=KEYBOARD.ram_adr[3] RADDR[4]=KEYBOARD.ram_adr[4] RADDR[5]=KEYBOARD.ram_adr[5] RADDR[6]=KEYBOARD.ram_adr[6] RADDR[7]=KEYBOARD.ram_adr[7] RADDR[8]=KEYBOARD.ram_adr[8] RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.RAM.r_data[0] RDATA[1]=$techmap5282\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[1] RDATA[2]=KEYBOARD.RAM.r_data[1] RDATA[3]=$techmap5282\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[3] RDATA[4]=KEYBOARD.RAM.r_data[2] RDATA[5]=$techmap5282\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[5] RDATA[6]=KEYBOARD.RAM.r_data[3] RDATA[7]=$techmap5282\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[7] RDATA[8]=KEYBOARD.RAM.r_data[4] RDATA[9]=$techmap5282\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[9] RDATA[10]=KEYBOARD.RAM.r_data[5] RDATA[11]=$techmap5282\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[11] RDATA[12]=KEYBOARD.RAM.r_data[6] RDATA[13]=$techmap5282\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[13] RDATA[14]=KEYBOARD.RAM.r_data[7] RDATA[15]=$techmap5282\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=KEYBOARD.ram_adr[0] WADDR[1]=KEYBOARD.ram_adr[1] WADDR[2]=KEYBOARD.ram_adr[2] WADDR[3]=KEYBOARD.ram_adr[3] WADDR[4]=KEYBOARD.ram_adr[4] WADDR[5]=KEYBOARD.ram_adr[5] WADDR[6]=KEYBOARD.ram_adr[6] WADDR[7]=KEYBOARD.ram_adr[7] WADDR[8]=KEYBOARD.ram_adr[8] WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=KEYBOARD.ram_wr WDATA[0]=KEYBOARD.temp[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.temp[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.temp[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.temp[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.temp[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.temp[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.temp[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.temp[7] WDATA[15]=$undef WE=$true +.attr module_not_derived 00000000000000000000000000000001 +.attr src "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" +.param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1 +.param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_2 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_3 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_4 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_5 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_6 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_7 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_8 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_9 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_A xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_B xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_C xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_D xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_E xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx +.param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 -.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=KEYBOARD.ram_adr[0] RADDR[1]=KEYBOARD.ram_adr[1] RADDR[2]=KEYBOARD.ram_adr[2] RADDR[3]=KEYBOARD.ram_adr[3] RADDR[4]=KEYBOARD.ram_adr[4] RADDR[5]=KEYBOARD.ram_adr[5] RADDR[6]=KEYBOARD.ram_adr[6] RADDR[7]=KEYBOARD.ram_adr[7] RADDR[8]=KEYBOARD.ram_adr[8] RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.RAM.r_data[0] RDATA[1]=$techmap9665\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[1] RDATA[2]=KEYBOARD.RAM.r_data[1] RDATA[3]=$techmap9665\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[3] RDATA[4]=KEYBOARD.RAM.r_data[2] RDATA[5]=$techmap9665\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[5] RDATA[6]=KEYBOARD.RAM.r_data[3] RDATA[7]=$techmap9665\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[7] RDATA[8]=KEYBOARD.RAM.r_data[4] RDATA[9]=$techmap9665\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[9] RDATA[10]=KEYBOARD.RAM.r_data[5] RDATA[11]=$techmap9665\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[11] RDATA[12]=KEYBOARD.RAM.r_data[6] RDATA[13]=$techmap9665\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[13] RDATA[14]=KEYBOARD.RAM.r_data[7] RDATA[15]=$techmap9665\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=KEYBOARD.ram_adr[0] WADDR[1]=KEYBOARD.ram_adr[1] WADDR[2]=KEYBOARD.ram_adr[2] WADDR[3]=KEYBOARD.ram_adr[3] WADDR[4]=KEYBOARD.ram_adr[4] WADDR[5]=KEYBOARD.ram_adr[5] WADDR[6]=KEYBOARD.ram_adr[6] WADDR[7]=KEYBOARD.ram_adr[7] WADDR[8]=KEYBOARD.ram_adr[8] WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=KEYBOARD.ram_wr WDATA[0]=KEYBOARD.temp[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.temp[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.temp[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.temp[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.temp[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.temp[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.temp[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.temp[7] WDATA[15]=$undef WE=$true +.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=KEYBOARD.report_adress_rd[0] RADDR[1]=KEYBOARD.report_adress_rd[1] RADDR[2]=KEYBOARD.report_adress_rd[2] RADDR[3]=KEYBOARD.report_adress_rd[3] RADDR[4]=$false RADDR[5]=$false RADDR[6]=$false RADDR[7]=$false RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.REPORT.r_data[0] RDATA[1]=$techmap5280\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[1] RDATA[2]=KEYBOARD.REPORT.r_data[1] RDATA[3]=$techmap5280\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[3] RDATA[4]=KEYBOARD.REPORT.r_data[2] RDATA[5]=$techmap5280\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[5] RDATA[6]=KEYBOARD.REPORT.r_data[3] RDATA[7]=$techmap5280\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[7] RDATA[8]=KEYBOARD.REPORT.r_data[4] RDATA[9]=$techmap5280\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[9] RDATA[10]=KEYBOARD.REPORT.r_data[5] RDATA[11]=$techmap5280\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[11] RDATA[12]=KEYBOARD.REPORT.r_data[6] RDATA[13]=$techmap5280\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[13] RDATA[14]=KEYBOARD.REPORT.r_data[7] RDATA[15]=$techmap5280\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=KEYBOARD.report_adress_rd[0] WADDR[1]=KEYBOARD.report_adress_rd[1] WADDR[2]=KEYBOARD.report_adress_rd[2] WADDR[3]=KEYBOARD.report_adress_rd[3] WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=KEYBOARD.report_wr_en WDATA[0]=KEYBOARD.report_data_wr[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.report_data_wr[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.report_data_wr[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.report_data_wr[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.report_data_wr[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.report_data_wr[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.report_data_wr[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.report_data_wr[7] WDATA[15]=$undef WE=$true .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" .param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1 @@ -4732,9 +3332,9 @@ .param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 -.gate SB_RAM40_4K RADDR[0]=KEYBOARD.kbd_code[0] RADDR[1]=KEYBOARD.kbd_code[1] RADDR[2]=KEYBOARD.kbd_code[2] RADDR[3]=KEYBOARD.kbd_code[3] RADDR[4]=KEYBOARD.kbd_code[4] RADDR[5]=KEYBOARD.kbd_code[5] RADDR[6]=KEYBOARD.kbd_code[6] RADDR[7]=KEYBOARD.kbd_code[7] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.kbd_code_hid[0] RDATA[1]=KEYBOARD.kbd_code_hid[1] RDATA[2]=KEYBOARD.kbd_code_hid[2] RDATA[3]=KEYBOARD.kbd_code_hid[3] RDATA[4]=KEYBOARD.kbd_code_hid[4] RDATA[5]=KEYBOARD.kbd_code_hid[5] RDATA[6]=KEYBOARD.kbd_code_hid[6] RDATA[7]=KEYBOARD.kbd_code_hid[7] RDATA[8]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$391[0] RDATA[9]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$391[1] RDATA[10]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$391[2] RDATA[11]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$391[3] RDATA[12]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$391[4] RDATA[13]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$391[5] RDATA[14]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$391[6] RDATA[15]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$391[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WDATA[8]=$false WDATA[9]=$false WDATA[10]=$false WDATA[11]=$false WDATA[12]=$false WDATA[13]=$false WDATA[14]=$false WDATA[15]=$false WE=$false +.gate SB_RAM40_4K RADDR[0]=KEYBOARD.row_time[5] RADDR[1]=KEYBOARD.row_time[6] RADDR[2]=KEYBOARD.row_time[7] RADDR[3]=KEYBOARD.row_counter[0] RADDR[4]=KEYBOARD.row_counter[1] RADDR[5]=KEYBOARD.row_counter[2] RADDR[6]=KEYBOARD.row_counter[3] RADDR[7]=$false RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.kbd_code_hid[0] RDATA[1]=KEYBOARD.kbd_code_hid[1] RDATA[2]=KEYBOARD.kbd_code_hid[2] RDATA[3]=KEYBOARD.kbd_code_hid[3] RDATA[4]=KEYBOARD.kbd_code_hid[4] RDATA[5]=KEYBOARD.kbd_code_hid[5] RDATA[6]=KEYBOARD.kbd_code_hid[6] RDATA[7]=KEYBOARD.kbd_code_hid[7] RDATA[8]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$311[0] RDATA[9]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$311[1] RDATA[10]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$311[2] RDATA[11]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$311[3] RDATA[12]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$311[4] RDATA[13]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$311[5] RDATA[14]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$311[6] RDATA[15]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$311[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WDATA[8]=$false WDATA[9]=$false WDATA[10]=$false WDATA[11]=$false WDATA[12]=$false WDATA[13]=$false WDATA[14]=$false WDATA[15]=$false WE=$false .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:189" +.attr src "top.v:37|matrix_kbd.v:288" .param INIT_0 0000000000000000000000000000000100000000000000010000000000000001000000001110011100000000010110000000000001001100000000000101001100000000000000010000000000000001000000000000000100000000000000010000000011100000000000000011100100000000111000010000000000101001 .param INIT_1 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 .param INIT_2 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 @@ -4747,87 +3347,87 @@ .param INIT_9 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 -.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[0] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[0] PACKAGE_PIN=KBD_ROWS[0] +.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[0] D_OUT_0=$abc$19694$n1654 OUTPUT_ENABLE=KEYBOARD.ROWS_EN[0] PACKAGE_PIN=KBD_ROWS[0] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:215" +.attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 -.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[10] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[10] PACKAGE_PIN=KBD_ROWS[10] +.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[10] D_OUT_0=$abc$19694$n1674 OUTPUT_ENABLE=KEYBOARD.ROWS_EN[10] PACKAGE_PIN=KBD_ROWS[10] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:215" +.attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 -.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[11] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[11] PACKAGE_PIN=KBD_ROWS[11] +.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[11] D_OUT_0=$abc$19694$n1676 OUTPUT_ENABLE=KEYBOARD.ROWS_EN[11] PACKAGE_PIN=KBD_ROWS[11] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:215" +.attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 -.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[12] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[12] PACKAGE_PIN=KBD_ROWS[12] +.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[12] D_OUT_0=$abc$19694$n1678 OUTPUT_ENABLE=KEYBOARD.ROWS_EN[12] PACKAGE_PIN=KBD_ROWS[12] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:215" +.attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 -.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[13] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[13] PACKAGE_PIN=KBD_ROWS[13] +.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[13] D_OUT_0=$abc$19694$n1680 OUTPUT_ENABLE=KEYBOARD.ROWS_EN[13] PACKAGE_PIN=KBD_ROWS[13] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:215" +.attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 -.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[14] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[14] PACKAGE_PIN=KBD_ROWS[14] +.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[14] D_OUT_0=$abc$19694$n1682 OUTPUT_ENABLE=KEYBOARD.ROWS_EN[14] PACKAGE_PIN=KBD_ROWS[14] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:215" +.attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 -.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[15] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[15] PACKAGE_PIN=KBD_ROWS[15] +.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[15] D_OUT_0=$abc$19694$n1684 OUTPUT_ENABLE=KEYBOARD.ROWS_EN[15] PACKAGE_PIN=KBD_ROWS[15] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:215" +.attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 -.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[1] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[1] PACKAGE_PIN=KBD_ROWS[1] +.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[1] D_OUT_0=$abc$19694$n1656 OUTPUT_ENABLE=KEYBOARD.ROWS_EN[1] PACKAGE_PIN=KBD_ROWS[1] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:215" +.attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 -.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[2] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[2] PACKAGE_PIN=KBD_ROWS[2] +.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[2] D_OUT_0=$abc$19694$n1658 OUTPUT_ENABLE=KEYBOARD.ROWS_EN[2] PACKAGE_PIN=KBD_ROWS[2] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:215" +.attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 -.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[3] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[3] PACKAGE_PIN=KBD_ROWS[3] +.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[3] D_OUT_0=$abc$19694$n1660 OUTPUT_ENABLE=KEYBOARD.ROWS_EN[3] PACKAGE_PIN=KBD_ROWS[3] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:215" +.attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 -.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[4] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[4] PACKAGE_PIN=KBD_ROWS[4] +.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[4] D_OUT_0=$abc$19694$n1662 OUTPUT_ENABLE=KEYBOARD.ROWS_EN[4] PACKAGE_PIN=KBD_ROWS[4] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:215" +.attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 -.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[5] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[5] PACKAGE_PIN=KBD_ROWS[5] +.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[5] D_OUT_0=$abc$19694$n1664 OUTPUT_ENABLE=KEYBOARD.ROWS_EN[5] PACKAGE_PIN=KBD_ROWS[5] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:215" +.attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 -.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[6] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[6] PACKAGE_PIN=KBD_ROWS[6] +.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[6] D_OUT_0=$abc$19694$n1666 OUTPUT_ENABLE=KEYBOARD.ROWS_EN[6] PACKAGE_PIN=KBD_ROWS[6] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:215" +.attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 -.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[7] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[7] PACKAGE_PIN=KBD_ROWS[7] +.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[7] D_OUT_0=$abc$19694$n1668 OUTPUT_ENABLE=KEYBOARD.ROWS_EN[7] PACKAGE_PIN=KBD_ROWS[7] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:215" +.attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 -.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[8] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[8] PACKAGE_PIN=KBD_ROWS[8] +.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[8] D_OUT_0=$abc$19694$n1670 OUTPUT_ENABLE=KEYBOARD.ROWS_EN[8] PACKAGE_PIN=KBD_ROWS[8] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:215" +.attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 -.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[9] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[9] PACKAGE_PIN=KBD_ROWS[9] +.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[9] D_OUT_0=$abc$19694$n1672 OUTPUT_ENABLE=KEYBOARD.ROWS_EN[9] PACKAGE_PIN=KBD_ROWS[9] .attr module_not_derived 00000000000000000000000000000001 -.attr src "top.v:39|matrix_kbd.v:215" +.attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 -.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=report_data_radr[0] RADDR[1]=report_data_radr[1] RADDR[2]=report_data_radr[2] RADDR[3]=report_data_radr[3] RADDR[4]=report_data_radr[4] RADDR[5]=report_data_radr[5] RADDR[6]=report_data_radr[6] RADDR[7]=report_data_radr[7] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=REPORT_DATA.r_data[0] RDATA[1]=$techmap9664\REPORT_DATA.mem.0.0.0.A1DATA_16[1] RDATA[2]=REPORT_DATA.r_data[1] RDATA[3]=$techmap9664\REPORT_DATA.mem.0.0.0.A1DATA_16[3] RDATA[4]=REPORT_DATA.r_data[2] RDATA[5]=$techmap9664\REPORT_DATA.mem.0.0.0.A1DATA_16[5] RDATA[6]=REPORT_DATA.r_data[3] RDATA[7]=$techmap9664\REPORT_DATA.mem.0.0.0.A1DATA_16[7] RDATA[8]=REPORT_DATA.r_data[4] RDATA[9]=$techmap9664\REPORT_DATA.mem.0.0.0.A1DATA_16[9] RDATA[10]=REPORT_DATA.r_data[5] RDATA[11]=$techmap9664\REPORT_DATA.mem.0.0.0.A1DATA_16[11] RDATA[12]=REPORT_DATA.r_data[6] RDATA[13]=$techmap9664\REPORT_DATA.mem.0.0.0.A1DATA_16[13] RDATA[14]=REPORT_DATA.r_data[7] RDATA[15]=$techmap9664\REPORT_DATA.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=report_data_wadr[0] WADDR[1]=report_data_wadr[1] WADDR[2]=report_data_wadr[2] WADDR[3]=report_data_wadr[3] WADDR[4]=report_data_wadr[4] WADDR[5]=report_data_wadr[5] WADDR[6]=report_data_wadr[6] WADDR[7]=report_data_wadr[7] WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=report_wr_en WDATA[0]=report_data_wr[0] WDATA[1]=$undef WDATA[2]=report_data_wr[1] WDATA[3]=$undef WDATA[4]=report_data_wr[2] WDATA[5]=$undef WDATA[6]=report_data_wr[3] WDATA[7]=$undef WDATA[8]=report_data_wr[4] WDATA[9]=$undef WDATA[10]=report_data_wr[5] WDATA[11]=$undef WDATA[12]=report_data_wr[6] WDATA[13]=$undef WDATA[14]=report_data_wr[7] WDATA[15]=$undef WE=$true +.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=I2C_COUNTER[0] RADDR[1]=I2C_COUNTER[1] RADDR[2]=I2C_COUNTER[2] RADDR[3]=I2C_COUNTER[3] RADDR[4]=ring_rd[0] RADDR[5]=ring_rd[1] RADDR[6]=ring_rd[2] RADDR[7]=ring_rd[3] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=REPORT_DATA.clk RCLKE=$true RDATA[0]=REPORT_DATA.rdata[0] RDATA[1]=$techmap5281\REPORT_DATA.mem.0.0.0.A1DATA_16[1] RDATA[2]=REPORT_DATA.rdata[1] RDATA[3]=$techmap5281\REPORT_DATA.mem.0.0.0.A1DATA_16[3] RDATA[4]=REPORT_DATA.rdata[2] RDATA[5]=$techmap5281\REPORT_DATA.mem.0.0.0.A1DATA_16[5] RDATA[6]=REPORT_DATA.rdata[3] RDATA[7]=$techmap5281\REPORT_DATA.mem.0.0.0.A1DATA_16[7] RDATA[8]=REPORT_DATA.rdata[4] RDATA[9]=$techmap5281\REPORT_DATA.mem.0.0.0.A1DATA_16[9] RDATA[10]=REPORT_DATA.rdata[5] RDATA[11]=$techmap5281\REPORT_DATA.mem.0.0.0.A1DATA_16[11] RDATA[12]=REPORT_DATA.rdata[6] RDATA[13]=$techmap5281\REPORT_DATA.mem.0.0.0.A1DATA_16[13] RDATA[14]=REPORT_DATA.rdata[7] RDATA[15]=$techmap5281\REPORT_DATA.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=wr_cnt[0] WADDR[1]=wr_cnt[1] WADDR[2]=wr_cnt[2] WADDR[3]=wr_cnt[3] WADDR[4]=ring_wr[0] WADDR[5]=ring_wr[1] WADDR[6]=ring_wr[2] WADDR[7]=ring_wr[3] WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=REPORT_DATA.clk WCLKE=report_wr_en WDATA[0]=KEYBOARD.REPORT.r_data[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.REPORT.r_data[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.REPORT.r_data[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.REPORT.r_data[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.REPORT.r_data[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.REPORT.r_data[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.REPORT.r_data[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.REPORT.r_data[7] WDATA[15]=$undef WE=$true .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" .param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1 @@ -4848,26 +3448,12 @@ .param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 +.names $true COM_DSR +1 1 .names I2C.is_read COM_RTS 1 1 .names CLK I2C.CLK 1 1 -.names I2C.byte_counter[0] I2C.COUNTER[0] -1 1 -.names I2C.byte_counter[1] I2C.COUNTER[1] -1 1 -.names I2C.byte_counter[2] I2C.COUNTER[2] -1 1 -.names I2C.byte_counter[3] I2C.COUNTER[3] -1 1 -.names I2C.byte_counter[4] I2C.COUNTER[4] -1 1 -.names I2C.byte_counter[5] I2C.COUNTER[5] -1 1 -.names I2C.byte_counter[6] I2C.COUNTER[6] -1 1 -.names I2C.byte_counter[7] I2C.COUNTER[7] -1 1 .names CLK I2C.FLT_SCL.CLK 1 1 .names I2C.SCLF I2C.FLT_SCL.IN @@ -4918,47 +3504,39 @@ 1 1 .names I2C.is_ack I2C_ACK 1 1 -.names I2C.byte_counter[0] I2C_COUNTER[0] -1 1 -.names I2C.byte_counter[1] I2C_COUNTER[1] -1 1 -.names I2C.byte_counter[2] I2C_COUNTER[2] -1 1 -.names I2C.byte_counter[3] I2C_COUNTER[3] +.names I2C_COUNTER[0] I2C_HID_DESC.ADR[0] 1 1 -.names I2C.byte_counter[4] I2C_COUNTER[4] +.names I2C_COUNTER[1] I2C_HID_DESC.ADR[1] 1 1 -.names I2C.byte_counter[5] I2C_COUNTER[5] +.names I2C_COUNTER[2] I2C_HID_DESC.ADR[2] 1 1 -.names I2C.byte_counter[6] I2C_COUNTER[6] +.names I2C_COUNTER[3] I2C_HID_DESC.ADR[3] 1 1 -.names I2C.byte_counter[7] I2C_COUNTER[7] +.names I2C_COUNTER[4] I2C_HID_DESC.ADR[4] 1 1 -.names I2C.byte_counter[0] I2C_HID_DESC.ADR[0] +.names I2C_COUNTER[5] I2C_HID_DESC.ADR[5] 1 1 -.names I2C.byte_counter[1] I2C_HID_DESC.ADR[1] +.names I2C_COUNTER[6] I2C_HID_DESC.ADR[6] 1 1 -.names I2C.byte_counter[2] I2C_HID_DESC.ADR[2] -1 1 -.names I2C.byte_counter[3] I2C_HID_DESC.ADR[3] +.names CLK I2C_HID_DESC.CLK 1 1 -.names I2C.byte_counter[4] I2C_HID_DESC.ADR[4] +.names I2C_COUNTER[0] I2C_HID_DESC.RAM_ADR[0] 1 1 -.names I2C.byte_counter[5] I2C_HID_DESC.ADR[5] +.names I2C_COUNTER[1] I2C_HID_DESC.RAM_ADR[1] 1 1 -.names I2C.byte_counter[6] I2C_HID_DESC.ADR[6] +.names I2C_COUNTER[2] I2C_HID_DESC.RAM_ADR[2] 1 1 -.names I2C.byte_counter[7] I2C_HID_DESC.ADR[7] +.names I2C_COUNTER[3] I2C_HID_DESC.RAM_ADR[3] 1 1 -.names CLK I2C_HID_DESC.CLK +.names I2C_COUNTER[4] I2C_HID_DESC.RAM_ADR[4] 1 1 -.names I2C_OUTPUT_TYPE[0] I2C_HID_DESC.DESC_TYPE[0] +.names I2C_COUNTER[5] I2C_HID_DESC.RAM_ADR[5] 1 1 -.names I2C_OUTPUT_TYPE[1] I2C_HID_DESC.DESC_TYPE[1] +.names I2C_COUNTER[6] I2C_HID_DESC.RAM_ADR[6] 1 1 -.names I2C.wr I2C_HID_DESC.RD_REQUEST +.names I2C_HID_DESC.DESC_TYPE I2C_HID_DESC.RAM_ADR[7] 1 1 -.names I2C.FLT_SCL.RESET I2C_HID_DESC.RESET +.names I2C_HID_DESC.DESC_TYPE I2C_OUTPUT_TYPE[0] 1 1 .names I2C.is_read I2C_READ 1 1 @@ -5002,24 +3580,12 @@ 1 1 .names KEYBOARD.isr ISR 1 1 -.names COM_DSR KBD_FREEZE -1 1 .names LED2 KBD_LED_STATUS[0] 1 1 .names LED3 KBD_LED_STATUS[1] 1 1 .names LED4 KBD_LED_STATUS[2] 1 1 -.names $undef KBD_LED_STATUS[3] -1 1 -.names $undef KBD_LED_STATUS[4] -1 1 -.names $undef KBD_LED_STATUS[5] -1 1 -.names $undef KBD_LED_STATUS[6] -1 1 -.names $undef KBD_LED_STATUS[7] -1 1 .names CLK KEYBOARD.CLK 1 1 .names KBD_COLUMNS[0] KEYBOARD.COLUMNS[0] @@ -5038,6 +3604,8 @@ 1 1 .names KBD_COLUMNS[7] KEYBOARD.COLUMNS[7] 1 1 +.names IS_RAM_INIT KEYBOARD.FREEZE +1 1 .names KEYBOARD.isr KEYBOARD.INT 1 1 .names CLK KEYBOARD.RAM.clk @@ -5112,145 +3680,149 @@ 1 1 .names KEYBOARD.ram_wr KEYBOARD.RAM.wen 1 1 -.names I2C.FLT_SCL.RESET KEYBOARD.RESET +.names CLK KEYBOARD.REPORT.clk 1 1 -.names KBD_ROWS[0] KEYBOARD.ROWS[0] +.names KEYBOARD.report_adress_rd[0] KEYBOARD.REPORT.raddr[0] 1 1 -.names KBD_ROWS[1] KEYBOARD.ROWS[1] +.names KEYBOARD.report_adress_rd[1] KEYBOARD.REPORT.raddr[1] 1 1 -.names KBD_ROWS[2] KEYBOARD.ROWS[2] +.names KEYBOARD.report_adress_rd[2] KEYBOARD.REPORT.raddr[2] 1 1 -.names KBD_ROWS[3] KEYBOARD.ROWS[3] +.names KEYBOARD.report_adress_rd[3] KEYBOARD.REPORT.raddr[3] 1 1 -.names KBD_ROWS[4] KEYBOARD.ROWS[4] +.names $false KEYBOARD.REPORT.raddr[4] 1 1 -.names KBD_ROWS[5] KEYBOARD.ROWS[5] +.names $false KEYBOARD.REPORT.raddr[5] 1 1 -.names KBD_ROWS[6] KEYBOARD.ROWS[6] +.names $false KEYBOARD.REPORT.raddr[6] 1 1 -.names KBD_ROWS[7] KEYBOARD.ROWS[7] +.names $false KEYBOARD.REPORT.raddr[7] 1 1 -.names KBD_ROWS[8] KEYBOARD.ROWS[8] +.names $false KEYBOARD.REPORT.raddr[8] 1 1 -.names KBD_ROWS[9] KEYBOARD.ROWS[9] +.names KEYBOARD.REPORT.r_data[0] KEYBOARD.REPORT.rdata[0] 1 1 -.names KBD_ROWS[10] KEYBOARD.ROWS[10] +.names KEYBOARD.REPORT.r_data[1] KEYBOARD.REPORT.rdata[1] 1 1 -.names KBD_ROWS[11] KEYBOARD.ROWS[11] +.names KEYBOARD.REPORT.r_data[2] KEYBOARD.REPORT.rdata[2] 1 1 -.names KBD_ROWS[12] KEYBOARD.ROWS[12] +.names KEYBOARD.REPORT.r_data[3] KEYBOARD.REPORT.rdata[3] 1 1 -.names KBD_ROWS[13] KEYBOARD.ROWS[13] +.names KEYBOARD.REPORT.r_data[4] KEYBOARD.REPORT.rdata[4] 1 1 -.names KBD_ROWS[14] KEYBOARD.ROWS[14] +.names KEYBOARD.REPORT.r_data[5] KEYBOARD.REPORT.rdata[5] 1 1 -.names KBD_ROWS[15] KEYBOARD.ROWS[15] +.names KEYBOARD.REPORT.r_data[6] KEYBOARD.REPORT.rdata[6] +1 1 +.names KEYBOARD.REPORT.r_data[7] KEYBOARD.REPORT.rdata[7] 1 1 -.names KEYBOARD.report[0][0] KEYBOARD.kbd_r0[0] +.names KEYBOARD.report_adress_rd[0] KEYBOARD.REPORT.waddr[0] 1 1 -.names KEYBOARD.report[0][1] KEYBOARD.kbd_r0[1] +.names KEYBOARD.report_adress_rd[1] KEYBOARD.REPORT.waddr[1] 1 1 -.names KEYBOARD.report[0][2] KEYBOARD.kbd_r0[2] +.names KEYBOARD.report_adress_rd[2] KEYBOARD.REPORT.waddr[2] 1 1 -.names KEYBOARD.report[0][3] KEYBOARD.kbd_r0[3] +.names KEYBOARD.report_adress_rd[3] KEYBOARD.REPORT.waddr[3] 1 1 -.names KEYBOARD.report[0][4] KEYBOARD.kbd_r0[4] +.names $false KEYBOARD.REPORT.waddr[4] 1 1 -.names KEYBOARD.report[0][5] KEYBOARD.kbd_r0[5] +.names $false KEYBOARD.REPORT.waddr[5] 1 1 -.names KEYBOARD.report[0][6] KEYBOARD.kbd_r0[6] +.names $false KEYBOARD.REPORT.waddr[6] 1 1 -.names KEYBOARD.report[0][7] KEYBOARD.kbd_r0[7] +.names $false KEYBOARD.REPORT.waddr[7] 1 1 -.names KEYBOARD.report[1][0] KEYBOARD.kbd_r2[0] +.names $false KEYBOARD.REPORT.waddr[8] 1 1 -.names KEYBOARD.report[1][1] KEYBOARD.kbd_r2[1] +.names KEYBOARD.report_data_wr[0] KEYBOARD.REPORT.wdata[0] 1 1 -.names KEYBOARD.report[1][2] KEYBOARD.kbd_r2[2] +.names KEYBOARD.report_data_wr[1] KEYBOARD.REPORT.wdata[1] 1 1 -.names KEYBOARD.report[1][3] KEYBOARD.kbd_r2[3] +.names KEYBOARD.report_data_wr[2] KEYBOARD.REPORT.wdata[2] 1 1 -.names KEYBOARD.report[1][4] KEYBOARD.kbd_r2[4] +.names KEYBOARD.report_data_wr[3] KEYBOARD.REPORT.wdata[3] 1 1 -.names KEYBOARD.report[1][5] KEYBOARD.kbd_r2[5] +.names KEYBOARD.report_data_wr[4] KEYBOARD.REPORT.wdata[4] 1 1 -.names KEYBOARD.report[1][6] KEYBOARD.kbd_r2[6] +.names KEYBOARD.report_data_wr[5] KEYBOARD.REPORT.wdata[5] 1 1 -.names KEYBOARD.report[1][7] KEYBOARD.kbd_r2[7] +.names KEYBOARD.report_data_wr[6] KEYBOARD.REPORT.wdata[6] 1 1 -.names KEYBOARD.report[2][0] KEYBOARD.kbd_r3[0] +.names KEYBOARD.report_data_wr[7] KEYBOARD.REPORT.wdata[7] 1 1 -.names KEYBOARD.report[2][1] KEYBOARD.kbd_r3[1] +.names KEYBOARD.report_wr_en KEYBOARD.REPORT.wen 1 1 -.names KEYBOARD.report[2][2] KEYBOARD.kbd_r3[2] +.names wr_cnt[0] KEYBOARD.REPORT_ADRESS[0] 1 1 -.names KEYBOARD.report[2][3] KEYBOARD.kbd_r3[3] +.names wr_cnt[1] KEYBOARD.REPORT_ADRESS[1] 1 1 -.names KEYBOARD.report[2][4] KEYBOARD.kbd_r3[4] +.names wr_cnt[2] KEYBOARD.REPORT_ADRESS[2] 1 1 -.names KEYBOARD.report[2][5] KEYBOARD.kbd_r3[5] +.names wr_cnt[3] KEYBOARD.REPORT_ADRESS[3] 1 1 -.names KEYBOARD.report[2][6] KEYBOARD.kbd_r3[6] +.names KEYBOARD.REPORT.r_data[0] KEYBOARD.REPORT_DATA[0] 1 1 -.names KEYBOARD.report[2][7] KEYBOARD.kbd_r3[7] +.names KEYBOARD.REPORT.r_data[1] KEYBOARD.REPORT_DATA[1] 1 1 -.names KEYBOARD.report[3][0] KEYBOARD.kbd_r4[0] +.names KEYBOARD.REPORT.r_data[2] KEYBOARD.REPORT_DATA[2] 1 1 -.names KEYBOARD.report[3][1] KEYBOARD.kbd_r4[1] +.names KEYBOARD.REPORT.r_data[3] KEYBOARD.REPORT_DATA[3] 1 1 -.names KEYBOARD.report[3][2] KEYBOARD.kbd_r4[2] +.names KEYBOARD.REPORT.r_data[4] KEYBOARD.REPORT_DATA[4] 1 1 -.names KEYBOARD.report[3][3] KEYBOARD.kbd_r4[3] +.names KEYBOARD.REPORT.r_data[5] KEYBOARD.REPORT_DATA[5] 1 1 -.names KEYBOARD.report[3][4] KEYBOARD.kbd_r4[4] +.names KEYBOARD.REPORT.r_data[6] KEYBOARD.REPORT_DATA[6] 1 1 -.names KEYBOARD.report[3][5] KEYBOARD.kbd_r4[5] +.names KEYBOARD.REPORT.r_data[7] KEYBOARD.REPORT_DATA[7] 1 1 -.names KEYBOARD.report[3][6] KEYBOARD.kbd_r4[6] +.names I2C.FLT_SCL.RESET KEYBOARD.RESET +1 1 +.names KBD_ROWS[0] KEYBOARD.ROWS[0] 1 1 -.names KEYBOARD.report[3][7] KEYBOARD.kbd_r4[7] +.names KBD_ROWS[1] KEYBOARD.ROWS[1] 1 1 -.names KEYBOARD.report[4][0] KEYBOARD.kbd_r5[0] +.names KBD_ROWS[2] KEYBOARD.ROWS[2] 1 1 -.names KEYBOARD.report[4][1] KEYBOARD.kbd_r5[1] +.names KBD_ROWS[3] KEYBOARD.ROWS[3] 1 1 -.names KEYBOARD.report[4][2] KEYBOARD.kbd_r5[2] +.names KBD_ROWS[4] KEYBOARD.ROWS[4] 1 1 -.names KEYBOARD.report[4][3] KEYBOARD.kbd_r5[3] +.names KBD_ROWS[5] KEYBOARD.ROWS[5] 1 1 -.names KEYBOARD.report[4][4] KEYBOARD.kbd_r5[4] +.names KBD_ROWS[6] KEYBOARD.ROWS[6] 1 1 -.names KEYBOARD.report[4][5] KEYBOARD.kbd_r5[5] +.names KBD_ROWS[7] KEYBOARD.ROWS[7] 1 1 -.names KEYBOARD.report[4][6] KEYBOARD.kbd_r5[6] +.names KBD_ROWS[8] KEYBOARD.ROWS[8] 1 1 -.names KEYBOARD.report[4][7] KEYBOARD.kbd_r5[7] +.names KBD_ROWS[9] KEYBOARD.ROWS[9] 1 1 -.names KEYBOARD.report[5][0] KEYBOARD.kbd_r6[0] +.names KBD_ROWS[10] KEYBOARD.ROWS[10] 1 1 -.names KEYBOARD.report[5][1] KEYBOARD.kbd_r6[1] +.names KBD_ROWS[11] KEYBOARD.ROWS[11] 1 1 -.names KEYBOARD.report[5][2] KEYBOARD.kbd_r6[2] +.names KBD_ROWS[12] KEYBOARD.ROWS[12] 1 1 -.names KEYBOARD.report[5][3] KEYBOARD.kbd_r6[3] +.names KBD_ROWS[13] KEYBOARD.ROWS[13] 1 1 -.names KEYBOARD.report[5][4] KEYBOARD.kbd_r6[4] +.names KBD_ROWS[14] KEYBOARD.ROWS[14] 1 1 -.names KEYBOARD.report[5][5] KEYBOARD.kbd_r6[5] +.names KBD_ROWS[15] KEYBOARD.ROWS[15] 1 1 -.names KEYBOARD.report[5][6] KEYBOARD.kbd_r6[6] +.names KEYBOARD.row_time[5] KEYBOARD.kbd_code[0] 1 1 -.names KEYBOARD.report[5][7] KEYBOARD.kbd_r6[7] +.names KEYBOARD.row_time[6] KEYBOARD.kbd_code[1] 1 1 -.names kbd_report[6][0] KEYBOARD.kbd_r7[0] +.names KEYBOARD.row_time[7] KEYBOARD.kbd_code[2] 1 1 -.names kbd_report[6][1] KEYBOARD.kbd_r7[1] +.names KEYBOARD.row_counter[0] KEYBOARD.kbd_code[3] 1 1 -.names kbd_report[6][2] KEYBOARD.kbd_r7[2] +.names KEYBOARD.row_counter[1] KEYBOARD.kbd_code[4] 1 1 -.names kbd_report[6][3] KEYBOARD.kbd_r7[3] +.names KEYBOARD.row_counter[2] KEYBOARD.kbd_code[5] 1 1 -.names kbd_report[6][4] KEYBOARD.kbd_r7[4] +.names KEYBOARD.row_counter[3] KEYBOARD.kbd_code[6] 1 1 .names KEYBOARD.RAM.r_data[0] KEYBOARD.ram_rd[0] 1 1 @@ -5268,87 +3840,89 @@ 1 1 .names KEYBOARD.RAM.r_data[7] KEYBOARD.ram_rd[7] 1 1 -.names kbd_report[6][0] KEYBOARD.report[6][0] +.names KEYBOARD.report_adress_rd[0] KEYBOARD.report_adress_wr[0] 1 1 -.names kbd_report[6][1] KEYBOARD.report[6][1] +.names KEYBOARD.report_adress_rd[1] KEYBOARD.report_adress_wr[1] 1 1 -.names kbd_report[6][2] KEYBOARD.report[6][2] +.names KEYBOARD.report_adress_rd[2] KEYBOARD.report_adress_wr[2] 1 1 -.names kbd_report[6][3] KEYBOARD.report[6][3] +.names KEYBOARD.report_adress_rd[3] KEYBOARD.report_adress_wr[3] 1 1 -.names kbd_report[6][4] KEYBOARD.report[6][4] +.names KEYBOARD.REPORT.r_data[0] KEYBOARD.report_data_rd[0] 1 1 -.names I2C_TRANS LED5 +.names KEYBOARD.REPORT.r_data[1] KEYBOARD.report_data_rd[1] +1 1 +.names KEYBOARD.REPORT.r_data[2] KEYBOARD.report_data_rd[2] 1 1 -.names CLK REPORT_DATA.clk +.names KEYBOARD.REPORT.r_data[3] KEYBOARD.report_data_rd[3] 1 1 -.names report_data_radr[0] REPORT_DATA.raddr[0] +.names KEYBOARD.REPORT.r_data[4] KEYBOARD.report_data_rd[4] 1 1 -.names report_data_radr[1] REPORT_DATA.raddr[1] +.names KEYBOARD.REPORT.r_data[5] KEYBOARD.report_data_rd[5] 1 1 -.names report_data_radr[2] REPORT_DATA.raddr[2] +.names KEYBOARD.REPORT.r_data[6] KEYBOARD.report_data_rd[6] 1 1 -.names report_data_radr[3] REPORT_DATA.raddr[3] +.names KEYBOARD.REPORT.r_data[7] KEYBOARD.report_data_rd[7] 1 1 -.names report_data_radr[4] REPORT_DATA.raddr[4] +.names I2C_TRANS LED5 1 1 -.names report_data_radr[5] REPORT_DATA.raddr[5] +.names REPORT_DATA.rdata[0] REPORT_DATA.r_data[0] 1 1 -.names report_data_radr[6] REPORT_DATA.raddr[6] +.names REPORT_DATA.rdata[1] REPORT_DATA.r_data[1] 1 1 -.names report_data_radr[7] REPORT_DATA.raddr[7] +.names REPORT_DATA.rdata[2] REPORT_DATA.r_data[2] 1 1 -.names $false REPORT_DATA.raddr[8] +.names I2C_COUNTER[0] REPORT_DATA.raddr[0] 1 1 -.names REPORT_DATA.r_data[0] REPORT_DATA.rdata[0] +.names I2C_COUNTER[1] REPORT_DATA.raddr[1] 1 1 -.names REPORT_DATA.r_data[1] REPORT_DATA.rdata[1] +.names I2C_COUNTER[2] REPORT_DATA.raddr[2] 1 1 -.names REPORT_DATA.r_data[2] REPORT_DATA.rdata[2] +.names I2C_COUNTER[3] REPORT_DATA.raddr[3] 1 1 -.names REPORT_DATA.r_data[3] REPORT_DATA.rdata[3] +.names ring_rd[0] REPORT_DATA.raddr[4] 1 1 -.names REPORT_DATA.r_data[4] REPORT_DATA.rdata[4] +.names ring_rd[1] REPORT_DATA.raddr[5] 1 1 -.names REPORT_DATA.r_data[5] REPORT_DATA.rdata[5] +.names ring_rd[2] REPORT_DATA.raddr[6] 1 1 -.names REPORT_DATA.r_data[6] REPORT_DATA.rdata[6] +.names ring_rd[3] REPORT_DATA.raddr[7] 1 1 -.names REPORT_DATA.r_data[7] REPORT_DATA.rdata[7] +.names $false REPORT_DATA.raddr[8] 1 1 -.names report_data_wadr[0] REPORT_DATA.waddr[0] +.names wr_cnt[0] REPORT_DATA.waddr[0] 1 1 -.names report_data_wadr[1] REPORT_DATA.waddr[1] +.names wr_cnt[1] REPORT_DATA.waddr[1] 1 1 -.names report_data_wadr[2] REPORT_DATA.waddr[2] +.names wr_cnt[2] REPORT_DATA.waddr[2] 1 1 -.names report_data_wadr[3] REPORT_DATA.waddr[3] +.names wr_cnt[3] REPORT_DATA.waddr[3] 1 1 -.names report_data_wadr[4] REPORT_DATA.waddr[4] +.names ring_wr[0] REPORT_DATA.waddr[4] 1 1 -.names report_data_wadr[5] REPORT_DATA.waddr[5] +.names ring_wr[1] REPORT_DATA.waddr[5] 1 1 -.names report_data_wadr[6] REPORT_DATA.waddr[6] +.names ring_wr[2] REPORT_DATA.waddr[6] 1 1 -.names report_data_wadr[7] REPORT_DATA.waddr[7] +.names ring_wr[3] REPORT_DATA.waddr[7] 1 1 .names $false REPORT_DATA.waddr[8] 1 1 -.names report_data_wr[0] REPORT_DATA.wdata[0] +.names KEYBOARD.REPORT.r_data[0] REPORT_DATA.wdata[0] 1 1 -.names report_data_wr[1] REPORT_DATA.wdata[1] +.names KEYBOARD.REPORT.r_data[1] REPORT_DATA.wdata[1] 1 1 -.names report_data_wr[2] REPORT_DATA.wdata[2] +.names KEYBOARD.REPORT.r_data[2] REPORT_DATA.wdata[2] 1 1 -.names report_data_wr[3] REPORT_DATA.wdata[3] +.names KEYBOARD.REPORT.r_data[3] REPORT_DATA.wdata[3] 1 1 -.names report_data_wr[4] REPORT_DATA.wdata[4] +.names KEYBOARD.REPORT.r_data[4] REPORT_DATA.wdata[4] 1 1 -.names report_data_wr[5] REPORT_DATA.wdata[5] +.names KEYBOARD.REPORT.r_data[5] REPORT_DATA.wdata[5] 1 1 -.names report_data_wr[6] REPORT_DATA.wdata[6] +.names KEYBOARD.REPORT.r_data[6] REPORT_DATA.wdata[6] 1 1 -.names report_data_wr[7] REPORT_DATA.wdata[7] +.names KEYBOARD.REPORT.r_data[7] REPORT_DATA.wdata[7] 1 1 .names report_wr_en REPORT_DATA.wen 1 1 @@ -5386,126 +3960,68 @@ 1 1 .names COM_TX UART_TX_LINE 1 1 -.names KEYBOARD.report[0][0] kbd_report[0][0] -1 1 -.names KEYBOARD.report[0][1] kbd_report[0][1] -1 1 -.names KEYBOARD.report[0][2] kbd_report[0][2] -1 1 -.names KEYBOARD.report[0][3] kbd_report[0][3] -1 1 -.names KEYBOARD.report[0][4] kbd_report[0][4] -1 1 -.names KEYBOARD.report[0][5] kbd_report[0][5] -1 1 -.names KEYBOARD.report[0][6] kbd_report[0][6] -1 1 -.names KEYBOARD.report[0][7] kbd_report[0][7] -1 1 -.names KEYBOARD.report[1][0] kbd_report[1][0] -1 1 -.names KEYBOARD.report[1][1] kbd_report[1][1] -1 1 -.names KEYBOARD.report[1][2] kbd_report[1][2] -1 1 -.names KEYBOARD.report[1][3] kbd_report[1][3] -1 1 -.names KEYBOARD.report[1][4] kbd_report[1][4] -1 1 -.names KEYBOARD.report[1][5] kbd_report[1][5] -1 1 -.names KEYBOARD.report[1][6] kbd_report[1][6] -1 1 -.names KEYBOARD.report[1][7] kbd_report[1][7] -1 1 -.names KEYBOARD.report[2][0] kbd_report[2][0] -1 1 -.names KEYBOARD.report[2][1] kbd_report[2][1] -1 1 -.names KEYBOARD.report[2][2] kbd_report[2][2] -1 1 -.names KEYBOARD.report[2][3] kbd_report[2][3] -1 1 -.names KEYBOARD.report[2][4] kbd_report[2][4] -1 1 -.names KEYBOARD.report[2][5] kbd_report[2][5] -1 1 -.names KEYBOARD.report[2][6] kbd_report[2][6] -1 1 -.names KEYBOARD.report[2][7] kbd_report[2][7] -1 1 -.names KEYBOARD.report[3][0] kbd_report[3][0] -1 1 -.names KEYBOARD.report[3][1] kbd_report[3][1] -1 1 -.names KEYBOARD.report[3][2] kbd_report[3][2] -1 1 -.names KEYBOARD.report[3][3] kbd_report[3][3] -1 1 -.names KEYBOARD.report[3][4] kbd_report[3][4] -1 1 -.names KEYBOARD.report[3][5] kbd_report[3][5] +.names I2C_COUNTER[0] report_data_radr[0] 1 1 -.names KEYBOARD.report[3][6] kbd_report[3][6] +.names I2C_COUNTER[1] report_data_radr[1] 1 1 -.names KEYBOARD.report[3][7] kbd_report[3][7] +.names I2C_COUNTER[2] report_data_radr[2] 1 1 -.names KEYBOARD.report[4][0] kbd_report[4][0] +.names I2C_COUNTER[3] report_data_radr[3] 1 1 -.names KEYBOARD.report[4][1] kbd_report[4][1] +.names ring_rd[0] report_data_radr[4] 1 1 -.names KEYBOARD.report[4][2] kbd_report[4][2] +.names ring_rd[1] report_data_radr[5] 1 1 -.names KEYBOARD.report[4][3] kbd_report[4][3] +.names ring_rd[2] report_data_radr[6] 1 1 -.names KEYBOARD.report[4][4] kbd_report[4][4] +.names ring_rd[3] report_data_radr[7] 1 1 -.names KEYBOARD.report[4][5] kbd_report[4][5] +.names REPORT_DATA.rdata[0] report_data_rd[0] 1 1 -.names KEYBOARD.report[4][6] kbd_report[4][6] +.names REPORT_DATA.rdata[1] report_data_rd[1] 1 1 -.names KEYBOARD.report[4][7] kbd_report[4][7] +.names REPORT_DATA.rdata[2] report_data_rd[2] 1 1 -.names KEYBOARD.report[5][0] kbd_report[5][0] +.names REPORT_DATA.rdata[3] report_data_rd[3] 1 1 -.names KEYBOARD.report[5][1] kbd_report[5][1] +.names REPORT_DATA.rdata[4] report_data_rd[4] 1 1 -.names KEYBOARD.report[5][2] kbd_report[5][2] +.names REPORT_DATA.rdata[5] report_data_rd[5] 1 1 -.names KEYBOARD.report[5][3] kbd_report[5][3] +.names REPORT_DATA.rdata[6] report_data_rd[6] 1 1 -.names KEYBOARD.report[5][4] kbd_report[5][4] +.names REPORT_DATA.rdata[7] report_data_rd[7] 1 1 -.names KEYBOARD.report[5][5] kbd_report[5][5] +.names wr_cnt[0] report_data_wadr[0] 1 1 -.names KEYBOARD.report[5][6] kbd_report[5][6] +.names wr_cnt[1] report_data_wadr[1] 1 1 -.names KEYBOARD.report[5][7] kbd_report[5][7] +.names wr_cnt[2] report_data_wadr[2] 1 1 -.names REPORT_DATA.r_data[0] report_data_rd[0] +.names wr_cnt[3] report_data_wadr[3] 1 1 -.names REPORT_DATA.r_data[1] report_data_rd[1] +.names ring_wr[0] report_data_wadr[4] 1 1 -.names REPORT_DATA.r_data[2] report_data_rd[2] +.names ring_wr[1] report_data_wadr[5] 1 1 -.names REPORT_DATA.r_data[3] report_data_rd[3] +.names ring_wr[2] report_data_wadr[6] 1 1 -.names REPORT_DATA.r_data[4] report_data_rd[4] +.names ring_wr[3] report_data_wadr[7] 1 1 -.names REPORT_DATA.r_data[5] report_data_rd[5] +.names KEYBOARD.REPORT.r_data[0] report_data_wr[0] 1 1 -.names REPORT_DATA.r_data[6] report_data_rd[6] +.names KEYBOARD.REPORT.r_data[1] report_data_wr[1] 1 1 -.names REPORT_DATA.r_data[7] report_data_rd[7] +.names KEYBOARD.REPORT.r_data[2] report_data_wr[2] 1 1 -.names $undef temp_output_report[3] +.names KEYBOARD.REPORT.r_data[3] report_data_wr[3] 1 1 -.names $undef temp_output_report[4] +.names KEYBOARD.REPORT.r_data[4] report_data_wr[4] 1 1 -.names $undef temp_output_report[5] +.names KEYBOARD.REPORT.r_data[5] report_data_wr[5] 1 1 -.names $undef temp_output_report[6] +.names KEYBOARD.REPORT.r_data[6] report_data_wr[6] 1 1 -.names $undef temp_output_report[7] +.names KEYBOARD.REPORT.r_data[7] report_data_wr[7] 1 1 .end diff --git a/i2c_keyboard/i2c_kbd_alt.ex b/i2c_keyboard/i2c_kbd_alt.ex index 9f99ce9..440504d 100644 --- a/i2c_keyboard/i2c_kbd_alt.ex +++ b/i2c_keyboard/i2c_kbd_alt.ex @@ -8,14 +8,18 @@ IOB_0 PINTYPE_5 IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_0 -buffer local_g0_1 io_0/OUT_ENB -buffer span4_vert_17 local_g0_1 +buffer local_g0_0 io_0/D_OUT_0 +buffer local_g0_3 io_0/OUT_ENB +buffer logic_op_top_0 local_g0_0 +buffer span4_horz_r_11 local_g0_3 .io_tile 9 0 IOB_0 PINTYPE_0 IOB_1 PINTYPE_0 IoCtrl REN_0 IoCtrl REN_1 +buffer io_0/D_IN_0 span4_vert_0 +buffer io_1/D_IN_0 span4_vert_12 .io_tile 10 17 IOB_0 PINTYPE_0 @@ -28,10 +32,14 @@ IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_0 IoCtrl REN_1 -buffer local_g0_6 io_1/OUT_ENB -buffer local_g1_0 io_0/OUT_ENB -buffer logic_op_bnr_6 local_g0_6 -buffer span4_horz_r_8 local_g1_0 +buffer local_g0_0 io_0/D_OUT_0 +buffer local_g0_2 io_1/OUT_ENB +buffer local_g0_3 io_0/OUT_ENB +buffer local_g1_4 io_1/D_OUT_0 +buffer logic_op_bnl_0 local_g0_0 +buffer logic_op_bnl_4 local_g1_4 +buffer span4_vert_10 local_g0_2 +buffer span4_vert_19 local_g0_3 .io_tile 0 14 IOB_0 PINTYPE_0 @@ -45,9 +53,9 @@ IoCtrl IE_1 IoCtrl REN_0 IoCtrl REN_1 buffer local_g0_0 io_0/D_OUT_0 -buffer local_g0_3 io_1/D_OUT_0 -buffer span4_horz_16 local_g0_0 -buffer span4_horz_27 local_g0_3 +buffer local_g0_1 io_1/D_OUT_0 +buffer span4_horz_32 local_g0_0 +buffer span4_vert_b_9 local_g0_1 .io_tile 13 4 IOB_0 PINTYPE_0 @@ -60,19 +68,21 @@ IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_0 IoCtrl REN_1 -buffer local_g0_2 io_1/OUT_ENB -buffer local_g1_4 io_0/OUT_ENB -buffer span4_horz_10 local_g0_2 -buffer span4_vert_b_4 local_g1_4 -routing span4_horz_37 span4_horz_13 -routing span4_horz_43 span4_vert_t_15 +buffer local_g0_1 io_1/D_OUT_0 +buffer local_g0_4 io_0/D_OUT_0 +buffer local_g1_0 io_0/OUT_ENB +buffer local_g1_7 io_1/OUT_ENB +buffer logic_op_tnl_4 local_g0_4 +buffer span12_horz_0 local_g1_0 +buffer span12_horz_17 local_g0_1 +buffer span4_vert_b_7 local_g1_7 .io_tile 8 0 IOB_1 PINTYPE_0 IoCtrl IE_0 IoCtrl REN_1 -routing span4_horz_l_12 span4_vert_1 -routing span4_horz_l_14 span4_vert_13 +buffer io_1/D_IN_0 span4_vert_4 +routing span4_vert_19 span4_vert_43 .io_tile 0 7 IoCtrl IE_0 @@ -85,23 +95,24 @@ IOB_0 PINTYPE_3 IOB_0 PINTYPE_5 IoCtrl IE_1 IoCtrl REN_0 -buffer local_g0_1 fabout -buffer local_g0_3 io_0/OUT_ENB -buffer span4_horz_41 local_g0_1 -buffer span4_vert_b_3 local_g0_3 +buffer io_0/D_IN_0 span4_horz_0 +buffer local_g0_1 io_0/OUT_ENB +buffer local_g0_5 fabout +buffer span4_horz_17 local_g0_1 +buffer span4_horz_5 local_g0_5 .io_tile 6 17 IoCtrl IE_1 -buffer local_g0_7 fabout -buffer logic_op_bot_7 local_g0_7 +buffer local_g1_6 fabout +buffer logic_op_bot_6 local_g1_6 .io_tile 4 0 IOB_0 PINTYPE_0 IOB_1 PINTYPE_0 IoCtrl REN_0 IoCtrl REN_1 -buffer io_0/D_IN_0 span4_vert_40 -buffer io_1/D_IN_0 span4_vert_4 +buffer io_0/D_IN_0 span4_vert_24 +buffer io_1/D_IN_0 span4_horz_r_10 .io_tile 13 12 IOB_0 PINTYPE_0 @@ -112,10 +123,11 @@ IOB_1 PINTYPE_3 IOB_1 PINTYPE_4 IoCtrl IE_0 IoCtrl IE_1 -buffer local_g0_0 io_0/D_OUT_0 -buffer local_g1_4 io_1/D_OUT_0 -buffer span4_horz_40 local_g0_0 -buffer span4_vert_b_12 local_g1_4 +buffer local_g0_7 io_1/D_OUT_0 +buffer local_g1_1 io_0/D_OUT_0 +buffer span12_horz_9 local_g1_1 +buffer span4_vert_b_15 local_g0_7 +routing span4_horz_1 span4_vert_b_0 .io_tile 13 3 IOB_1 PINTYPE_0 @@ -124,14 +136,17 @@ IOB_1 PINTYPE_5 IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_1 -buffer local_g0_6 io_1/OUT_ENB -buffer span4_horz_6 local_g0_6 +buffer local_g0_4 io_1/OUT_ENB +buffer local_g0_5 io_1/D_OUT_0 +buffer span4_horz_37 local_g0_5 +buffer span4_horz_4 local_g0_4 .io_tile 0 11 IOB_1 PINTYPE_0 IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_0 +routing span4_horz_31 span4_horz_7 .io_tile 13 7 IOB_0 PINTYPE_0 @@ -141,8 +156,9 @@ IOB_1 PINTYPE_0 IoCtrl IE_0 IoCtrl REN_0 IoCtrl REN_1 -buffer local_g1_7 io_0/D_OUT_0 -buffer span12_horz_7 local_g1_7 +buffer io_1/D_IN_0 span4_horz_4 +buffer local_g0_2 io_0/D_OUT_0 +buffer span4_horz_18 local_g0_2 .io_tile 12 17 IOB_0 PINTYPE_0 @@ -156,19 +172,21 @@ IoCtrl IE_1 IoCtrl REN_0 IoCtrl REN_1 buffer local_g0_2 io_1/OUT_ENB -buffer local_g1_2 io_0/OUT_ENB -buffer span4_vert_10 local_g1_2 -buffer span4_vert_2 local_g0_2 -routing span4_vert_25 span4_horz_l_12 +buffer local_g0_4 io_0/D_OUT_0 +buffer local_g0_5 io_0/OUT_ENB +buffer local_g1_0 io_1/D_OUT_0 +buffer logic_op_bot_0 local_g1_0 +buffer logic_op_bot_4 local_g0_4 +buffer span12_vert_10 local_g0_2 +buffer span4_vert_13 local_g0_5 .io_tile 5 0 IOB_0 PINTYPE_0 IOB_1 PINTYPE_0 IoCtrl REN_0 IoCtrl REN_1 -buffer io_0/D_IN_0 span4_horz_r_4 -buffer io_1/D_IN_0 span4_horz_r_6 -routing span4_vert_37 span4_vert_13 +buffer io_1/D_IN_0 span4_vert_12 +routing span4_vert_7 span4_horz_l_13 .io_tile 9 17 IOB_0 PINTYPE_0 @@ -181,11 +199,14 @@ IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_0 IoCtrl REN_1 -buffer local_g0_0 io_1/OUT_ENB -buffer local_g1_4 io_0/OUT_ENB -buffer span4_vert_32 local_g0_0 -buffer span4_vert_44 local_g1_4 -routing span4_vert_43 span4_horz_l_15 +buffer local_g0_1 io_1/D_OUT_0 +buffer local_g0_3 io_0/OUT_ENB +buffer local_g1_1 io_1/OUT_ENB +buffer local_g1_3 io_0/D_OUT_0 +buffer logic_op_bot_1 local_g0_1 +buffer logic_op_bot_3 local_g1_3 +buffer span4_vert_3 local_g0_3 +buffer span4_vert_9 local_g1_1 .io_tile 13 11 IOB_0 PINTYPE_0 @@ -198,21 +219,18 @@ IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_0 IoCtrl REN_1 +buffer local_g0_2 io_0/D_OUT_0 buffer local_g0_7 io_1/D_OUT_0 -buffer local_g1_5 io_0/D_OUT_0 -buffer span12_horz_21 local_g1_5 -buffer span12_horz_7 local_g0_7 - -.io_tile 13 15 -IoCtrl IE_0 -IoCtrl IE_1 -routing span4_horz_25 span4_vert_b_0 +buffer span4_horz_18 local_g0_2 +buffer span4_vert_b_15 local_g0_7 +routing span4_horz_19 span4_vert_t_15 .io_tile 6 0 IoCtrl REN_0 IoCtrl REN_1 -buffer local_g1_6 fabout -buffer logic_op_top_6 local_g1_6 +buffer local_g1_2 fabout +buffer logic_op_top_2 local_g1_2 +routing span4_horz_l_14 span4_vert_13 .io_tile 0 12 IOB_0 PINTYPE_0 @@ -221,10 +239,10 @@ IOB_0 PINTYPE_4 IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_1 -buffer local_g0_0 io_0/D_OUT_0 -buffer span4_horz_40 local_g0_0 -routing span4_horz_43 span4_vert_t_15 -routing span4_horz_7 span4_horz_31 +buffer local_g1_1 io_0/D_OUT_0 +buffer span4_horz_9 local_g1_1 +routing span4_horz_37 span4_horz_13 +routing span4_horz_7 span4_vert_t_13 .io_tile 13 6 IOB_0 PINTYPE_0 @@ -237,10 +255,14 @@ IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_0 IoCtrl REN_1 -buffer local_g0_4 io_1/OUT_ENB -buffer local_g0_7 io_0/OUT_ENB -buffer logic_op_lft_4 local_g0_4 -buffer logic_op_lft_7 local_g0_7 +buffer local_g0_3 io_1/D_OUT_0 +buffer local_g1_0 io_0/OUT_ENB +buffer local_g1_1 io_0/D_OUT_0 +buffer local_g1_7 io_1/OUT_ENB +buffer logic_op_bnl_1 local_g1_1 +buffer logic_op_bnl_3 local_g0_3 +buffer logic_op_lft_0 local_g1_0 +buffer logic_op_lft_7 local_g1_7 .io_tile 1 0 IOB_0 PINTYPE_0 @@ -253,10 +275,14 @@ IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_0 IoCtrl REN_1 -buffer local_g0_0 io_1/OUT_ENB -buffer local_g0_3 io_0/OUT_ENB -buffer span12_vert_11 local_g0_3 -buffer span4_horz_r_8 local_g0_0 +buffer local_g0_2 io_0/D_OUT_0 +buffer local_g0_5 io_1/D_OUT_0 +buffer local_g1_0 io_0/OUT_ENB +buffer local_g1_1 io_1/OUT_ENB +buffer logic_op_top_2 local_g0_2 +buffer span12_vert_0 local_g1_0 +buffer span4_horz_r_1 local_g1_1 +buffer span4_vert_5 local_g0_5 .io_tile 0 8 IOB_1 PINTYPE_0 @@ -271,7 +297,17 @@ IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_0 IoCtrl REN_1 -routing span4_horz_1 span4_horz_25 +routing span4_horz_19 span4_vert_t_15 + +.io_tile 0 1 +IoCtrl IE_0 +IoCtrl IE_1 +routing span4_horz_43 span4_vert_b_3 + +.io_tile 13 1 +IoCtrl IE_0 +IoCtrl IE_1 +routing span4_horz_19 span4_vert_t_15 .io_tile 11 17 IOB_0 PINTYPE_0 @@ -284,22 +320,27 @@ IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_0 IoCtrl REN_1 -buffer local_g1_0 io_0/OUT_ENB -buffer local_g1_7 io_1/OUT_ENB -buffer logic_op_bnr_0 local_g1_0 -buffer logic_op_bot_7 local_g1_7 +buffer local_g0_2 io_1/OUT_ENB +buffer local_g1_2 io_1/D_OUT_0 +buffer local_g1_4 io_0/OUT_ENB +buffer local_g1_5 io_0/D_OUT_0 +buffer logic_op_bot_2 local_g1_2 +buffer logic_op_bot_5 local_g1_5 +buffer span12_vert_4 local_g1_4 +buffer span4_horz_r_2 local_g0_2 .io_tile 7 0 IOB_1 PINTYPE_0 IoCtrl IE_0 IoCtrl REN_1 -buffer local_g0_5 fabout -buffer logic_op_top_5 local_g0_5 +buffer io_1/D_IN_0 span4_vert_12 +buffer local_g0_3 fabout +buffer span4_vert_19 local_g0_3 .io_tile 13 14 IoCtrl IE_0 IoCtrl IE_1 -routing span4_horz_37 span4_horz_13 +routing span4_horz_37 span4_vert_t_14 .io_tile 0 13 IOB_0 PINTYPE_0 @@ -308,31 +349,20 @@ IOB_0 PINTYPE_4 IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_1 -buffer local_g1_7 io_0/D_OUT_0 -buffer span4_vert_b_15 local_g1_7 -routing span4_horz_25 span4_horz_1 - -.io_tile 13 5 -IoCtrl IE_0 -IoCtrl IE_1 -routing span4_horz_1 span4_vert_b_0 - -.io_tile 0 6 -IoCtrl IE_0 -IoCtrl IE_1 -routing span4_horz_7 span4_horz_31 +buffer local_g1_1 io_0/D_OUT_0 +buffer span12_horz_9 local_g1_1 .io_tile 7 17 IoCtrl IE_0 IoCtrl IE_1 -buffer local_g0_3 fabout -buffer span4_horz_r_11 local_g0_3 +buffer local_g1_4 fabout +buffer span12_vert_4 local_g1_4 .io_tile 0 9 IoCtrl IE_0 IoCtrl IE_1 -buffer local_g0_1 fabout -buffer span4_horz_25 local_g0_1 +buffer local_g1_2 fabout +buffer span4_horz_18 local_g1_2 .io_tile 13 9 IOB_1 PINTYPE_0 @@ -341,2801 +371,2086 @@ IOB_1 PINTYPE_4 IoCtrl IE_0 IoCtrl IE_1 IoCtrl REN_1 -buffer local_g0_3 io_1/D_OUT_0 -buffer local_g1_6 fabout -buffer logic_op_bnl_6 local_g1_6 -buffer span4_horz_27 local_g0_3 - -.io_tile 0 2 -IoCtrl IE_0 -IoCtrl IE_1 -routing span4_horz_1 span4_vert_b_0 +buffer local_g0_1 fabout +buffer local_g1_4 io_1/D_OUT_0 +buffer span4_horz_9 local_g0_1 +buffer span4_vert_b_12 local_g1_4 .logic_tile 7 3 -LC_0 0000011000000000 0000 -LC_1 0000000011111000 0000 +LC_0 0000001000000000 0000 +LC_1 1110000000000000 0000 LC_2 0001000000000000 0000 -LC_3 0101110000000000 0000 -LC_4 0010000000000000 0000 -LC_6 0010001011110010 0100 DffEnable -LC_7 1111001000000000 0100 DffEnable -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_6/in_0 +LC_3 0001000000000000 0000 +LC_4 0000000010000000 0000 +LC_5 0000100000000000 0000 +LC_6 0101000000110000 0000 +LC_7 0101000000110000 0000 +buffer local_g0_0 lutff_0/in_2 +buffer local_g0_1 lutff_4/in_1 +buffer local_g0_2 lutff_4/in_0 buffer local_g0_3 lutff_7/in_0 -buffer local_g0_4 lutff_1/in_1 -buffer local_g0_6 lutff_0/in_0 -buffer local_g0_7 lutff_1/in_2 -buffer local_g1_1 lutff_7/in_1 -buffer local_g1_2 lutff_6/in_3 -buffer local_g1_4 lutff_3/in_2 +buffer local_g0_4 lutff_0/in_0 +buffer local_g0_4 lutff_5/in_1 +buffer local_g0_5 lutff_7/in_2 +buffer local_g0_6 lutff_7/in_1 +buffer local_g0_7 lutff_3/in_0 +buffer local_g1_0 lutff_5/in_2 +buffer local_g1_1 lutff_2/in_0 +buffer local_g1_2 lutff_0/in_1 +buffer local_g1_2 lutff_5/in_0 +buffer local_g1_3 lutff_4/in_2 buffer local_g1_4 lutff_6/in_1 buffer local_g1_5 lutff_3/in_1 -buffer local_g1_6 lutff_0/in_1 -buffer local_g1_6 lutff_2/in_1 -buffer local_g1_7 lutff_4/in_0 -buffer local_g2_0 lutff_1/in_3 -buffer local_g2_1 lutff_4/in_1 -buffer local_g2_3 lutff_7/in_2 -buffer local_g3_1 lutff_0/in_2 -buffer local_g3_1 lutff_2/in_0 -buffer local_g3_4 lutff_1/in_0 -buffer local_g3_4 lutff_3/in_0 -buffer local_g3_5 lutff_6/in_2 -buffer lutff_0/out local_g0_0 -buffer lutff_0/out sp4_h_r_16 -buffer lutff_1/out local_g1_1 -buffer lutff_2/out local_g1_2 +buffer local_g1_6 lutff_1/in_2 +buffer local_g2_0 lutff_6/in_2 +buffer local_g2_1 lutff_4/in_3 +buffer local_g2_3 lutff_1/in_0 +buffer local_g2_6 lutff_1/in_1 +buffer local_g2_6 lutff_7/in_3 +buffer local_g2_7 lutff_6/in_3 +buffer local_g3_0 lutff_2/in_1 +buffer local_g3_3 lutff_6/in_0 +buffer lutff_0/out local_g2_0 +buffer lutff_0/out local_g3_0 +buffer lutff_1/out local_g2_1 +buffer lutff_2/out local_g0_2 buffer lutff_2/out sp4_r_v_b_37 -buffer lutff_3/out local_g2_3 -buffer lutff_4/out local_g3_4 -buffer lutff_4/out sp4_r_v_b_25 -buffer lutff_4/out sp4_r_v_b_9 -buffer lutff_6/out local_g1_6 -buffer lutff_7/out local_g1_7 -buffer neigh_op_bnl_5 local_g3_5 -buffer neigh_op_bnr_5 local_g1_5 -buffer neigh_op_bot_3 local_g0_3 -buffer neigh_op_bot_4 local_g1_4 -buffer neigh_op_bot_6 local_g0_6 -buffer neigh_op_lft_7 local_g0_7 -buffer neigh_op_tnl_0 local_g2_0 -buffer neigh_op_tnl_1 local_g2_1 -buffer neigh_op_tnl_1 local_g3_1 -buffer sp4_v_b_4 local_g0_4 +buffer lutff_3/out local_g1_3 +buffer lutff_5/out local_g0_5 +buffer lutff_5/out local_g1_5 +buffer neigh_op_lft_1 local_g0_1 +buffer neigh_op_lft_6 local_g1_6 +buffer sp12_v_b_11 local_g3_3 +buffer sp12_v_b_15 sp4_v_b_19 +buffer sp4_h_r_16 local_g0_0 +buffer sp4_h_r_16 local_g1_0 +buffer sp4_h_r_17 local_g1_1 +buffer sp4_h_r_18 local_g1_2 +buffer sp4_h_r_20 local_g0_4 +buffer sp4_h_r_22 local_g0_6 +buffer sp4_h_r_4 local_g1_4 +buffer sp4_h_r_43 local_g2_3 +buffer sp4_r_v_b_14 local_g2_6 +buffer sp4_r_v_b_15 local_g2_7 +buffer sp4_v_b_15 local_g0_7 +buffer sp4_v_b_19 local_g0_3 +routing sp4_h_l_39 sp4_v_t_39 routing sp4_h_l_39 sp4_v_t_42 -routing sp4_h_l_40 sp4_v_t_47 -routing sp4_h_l_47 sp4_h_r_6 -routing sp4_h_l_47 sp4_v_b_10 -routing sp4_h_r_0 sp4_v_b_5 -routing sp4_v_b_10 sp4_h_r_4 -routing sp4_v_b_4 sp4_v_t_41 -routing sp4_v_b_5 sp4_h_r_5 -routing sp4_v_b_8 sp4_v_t_45 +routing sp4_h_l_40 sp4_h_r_1 +routing sp4_h_l_41 sp4_v_t_44 +routing sp4_v_t_40 sp4_h_r_5 .logic_tile 6 9 -LC_0 1110111011100000 0000 -LC_1 0000000000101000 0000 -LC_2 0001000100001111 0000 -LC_3 0000111111101100 0000 -LC_4 0001000000000000 0000 -LC_5 0000000000101000 0000 -LC_6 1110111011100000 0000 -LC_7 0000100010000000 0000 -buffer local_g0_1 lutff_1/in_2 -buffer local_g0_1 lutff_5/in_2 +LC_0 0000000000101111 0000 +LC_1 0000001000000000 0000 +LC_2 0000000000000010 0000 +LC_3 0000000000001001 0000 +LC_4 0000000000000010 0000 +LC_5 0000000011111110 0000 +LC_6 0000000000001000 0000 +LC_7 0000000000001000 0000 +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_1 lutff_0/in_1 buffer local_g0_2 lutff_3/in_3 -buffer local_g0_3 lutff_7/in_2 -buffer local_g0_4 lutff_3/in_1 -buffer local_g0_5 lutff_6/in_3 -buffer local_g0_6 lutff_2/in_0 -buffer local_g0_7 lutff_2/in_3 -buffer local_g0_7 lutff_3/in_2 -buffer local_g1_0 lutff_2/in_1 -buffer local_g1_1 lutff_0/in_0 -buffer local_g1_3 lutff_1/in_1 -buffer local_g1_3 lutff_5/in_1 -buffer local_g1_5 lutff_7/in_3 -buffer local_g1_6 lutff_1/in_0 +buffer local_g0_3 lutff_4/in_3 +buffer local_g0_3 lutff_6/in_1 +buffer local_g0_5 lutff_2/in_3 +buffer local_g0_6 lutff_7/in_3 +buffer local_g0_7 lutff_2/in_1 +buffer local_g1_1 lutff_2/in_0 +buffer local_g1_1 lutff_4/in_0 +buffer local_g1_1 lutff_6/in_0 +buffer local_g1_3 lutff_0/in_0 +buffer local_g1_4 lutff_0/in_3 +buffer local_g1_5 lutff_4/in_2 +buffer local_g1_5 lutff_6/in_2 buffer local_g1_6 lutff_4/in_1 -buffer local_g1_7 lutff_6/in_0 -buffer local_g2_2 lutff_0/in_2 -buffer local_g2_4 lutff_5/in_3 -buffer local_g2_4 lutff_7/in_1 +buffer local_g1_6 lutff_6/in_3 +buffer local_g1_7 lutff_5/in_3 +buffer local_g2_1 lutff_5/in_2 +buffer local_g2_2 lutff_2/in_2 +buffer local_g2_3 lutff_7/in_0 +buffer local_g2_4 lutff_3/in_1 buffer local_g2_5 lutff_5/in_0 -buffer local_g2_5 lutff_7/in_0 +buffer local_g2_6 lutff_5/in_1 buffer local_g2_7 lutff_3/in_0 -buffer local_g3_0 lutff_0/in_1 -buffer local_g3_1 lutff_6/in_2 -buffer local_g3_2 lutff_6/in_1 -buffer local_g3_3 lutff_2/in_2 -buffer local_g3_4 lutff_0/in_3 -buffer local_g3_5 lutff_1/in_3 -buffer local_g3_7 lutff_4/in_0 -buffer lutff_0/out local_g1_0 -buffer lutff_1/out local_g1_1 -buffer lutff_1/out sp4_h_r_34 -buffer lutff_1/out sp4_r_v_b_3 -buffer lutff_2/out local_g0_2 -buffer lutff_3/out sp4_h_r_6 -buffer lutff_4/out sp4_r_v_b_9 -buffer lutff_4/out sp4_v_b_24 -buffer lutff_5/out local_g0_5 -buffer lutff_5/out sp4_r_v_b_11 -buffer lutff_6/out local_g0_6 +buffer local_g2_7 lutff_7/in_2 +buffer local_g3_2 lutff_1/in_2 +buffer local_g3_4 lutff_1/in_0 +buffer local_g3_5 lutff_0/in_2 +buffer local_g3_6 lutff_3/in_2 +buffer local_g3_7 lutff_7/in_1 +buffer lutff_1/out local_g0_1 +buffer lutff_3/out local_g1_3 +buffer lutff_4/out local_g1_4 +buffer lutff_5/out local_g3_5 +buffer lutff_6/out sp4_r_v_b_45 +buffer lutff_7/out local_g0_7 buffer lutff_7/out local_g1_7 -buffer lutff_7/out sp12_v_b_14 -buffer lutff_7/out sp4_r_v_b_31 -buffer neigh_op_lft_4 local_g0_4 -buffer neigh_op_lft_7 local_g0_7 -buffer neigh_op_rgt_3 local_g3_3 -buffer neigh_op_rgt_4 local_g3_4 -buffer neigh_op_rgt_7 local_g2_7 -buffer neigh_op_rgt_7 local_g3_7 -buffer neigh_op_tnr_5 local_g2_5 -buffer neigh_op_tnr_5 local_g3_5 -buffer sp4_h_r_13 local_g1_5 -buffer sp4_h_r_17 local_g0_1 +buffer neigh_op_bnr_6 local_g0_6 +buffer neigh_op_tnl_1 local_g2_1 +buffer neigh_op_tnl_6 local_g2_6 +buffer neigh_op_tnl_6 local_g3_6 +buffer neigh_op_tnr_7 local_g3_7 +buffer sp12_h_r_14 sp4_h_r_19 +buffer sp4_h_r_18 local_g0_2 buffer sp4_h_r_19 local_g0_3 -buffer sp4_h_r_19 local_g1_3 -buffer sp4_h_r_22 local_g1_6 -buffer sp4_h_r_33 local_g3_1 -buffer sp4_h_r_44 local_g2_4 -buffer sp4_r_v_b_34 local_g2_2 -buffer sp4_v_b_40 local_g3_0 +buffer sp4_h_r_21 local_g0_5 +buffer sp4_h_r_21 local_g1_5 +buffer sp4_r_v_b_10 local_g2_2 +buffer sp4_r_v_b_15 local_g2_7 +buffer sp4_r_v_b_20 local_g3_4 +buffer sp4_r_v_b_25 local_g1_1 +buffer sp4_r_v_b_35 local_g0_0 +buffer sp4_r_v_b_35 local_g2_3 +buffer sp4_r_v_b_36 local_g2_4 +buffer sp4_r_v_b_37 local_g2_5 +buffer sp4_r_v_b_6 local_g1_6 buffer sp4_v_b_42 local_g3_2 -routing sp4_h_l_43 sp4_v_t_46 -routing sp4_v_b_0 sp4_v_t_37 -routing sp4_v_t_36 sp4_h_l_42 -routing sp4_v_t_37 sp4_h_l_37 -routing sp4_v_t_37 sp4_h_l_43 -routing sp4_v_t_40 sp4_h_l_46 -routing sp4_v_t_42 sp4_h_l_36 - -.logic_tile 1 3 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 1001100101100110 0000 -LC_6 1001000000000000 0000 -LC_7 0100000000000000 0111 DffEnable Set_NoReset AsyncSetReset -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_1 lutff_6/in_1 -buffer local_g0_1 lutff_7/in_0 -buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_1/in_2 -buffer local_g0_5 lutff_2/in_1 -buffer local_g1_6 lutff_0/in_1 -buffer local_g1_7 lutff_1/in_1 -buffer local_g3_7 lutff_6/in_0 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/out sp4_v_b_20 -buffer lutff_7/out local_g3_7 -buffer lutff_7/out sp4_r_v_b_47 -buffer neigh_op_bot_2 local_g0_2 -buffer neigh_op_bot_5 local_g0_5 -buffer neigh_op_bot_6 local_g1_6 -buffer neigh_op_bot_7 local_g1_7 -buffer sp4_h_r_17 local_g0_1 -buffer sp4_r_v_b_32 local_g0_3 -routing sp4_v_t_47 sp4_h_r_10 +routing sp4_h_l_39 sp4_v_b_2 +routing sp4_v_b_6 sp4_v_t_43 .logic_tile 12 1 -LC_2 0101001100000000 0100 DffEnable -LC_6 0101001100000000 0100 DffEnable +LC_0 0100000000000000 0100 DffEnable +NegClk +buffer glb_netwk_5 lutff_global/cen buffer glb_netwk_6 lutff_global/clk -buffer local_g0_2 lutff_2/in_0 -buffer local_g0_5 lutff_6/in_1 -buffer local_g1_2 lutff_2/in_1 -buffer local_g1_3 lutff_global/cen -buffer local_g2_0 lutff_2/in_2 -buffer local_g2_0 lutff_6/in_2 -buffer local_g2_2 lutff_6/in_0 -buffer lutff_2/out sp4_h_r_20 -buffer lutff_6/out sp4_h_r_12 -buffer lutff_6/out sp4_h_r_28 -buffer neigh_op_lft_2 local_g1_2 -buffer neigh_op_top_2 local_g0_2 -buffer sp12_h_r_21 local_g0_5 -buffer sp12_v_b_18 local_g2_2 -buffer sp4_v_b_11 local_g1_3 -buffer sp4_v_b_24 local_g2_0 -routing sp4_h_l_40 sp4_v_b_11 -routing sp4_h_l_46 sp4_v_t_46 -routing sp4_h_l_47 sp4_v_t_38 -routing sp4_v_b_11 sp4_v_t_42 +buffer local_g1_5 lutff_global/s_r +buffer local_g3_5 lutff_0/in_0 +buffer lutff_0/out sp12_v_b_0 +buffer sp12_v_b_21 local_g3_5 +buffer sp4_v_b_5 local_g1_5 +routing sp12_v_b_0 sp12_h_l_23 +routing sp4_h_l_41 sp4_v_b_4 +routing sp4_v_b_4 sp4_v_t_42 +routing sp4_v_t_37 sp4_h_l_43 +routing sp4_v_t_44 sp4_h_l_44 +routing sp4_v_t_44 sp4_v_b_5 .logic_tile 11 11 -LC_0 1010110000000000 0000 -LC_1 0001000000000000 0000 +LC_0 0010000000000000 0000 LC_2 0101001100000000 0000 -LC_3 0110000000000000 0000 -LC_4 1010010101011010 0000 -LC_5 0010110100000000 0000 -LC_6 1110000000000000 0110 DffEnable Set_NoReset LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_3/in_1 -buffer local_g0_1 lutff_0/in_1 -buffer local_g0_2 lutff_1/in_1 -buffer local_g0_4 lutff_2/in_0 -buffer local_g0_5 lutff_3/in_0 buffer local_g0_6 lutff_2/in_2 -buffer local_g0_7 lutff_2/in_1 -buffer local_g1_0 lutff_6/in_1 -buffer local_g1_2 lutff_1/in_0 -buffer local_g1_4 lutff_7/in_0 -buffer local_g1_6 lutff_5/in_0 -buffer local_g1_7 lutff_6/in_0 -buffer local_g2_0 lutff_0/in_0 -buffer local_g2_2 lutff_global/cen -buffer local_g2_6 lutff_0/in_2 -buffer local_g2_7 lutff_5/in_2 -buffer local_g3_5 lutff_4/in_2 -buffer local_g3_5 lutff_5/in_1 -buffer lutff_0/out sp4_h_r_32 +buffer local_g1_2 lutff_7/in_0 +buffer local_g1_4 lutff_0/in_1 +buffer local_g1_7 lutff_0/in_0 +buffer local_g2_5 lutff_2/in_1 +buffer local_g3_3 lutff_global/cen +buffer local_g3_7 lutff_2/in_0 +buffer lutff_0/out sp4_r_v_b_33 buffer lutff_2/out local_g1_2 -buffer lutff_2/out sp4_r_v_b_5 -buffer lutff_4/out local_g0_4 -buffer lutff_5/out local_g0_5 -buffer lutff_6/out sp12_h_r_20 -buffer lutff_6/out sp4_h_r_28 -buffer lutff_6/out sp4_h_r_44 -buffer lutff_6/out sp4_v_b_28 -buffer lutff_7/out sp4_r_v_b_47 -buffer neigh_op_bnl_0 local_g2_0 -buffer neigh_op_bot_0 local_g0_0 -buffer neigh_op_bot_2 local_g0_2 -buffer neigh_op_bot_7 local_g0_7 -buffer sp12_h_r_12 local_g1_4 -buffer sp12_h_r_15 local_g1_7 -buffer sp12_h_r_16 local_g1_0 -buffer sp12_v_b_13 local_g3_5 -buffer sp4_h_r_22 local_g0_6 -buffer sp4_h_r_22 local_g1_6 -buffer sp4_h_r_26 local_g2_2 -buffer sp4_h_r_38 local_g2_6 -buffer sp4_v_b_31 local_g2_7 -buffer sp4_v_b_9 local_g0_1 -routing sp4_h_l_37 sp4_v_b_6 -routing sp4_h_l_38 sp4_v_b_3 -routing sp4_h_l_39 sp4_v_b_2 +buffer lutff_7/out local_g3_7 +buffer neigh_op_top_4 local_g1_4 +buffer sp12_h_r_22 sp4_h_r_23 +buffer sp12_v_b_11 local_g3_3 +buffer sp4_h_r_23 local_g1_7 +buffer sp4_h_r_37 local_g2_5 +buffer sp4_v_b_22 local_g0_6 +routing sp4_h_l_36 sp4_v_t_43 +routing sp4_v_b_1 sp4_h_r_7 +routing sp4_v_t_40 sp4_h_l_46 +routing sp4_v_t_42 sp4_v_b_7 +routing sp4_v_t_43 sp4_h_r_6 .logic_tile 4 8 -LC_0 0010000000000000 0000 -LC_1 0100000000000000 0100 DffEnable -LC_2 0100000000000000 0100 DffEnable -LC_3 0100000000000000 0100 DffEnable +LC_0 1010110000000000 0000 +LC_1 1010110000000000 0000 +LC_2 1010110000000000 0000 +LC_3 1010110000000000 0000 LC_4 0100000000000000 0100 DffEnable LC_5 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_4 lutff_global/s_r buffer glb_netwk_6 lutff_global/clk -buffer local_g0_1 lutff_3/in_0 -buffer local_g0_2 lutff_2/in_0 -buffer local_g1_2 lutff_7/in_0 -buffer local_g1_7 lutff_4/in_0 -buffer local_g2_3 lutff_1/in_0 -buffer local_g2_5 lutff_5/in_0 -buffer local_g3_2 lutff_0/in_1 -buffer local_g3_3 lutff_global/cen +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_1 lutff_5/in_0 +buffer local_g0_1 lutff_7/in_0 +buffer local_g0_5 lutff_3/in_0 +buffer local_g0_7 lutff_1/in_0 +buffer local_g1_1 lutff_4/in_0 +buffer local_g1_1 lutff_6/in_0 +buffer local_g1_3 lutff_2/in_0 +buffer local_g1_4 lutff_3/in_2 +buffer local_g1_5 lutff_2/in_2 +buffer local_g1_6 lutff_1/in_2 +buffer local_g1_7 lutff_0/in_2 +buffer local_g2_2 lutff_global/cen +buffer local_g3_4 lutff_2/in_1 buffer local_g3_5 lutff_0/in_0 -buffer lutff_0/out sp12_h_r_8 -buffer lutff_0/out sp4_r_v_b_17 -buffer lutff_0/out sp4_v_b_0 -buffer lutff_1/out sp4_h_r_2 -buffer lutff_1/out sp4_v_b_18 -buffer lutff_2/out sp4_r_v_b_5 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_4/out sp4_h_r_24 -buffer lutff_4/out sp4_h_r_8 -buffer lutff_7/out sp4_h_r_14 -buffer neigh_op_rgt_3 local_g2_3 -buffer sp12_h_r_10 local_g0_2 -buffer sp4_h_r_27 local_g3_3 -buffer sp4_r_v_b_18 local_g3_2 -buffer sp4_r_v_b_25 local_g0_1 -buffer sp4_r_v_b_37 local_g2_5 -buffer sp4_r_v_b_45 local_g3_5 -buffer sp4_r_v_b_7 local_g1_7 -buffer sp4_v_b_10 local_g1_2 -routing sp12_h_r_1 sp12_h_l_22 -routing sp12_v_b_1 sp12_h_r_1 -routing sp4_h_r_2 sp4_v_b_7 -routing sp4_v_b_10 sp4_h_r_4 -routing sp4_v_b_11 sp4_h_r_5 -routing sp4_v_b_3 sp4_h_r_9 -routing sp4_v_b_7 sp4_h_r_7 +buffer local_g3_6 lutff_0/in_1 +buffer local_g3_7 lutff_3/in_1 +buffer lutff_0/out sp4_r_v_b_1 +buffer lutff_1/out sp4_r_v_b_3 +buffer lutff_1/out sp4_v_b_34 +buffer lutff_2/out sp4_r_v_b_37 +buffer lutff_3/out sp4_r_v_b_39 +buffer lutff_4/out local_g1_4 +buffer lutff_5/out local_g1_5 +buffer lutff_6/out local_g1_6 +buffer lutff_7/out local_g1_7 +buffer neigh_op_bnl_4 local_g3_4 +buffer neigh_op_bnl_6 local_g3_6 +buffer neigh_op_bnl_7 local_g3_7 +buffer sp12_h_r_4 sp4_h_r_14 +buffer sp4_h_r_1 local_g0_1 +buffer sp4_h_r_1 local_g1_1 +buffer sp4_h_r_3 local_g1_3 +buffer sp4_r_v_b_34 local_g2_2 +buffer sp4_v_b_23 local_g0_7 +buffer sp4_v_b_29 local_g3_5 +buffer sp4_v_b_5 local_g0_5 +buffer sp4_v_b_8 local_g0_0 +routing sp12_h_l_22 sp12_v_t_22 +routing sp4_h_l_39 sp4_v_t_39 +routing sp4_v_b_0 sp4_h_r_0 +routing sp4_v_b_10 sp4_h_r_10 +routing sp4_v_b_4 sp4_h_r_4 +routing sp4_v_b_6 sp4_h_r_6 +routing sp4_v_b_9 sp4_h_r_3 .logic_tile 7 12 ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_4 ColBufCtrl glb_netwk_6 -LC_0 0000100000000000 0000 -LC_1 0000000100000000 0000 -LC_2 0010000000000000 0000 -LC_3 0000100000000000 0000 -LC_4 0000000011100000 0000 -LC_5 0000100000000000 0000 -LC_6 0010000000000000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_4/in_2 -buffer local_g0_1 lutff_4/in_1 -buffer local_g0_2 lutff_global/cen -buffer local_g0_4 lutff_0/in_0 -buffer local_g0_5 lutff_1/in_0 -buffer local_g0_7 lutff_0/in_1 -buffer local_g1_0 lutff_2/in_1 -buffer local_g1_1 lutff_3/in_1 -buffer local_g1_1 lutff_5/in_1 -buffer local_g1_2 lutff_5/in_2 -buffer local_g1_4 lutff_3/in_2 -buffer local_g1_6 lutff_6/in_1 -buffer local_g2_0 lutff_4/in_0 -buffer local_g2_1 lutff_7/in_0 -buffer local_g2_4 lutff_1/in_1 -buffer local_g2_7 lutff_3/in_0 -buffer local_g2_7 lutff_5/in_0 -buffer local_g3_0 lutff_1/in_2 -buffer local_g3_1 lutff_2/in_0 -buffer local_g3_6 lutff_4/in_3 -buffer local_g3_7 lutff_0/in_2 -buffer local_g3_7 lutff_6/in_0 -buffer lutff_0/out local_g2_0 -buffer lutff_0/out local_g3_0 -buffer lutff_1/out sp4_v_b_2 -buffer lutff_2/out sp12_v_b_20 -buffer lutff_2/out sp4_r_v_b_5 -buffer lutff_3/out sp4_r_v_b_7 +ColBufCtrl glb_netwk_7 +LC_0 0000000010110000 0000 +LC_1 0000000010111110 0000 +LC_2 0000011100000000 0000 +LC_3 0000000010110000 0000 +LC_4 1111000001110111 0000 +LC_5 1110000000000000 0000 +LC_6 0000000010110000 0000 +LC_7 0000110010000000 0000 +buffer local_g0_0 lutff_2/in_2 +buffer local_g0_0 lutff_6/in_0 +buffer local_g0_3 lutff_0/in_1 +buffer local_g0_4 lutff_3/in_1 +buffer local_g0_4 lutff_7/in_1 +buffer local_g0_5 lutff_2/in_1 +buffer local_g0_5 lutff_4/in_1 +buffer local_g0_5 lutff_5/in_0 +buffer local_g0_5 lutff_7/in_2 +buffer local_g0_6 lutff_4/in_0 +buffer local_g1_0 lutff_3/in_2 +buffer local_g1_2 lutff_1/in_2 +buffer local_g1_3 lutff_0/in_0 +buffer local_g1_4 lutff_3/in_0 +buffer local_g1_5 lutff_6/in_2 +buffer local_g1_6 lutff_7/in_0 +buffer local_g2_0 lutff_2/in_0 +buffer local_g2_1 lutff_1/in_0 +buffer local_g2_2 lutff_4/in_2 +buffer local_g2_4 lutff_3/in_3 +buffer local_g2_6 lutff_1/in_1 +buffer local_g2_7 lutff_4/in_3 +buffer local_g3_0 lutff_0/in_3 +buffer local_g3_0 lutff_5/in_2 +buffer local_g3_1 lutff_1/in_3 +buffer local_g3_2 lutff_6/in_1 +buffer local_g3_3 lutff_0/in_2 +buffer local_g3_4 lutff_6/in_3 +buffer local_g3_7 lutff_5/in_1 +buffer local_g3_7 lutff_7/in_3 +buffer lutff_0/out local_g1_0 buffer lutff_4/out local_g1_4 -buffer lutff_5/out sp12_h_r_18 -buffer lutff_6/out sp4_r_v_b_13 -buffer lutff_7/out local_g0_7 -buffer neigh_op_bnl_6 local_g3_6 -buffer neigh_op_bnr_1 local_g1_1 -buffer neigh_op_bot_0 local_g1_0 +buffer lutff_5/out local_g1_5 +buffer lutff_5/out sp4_h_r_10 buffer neigh_op_lft_0 local_g0_0 +buffer neigh_op_lft_3 local_g1_3 +buffer neigh_op_lft_6 local_g0_6 +buffer neigh_op_lft_6 local_g1_6 +buffer neigh_op_rgt_0 local_g2_0 +buffer neigh_op_rgt_2 local_g2_2 +buffer neigh_op_rgt_3 local_g3_3 +buffer neigh_op_rgt_4 local_g2_4 +buffer neigh_op_rgt_4 local_g3_4 +buffer neigh_op_rgt_7 local_g2_7 buffer neigh_op_rgt_7 local_g3_7 -buffer neigh_op_tnl_1 local_g3_1 -buffer neigh_op_tnr_1 local_g2_1 -buffer neigh_op_top_1 local_g0_1 -buffer neigh_op_top_5 local_g0_5 -buffer sp12_h_r_18 local_g0_2 -buffer sp12_h_r_2 local_g1_2 -buffer sp12_v_b_23 sp4_v_b_23 -buffer sp4_h_r_6 local_g1_6 -buffer sp4_r_v_b_12 local_g2_4 -buffer sp4_v_b_31 local_g2_7 -buffer sp4_v_b_4 local_g0_4 -routing sp4_h_l_47 sp4_h_r_6 -routing sp4_h_l_47 sp4_v_b_4 -routing sp4_h_r_8 sp4_v_b_8 -routing sp4_v_b_6 sp4_v_t_43 -routing sp4_v_b_7 sp4_h_r_1 -routing sp4_v_b_7 sp4_h_r_7 -routing sp4_v_t_38 sp4_v_b_3 -routing sp4_v_t_38 sp4_v_b_6 -routing sp4_v_t_39 sp4_h_r_2 +buffer neigh_op_top_2 local_g1_2 +buffer sp12_h_r_12 local_g0_4 +buffer sp12_v_b_17 sp4_v_b_20 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_h_r_30 local_g2_6 +buffer sp4_h_r_34 local_g3_2 +buffer sp4_h_r_40 local_g3_0 +buffer sp4_v_b_21 local_g0_5 +buffer sp4_v_b_25 local_g3_1 +buffer sp4_v_b_41 local_g2_1 +routing sp4_h_l_38 sp4_v_t_38 +routing sp4_h_l_40 sp4_v_t_47 +routing sp4_h_r_10 sp4_v_t_41 +routing sp4_h_r_2 sp4_v_t_45 +routing sp4_h_r_7 sp4_v_b_0 +routing sp4_h_r_7 sp4_v_t_36 +routing sp4_h_r_9 sp4_h_l_37 +routing sp4_v_t_36 sp4_v_b_1 +routing sp4_v_t_36 sp4_v_b_9 +routing sp4_v_t_42 sp4_v_b_3 .logic_tile 2 8 CarryInSet LC_0 0000000000000000 1000 CarryEnable LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000011111111 1000 CarryEnable -LC_3 0000000011111111 1000 CarryEnable -LC_4 0000000011111111 1000 CarryEnable -LC_5 0000000011111111 1000 CarryEnable -LC_6 0000000011111111 1000 CarryEnable -LC_7 1001100101100110 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r +LC_2 0110100110010110 1000 CarryEnable +LC_3 0110100110010110 0000 +LC_4 1110000100000000 0000 +LC_5 0101001100000000 0110 DffEnable Set_NoReset +LC_6 0101001100000000 0110 DffEnable Set_NoReset +LC_7 0101001100000000 0110 DffEnable Set_NoReset +buffer glb_netwk_3 lutff_global/cen +buffer glb_netwk_4 lutff_global/s_r buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_1/in_1 -buffer local_g0_1 lutff_0/in_1 -buffer local_g0_4 lutff_5/in_1 -buffer local_g0_5 lutff_1/in_2 -buffer local_g0_5 lutff_3/in_2 +buffer local_g0_2 lutff_7/in_1 +buffer local_g0_3 lutff_6/in_1 buffer local_g0_5 lutff_5/in_2 -buffer local_g0_6 lutff_7/in_1 -buffer local_g1_0 lutff_4/in_1 -buffer local_g1_2 lutff_2/in_1 -buffer local_g1_5 lutff_2/in_2 -buffer local_g1_5 lutff_4/in_2 +buffer local_g0_5 lutff_7/in_2 +buffer local_g0_6 lutff_5/in_1 +buffer local_g0_7 lutff_7/in_0 buffer local_g1_5 lutff_6/in_2 -buffer local_g2_0 lutff_3/in_1 -buffer local_g2_2 lutff_global/cen -buffer local_g3_4 lutff_6/in_1 +buffer local_g1_6 lutff_3/in_2 +buffer local_g1_7 lutff_4/in_2 +buffer local_g2_3 lutff_1/in_2 +buffer local_g2_5 lutff_0/in_1 +buffer local_g2_5 lutff_5/in_0 +buffer local_g2_6 lutff_6/in_0 +buffer local_g3_2 lutff_4/in_1 +buffer local_g3_3 lutff_4/in_0 +buffer local_g3_7 lutff_2/in_2 buffer lutff_1/cout lutff_2/in_3 buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out sp12_v_b_4 -buffer lutff_3/cout lutff_4/in_3 -buffer lutff_3/out sp4_r_v_b_23 -buffer lutff_4/cout lutff_5/in_3 -buffer lutff_5/cout lutff_6/in_3 -buffer lutff_6/cout lutff_7/in_3 -buffer lutff_7/out sp4_v_b_14 -buffer sp4_h_r_10 local_g1_2 -buffer sp4_h_r_12 local_g0_4 -buffer sp4_h_r_14 local_g0_6 -buffer sp4_r_v_b_25 local_g0_1 -buffer sp4_r_v_b_34 local_g2_2 -buffer sp4_r_v_b_44 local_g3_4 -buffer sp4_v_b_0 local_g1_0 -buffer sp4_v_b_13 local_g0_5 -buffer sp4_v_b_13 local_g1_5 -buffer sp4_v_b_24 local_g2_0 -buffer sp4_v_b_8 local_g0_0 -routing sp4_h_r_10 sp4_v_b_10 -routing sp4_v_b_0 sp4_v_t_38 -routing sp4_v_b_10 sp4_v_t_43 -routing sp4_v_b_8 sp4_h_r_8 - -.logic_tile 9 8 -LC_0 1111111111111000 0000 -LC_1 0000000011001010 0000 -LC_2 0000000011001010 0000 -LC_3 0000000011100000 0000 -LC_4 1111111110100011 0000 -LC_5 1111111110100011 0000 -LC_6 0100000000000000 0100 DffEnable -LC_7 0000110111110010 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_2/in_0 -buffer local_g0_1 lutff_5/in_0 -buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_0/in_1 -buffer local_g0_4 lutff_0/in_2 -buffer local_g0_5 lutff_1/in_2 -buffer local_g0_6 lutff_7/in_3 -buffer local_g0_7 lutff_4/in_1 -buffer local_g1_0 lutff_7/in_2 -buffer local_g1_1 lutff_3/in_1 -buffer local_g1_1 lutff_4/in_0 -buffer local_g1_2 lutff_4/in_3 -buffer local_g1_3 lutff_5/in_3 -buffer local_g1_4 lutff_2/in_1 -buffer local_g1_5 lutff_2/in_2 -buffer local_g1_6 lutff_3/in_0 -buffer local_g1_7 lutff_5/in_1 -buffer local_g2_0 lutff_6/in_0 -buffer local_g2_1 lutff_7/in_0 -buffer local_g2_5 lutff_0/in_3 -buffer local_g2_6 lutff_0/in_0 -buffer local_g2_6 lutff_1/in_3 -buffer local_g2_6 lutff_4/in_2 -buffer local_g3_0 lutff_1/in_0 -buffer local_g3_1 lutff_1/in_1 -buffer local_g3_3 lutff_3/in_3 -buffer local_g3_6 lutff_2/in_3 -buffer local_g3_6 lutff_3/in_2 -buffer local_g3_6 lutff_5/in_2 -buffer local_g3_7 lutff_7/in_1 -buffer lutff_0/out sp4_v_b_16 +buffer lutff_2/out local_g0_2 +buffer lutff_3/out local_g0_3 +buffer lutff_4/out sp12_h_r_0 +buffer lutff_4/out sp4_h_r_8 buffer lutff_5/out local_g2_5 -buffer neigh_op_bnl_3 local_g3_3 -buffer neigh_op_bot_3 local_g1_3 -buffer neigh_op_bot_4 local_g1_4 -buffer neigh_op_bot_6 local_g1_6 -buffer neigh_op_lft_4 local_g0_4 -buffer sp12_h_r_22 sp4_h_r_23 -buffer sp12_h_r_5 local_g0_5 -buffer sp12_h_r_5 local_g1_5 -buffer sp12_v_b_15 local_g3_7 -buffer sp4_h_r_19 local_g0_3 -buffer sp4_h_r_38 local_g2_6 -buffer sp4_h_r_38 local_g3_6 -buffer sp4_r_v_b_16 local_g3_0 -buffer sp4_r_v_b_2 local_g1_2 -buffer sp4_r_v_b_31 local_g0_7 -buffer sp4_r_v_b_31 local_g1_7 -buffer sp4_v_b_0 local_g0_0 -buffer sp4_v_b_17 local_g0_1 -buffer sp4_v_b_17 local_g1_1 -buffer sp4_v_b_18 local_g0_2 -buffer sp4_v_b_22 local_g0_6 -buffer sp4_v_b_33 local_g3_1 -buffer sp4_v_b_40 local_g2_0 -buffer sp4_v_b_41 local_g2_1 -buffer sp4_v_b_8 local_g1_0 -routing sp12_v_b_1 sp12_h_r_1 -routing sp4_h_l_39 sp4_h_r_5 -routing sp4_h_l_39 sp4_v_b_8 -routing sp4_h_l_41 sp4_h_r_0 +buffer lutff_5/out sp4_h_r_26 +buffer lutff_5/out sp4_r_v_b_11 +buffer lutff_6/out local_g1_6 +buffer lutff_6/out local_g2_6 +buffer lutff_6/out sp4_r_v_b_13 +buffer lutff_7/out local_g0_7 +buffer lutff_7/out local_g3_7 +buffer lutff_7/out sp4_r_v_b_31 +buffer neigh_op_bot_6 local_g0_6 +buffer neigh_op_top_7 local_g1_7 +buffer sp12_v_b_3 local_g2_3 +buffer sp4_h_r_13 local_g0_5 +buffer sp4_h_r_13 local_g1_5 +buffer sp4_r_v_b_19 local_g3_3 +buffer sp4_v_b_42 local_g3_2 routing sp4_v_b_10 sp4_h_r_4 -routing sp4_v_b_6 sp4_v_t_39 -routing sp4_v_t_47 sp4_v_b_6 +routing sp4_v_b_9 sp4_h_r_9 +routing sp4_v_b_9 sp4_v_t_37 +routing sp4_v_t_43 sp4_h_r_11 -.logic_tile 12 12 -ColBufCtrl glb_netwk_0 -ColBufCtrl glb_netwk_1 +.logic_tile 9 8 +LC_4 0000001000000000 0000 +LC_7 0000001000000000 0000 +buffer local_g0_1 lutff_4/in_1 +buffer local_g0_1 lutff_7/in_0 +buffer local_g0_2 lutff_4/in_2 +buffer local_g0_2 lutff_7/in_1 +buffer local_g0_4 lutff_4/in_0 +buffer local_g1_4 lutff_7/in_2 +buffer lutff_4/out sp4_v_b_24 +buffer lutff_7/out sp12_v_b_14 +buffer sp4_v_b_10 local_g0_2 +buffer sp4_v_b_4 local_g0_4 +buffer sp4_v_b_4 local_g1_4 +buffer sp4_v_b_9 local_g0_1 +routing sp4_h_l_37 sp4_v_t_37 +routing sp4_h_l_37 sp4_v_t_40 +routing sp4_v_b_10 sp4_h_r_4 +routing sp4_v_b_4 sp4_h_r_10 +routing sp4_v_b_6 sp4_h_l_46 +routing sp4_v_b_6 sp4_v_t_39 +routing sp4_v_b_9 sp4_h_r_9 + +.logic_tile 12 12 +ColBufCtrl glb_netwk_4 ColBufCtrl glb_netwk_6 -LC_1 0000000000000001 0000 -LC_2 0010000000000000 0100 DffEnable -LC_4 0010000000000000 0100 DffEnable -LC_5 0010000000000000 0100 DffEnable -LC_7 0010000000000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_1 lutff_global/cen -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_2 lutff_1/in_3 -buffer local_g0_4 lutff_5/in_1 -buffer local_g0_5 lutff_1/in_0 -buffer local_g0_7 lutff_2/in_1 -buffer local_g1_4 lutff_1/in_2 -buffer local_g1_5 lutff_7/in_1 -buffer local_g1_6 lutff_4/in_1 -buffer local_g1_7 lutff_1/in_1 -buffer local_g2_0 lutff_2/in_0 -buffer local_g2_0 lutff_4/in_0 -buffer local_g3_0 lutff_5/in_0 -buffer local_g3_0 lutff_7/in_0 -buffer lutff_1/out sp4_v_b_18 -buffer lutff_2/out local_g0_2 -buffer lutff_4/out local_g1_4 -buffer lutff_5/out local_g0_5 -buffer lutff_7/out local_g1_7 -buffer neigh_op_top_4 local_g0_4 -buffer neigh_op_top_5 local_g1_5 -buffer neigh_op_top_6 local_g1_6 -buffer neigh_op_top_7 local_g0_7 -buffer sp12_h_r_8 sp4_h_r_16 -buffer sp4_v_b_40 local_g2_0 -buffer sp4_v_b_40 local_g3_0 -routing sp4_v_b_1 sp4_v_t_44 -routing sp4_v_b_4 sp4_v_t_37 +routing sp4_h_l_40 sp4_h_r_1 +routing sp4_h_l_46 sp4_v_t_41 .logic_tile 5 16 -LC_1 1010010101011010 0000 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0000000000000000 1000 CarryEnable +LC_3 0000000000000000 1000 CarryEnable +LC_4 0000000011111111 0000 +LC_5 1000000000000000 0000 +LC_6 1000000000000000 0000 +LC_7 1000000000000000 0000 +buffer local_g0_3 lutff_5/in_0 buffer local_g0_7 lutff_1/in_2 -buffer neigh_op_bot_7 local_g0_7 -buffer sp12_v_b_9 sp4_v_b_16 -routing sp12_v_b_0 sp12_h_l_23 +buffer local_g1_4 lutff_3/in_2 +buffer local_g1_5 lutff_2/in_2 +buffer local_g1_6 lutff_0/in_1 +buffer local_g1_7 lutff_6/in_0 +buffer local_g2_3 lutff_7/in_0 +buffer lutff_3/cout lutff_4/in_3 +buffer lutff_4/out sp4_h_r_8 +buffer lutff_4/out sp4_r_v_b_9 +buffer lutff_5/out local_g1_5 +buffer lutff_6/out local_g1_6 +buffer lutff_7/out local_g0_7 +buffer sp12_v_b_11 local_g2_3 +buffer sp4_r_v_b_4 local_g1_4 +buffer sp4_v_b_11 local_g0_3 +buffer sp4_v_b_7 local_g1_7 +routing sp4_v_b_11 sp4_h_r_5 .logic_tile 5 13 -ColBufCtrl glb_netwk_0 -ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 ColBufCtrl glb_netwk_6 LC_0 0001000000000000 0000 -LC_1 0010000000000000 0000 -LC_2 0000000000001000 0000 -LC_3 0000000000001000 0000 -LC_4 0010000000000000 0000 -LC_5 0000000100000000 0000 -LC_6 1000000000000000 0000 -LC_7 0000100011111111 0100 DffEnable -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_0/in_0 +LC_3 0000011000000000 0000 +LC_4 0001000000000000 0000 +LC_5 0001000000000000 0000 +LC_7 0001000000000000 0000 +buffer local_g0_5 lutff_3/in_2 +buffer local_g0_5 lutff_5/in_0 buffer local_g0_5 lutff_7/in_0 -buffer local_g0_7 lutff_3/in_2 -buffer local_g1_0 lutff_7/in_2 -buffer local_g1_2 lutff_3/in_0 -buffer local_g1_3 lutff_global/cen -buffer local_g1_5 lutff_7/in_3 -buffer local_g1_6 lutff_2/in_1 -buffer local_g1_7 lutff_2/in_0 -buffer local_g2_0 lutff_3/in_3 -buffer local_g2_1 lutff_4/in_1 -buffer local_g2_4 lutff_3/in_1 -buffer local_g2_6 lutff_1/in_1 -buffer local_g2_7 lutff_2/in_3 -buffer local_g3_0 lutff_5/in_2 -buffer local_g3_1 lutff_5/in_1 -buffer local_g3_1 lutff_7/in_1 -buffer local_g3_3 lutff_2/in_2 -buffer local_g3_4 lutff_5/in_0 -buffer local_g3_6 lutff_0/in_1 -buffer local_g3_6 lutff_1/in_0 -buffer local_g3_7 lutff_4/in_0 -buffer local_g3_7 lutff_6/in_0 -buffer lutff_0/out local_g1_0 -buffer lutff_0/out sp4_v_b_0 -buffer lutff_1/out sp12_v_b_18 -buffer lutff_1/out sp4_r_v_b_3 -buffer lutff_1/out sp4_r_v_b_35 -buffer lutff_2/out sp12_v_b_4 -buffer lutff_3/out local_g3_3 -buffer lutff_4/out sp4_r_v_b_9 -buffer lutff_5/out local_g1_5 -buffer lutff_7/out local_g3_7 -buffer lutff_7/out sp4_h_r_30 -buffer neigh_op_bnl_7 local_g2_7 -buffer neigh_op_bnr_3 local_g1_3 -buffer neigh_op_bnr_7 local_g0_7 -buffer neigh_op_rgt_1 local_g3_1 +buffer local_g1_3 lutff_4/in_0 +buffer local_g1_5 lutff_0/in_0 +buffer local_g2_2 lutff_5/in_1 +buffer local_g2_6 lutff_7/in_1 +buffer local_g2_7 lutff_0/in_1 +buffer local_g3_0 lutff_4/in_1 +buffer local_g3_2 lutff_3/in_0 +buffer local_g3_7 lutff_3/in_1 +buffer lutff_0/out sp12_v_b_16 +buffer lutff_0/out sp4_r_v_b_1 +buffer lutff_3/out local_g1_3 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_3/out sp12_v_b_6 +buffer lutff_3/out sp4_r_v_b_23 +buffer lutff_4/out sp4_r_v_b_25 +buffer lutff_4/out sp4_v_b_8 +buffer lutff_5/out sp4_r_v_b_11 +buffer lutff_5/out sp4_v_b_42 +buffer lutff_7/out sp4_v_b_46 +buffer neigh_op_rgt_2 local_g2_2 +buffer neigh_op_rgt_2 local_g3_2 +buffer neigh_op_rgt_6 local_g2_6 +buffer neigh_op_rgt_7 local_g2_7 +buffer neigh_op_rgt_7 local_g3_7 +buffer neigh_op_tnr_0 local_g3_0 buffer sp12_h_r_5 local_g0_5 -buffer sp4_h_r_30 local_g3_6 -buffer sp4_r_v_b_14 local_g2_6 -buffer sp4_r_v_b_33 local_g2_1 -buffer sp4_r_v_b_44 local_g3_4 -buffer sp4_r_v_b_6 local_g1_6 -buffer sp4_v_b_10 local_g1_2 -buffer sp4_v_b_28 local_g2_4 -buffer sp4_v_b_32 local_g2_0 -buffer sp4_v_b_32 local_g3_0 -buffer sp4_v_b_7 local_g1_7 -buffer sp4_v_b_8 local_g0_0 -routing sp4_h_l_38 sp4_h_r_11 -routing sp4_h_l_42 sp4_h_r_3 -routing sp4_h_r_2 sp4_v_b_2 -routing sp4_h_r_9 sp4_v_t_44 -routing sp4_v_b_4 sp4_h_l_44 -routing sp4_v_b_4 sp4_v_t_42 -routing sp4_v_t_39 sp4_h_r_7 -routing sp4_v_t_40 sp4_v_b_5 -routing sp4_v_t_42 sp4_h_l_42 -routing sp4_v_t_43 sp4_v_b_6 +buffer sp12_h_r_5 local_g1_5 +routing sp4_h_r_4 sp4_v_b_9 +routing sp4_h_r_6 sp4_v_b_11 +routing sp4_v_b_6 sp4_h_l_43 +routing sp4_v_t_45 sp4_v_b_4 .logic_tile 8 10 -LC_0 1111111101010011 0000 -LC_1 0000011100000000 0000 -LC_2 0000000001010011 0000 -LC_3 0011010100000000 0000 -LC_4 0011010100000000 0000 -LC_5 0101111100111111 0000 -LC_6 0101111100111111 0000 -LC_7 0010000000000000 0000 +LC_0 0000100011111111 0000 +LC_1 0000100000000000 0000 +LC_2 0010000000000000 0000 +LC_3 1110000000000000 0000 +LC_4 0010000000000000 0000 +LC_5 0010001000001111 0000 +LC_6 0100000000000000 0100 DffEnable +LC_7 0001111100000000 0100 DffEnable +buffer glb_netwk_3 lutff_global/cen +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk buffer local_g0_0 lutff_6/in_0 -buffer local_g0_1 lutff_6/in_1 +buffer local_g0_1 lutff_5/in_2 buffer local_g0_3 lutff_0/in_1 -buffer local_g0_4 lutff_0/in_0 -buffer local_g0_5 lutff_2/in_1 -buffer local_g0_6 lutff_2/in_0 -buffer local_g0_7 lutff_0/in_3 -buffer local_g0_7 lutff_5/in_2 -buffer local_g1_0 lutff_2/in_3 -buffer local_g1_5 lutff_0/in_2 -buffer local_g1_5 lutff_5/in_3 -buffer local_g1_6 lutff_6/in_3 -buffer local_g1_7 lutff_6/in_2 -buffer local_g2_0 lutff_4/in_0 -buffer local_g2_1 lutff_7/in_0 -buffer local_g2_2 lutff_3/in_1 -buffer local_g2_3 lutff_1/in_0 -buffer local_g2_4 lutff_5/in_1 -buffer local_g2_5 lutff_1/in_2 -buffer local_g3_0 lutff_4/in_1 -buffer local_g3_2 lutff_3/in_0 -buffer local_g3_3 lutff_2/in_2 -buffer local_g3_3 lutff_4/in_2 -buffer local_g3_4 lutff_3/in_2 -buffer local_g3_5 lutff_7/in_1 -buffer local_g3_6 lutff_5/in_0 +buffer local_g0_4 lutff_3/in_3 +buffer local_g0_7 lutff_4/in_1 +buffer local_g1_0 lutff_3/in_2 +buffer local_g1_1 lutff_5/in_3 +buffer local_g1_2 lutff_0/in_3 +buffer local_g1_4 lutff_5/in_0 +buffer local_g1_5 lutff_3/in_1 +buffer local_g1_5 lutff_7/in_1 +buffer local_g1_6 lutff_1/in_0 +buffer local_g1_6 lutff_2/in_1 +buffer local_g1_7 lutff_0/in_0 +buffer local_g2_3 lutff_1/in_2 +buffer local_g2_4 lutff_2/in_0 +buffer local_g2_4 lutff_4/in_0 +buffer local_g2_6 lutff_0/in_2 +buffer local_g3_4 lutff_7/in_2 +buffer local_g3_6 lutff_3/in_0 +buffer local_g3_6 lutff_7/in_0 buffer local_g3_7 lutff_1/in_1 +buffer local_g3_7 lutff_5/in_1 +buffer lutff_0/out local_g0_0 buffer lutff_0/out local_g1_0 -buffer lutff_2/out sp4_r_v_b_21 -buffer lutff_3/out local_g0_3 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_2/out local_g1_2 buffer lutff_4/out local_g0_4 -buffer lutff_5/out local_g0_5 -buffer lutff_6/out local_g0_6 -buffer neigh_op_rgt_0 local_g3_0 -buffer neigh_op_rgt_2 local_g3_2 -buffer neigh_op_rgt_5 local_g2_5 -buffer neigh_op_rgt_5 local_g3_5 -buffer neigh_op_rgt_6 local_g3_6 -buffer neigh_op_rgt_7 local_g3_7 -buffer sp12_h_r_9 local_g0_1 -buffer sp12_v_b_7 sp4_v_b_15 -buffer sp4_h_r_0 local_g0_0 -buffer sp4_h_r_43 local_g2_3 -buffer sp4_r_v_b_12 local_g2_4 -buffer sp4_v_b_14 local_g1_6 -buffer sp4_v_b_21 local_g1_5 -buffer sp4_v_b_28 local_g3_4 -buffer sp4_v_b_35 local_g3_3 -buffer sp4_v_b_40 local_g2_0 -buffer sp4_v_b_41 local_g2_1 -buffer sp4_v_b_42 local_g2_2 -buffer sp4_v_b_7 local_g0_7 -buffer sp4_v_b_7 local_g1_7 -routing sp4_h_l_36 sp4_h_r_4 -routing sp4_h_l_43 sp4_h_r_6 -routing sp4_h_l_44 sp4_v_b_3 -routing sp4_h_r_0 sp4_v_t_43 -routing sp4_h_r_1 sp4_v_t_36 -routing sp4_h_r_5 sp4_v_t_46 -routing sp4_v_t_37 sp4_h_l_43 +buffer lutff_4/out local_g3_4 +buffer lutff_5/out local_g1_5 +buffer lutff_6/out local_g1_6 +buffer lutff_6/out sp4_v_b_12 +buffer lutff_7/out local_g0_7 +buffer lutff_7/out local_g3_7 +buffer neigh_op_bot_1 local_g1_1 +buffer neigh_op_bot_3 local_g0_3 +buffer neigh_op_bot_4 local_g1_4 +buffer neigh_op_bot_7 local_g1_7 +buffer neigh_op_rgt_6 local_g2_6 +buffer neigh_op_rgt_6 local_g3_6 +buffer neigh_op_tnl_4 local_g2_4 +buffer neigh_op_tnr_3 local_g2_3 +buffer sp4_h_r_1 local_g0_1 +routing sp4_v_b_10 sp4_h_r_4 +routing sp4_v_b_11 sp4_h_r_5 .logic_tile 6 2 -LC_0 0000001000000000 0000 -LC_1 0000000000000001 0000 -LC_2 1000000000000000 0000 -LC_3 1110000000000000 0000 -LC_4 0001000000000000 0000 -LC_5 0000000010110000 0000 -LC_6 0010000000000000 0000 -LC_7 0010000000000000 0000 -buffer local_g0_1 lutff_3/in_2 -buffer local_g0_1 lutff_6/in_1 -buffer local_g0_2 lutff_4/in_0 -buffer local_g0_2 lutff_7/in_1 -buffer local_g0_3 lutff_5/in_2 -buffer local_g0_4 lutff_0/in_0 -buffer local_g0_4 lutff_1/in_3 -buffer local_g0_6 lutff_0/in_2 -buffer local_g0_6 lutff_1/in_1 +LC_0 0100000000000000 0100 DffEnable +LC_1 0100000000000000 0100 DffEnable +LC_2 0100000000000000 0100 DffEnable +LC_3 0101001100000000 0100 DffEnable +LC_4 0100000000000000 0100 DffEnable +LC_5 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +NegClk +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_5/in_0 +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_3/in_0 buffer local_g0_6 lutff_2/in_0 -buffer local_g0_7 lutff_0/in_1 -buffer local_g0_7 lutff_1/in_2 -buffer local_g0_7 lutff_2/in_1 -buffer local_g1_1 lutff_5/in_1 -buffer local_g1_4 lutff_4/in_1 -buffer local_g1_4 lutff_7/in_0 -buffer local_g1_6 lutff_5/in_0 -buffer local_g1_7 lutff_6/in_0 -buffer local_g2_0 lutff_5/in_3 -buffer local_g2_1 lutff_1/in_0 -buffer local_g2_6 lutff_3/in_3 -buffer local_g3_1 lutff_3/in_1 -buffer local_g3_4 lutff_3/in_0 -buffer lutff_0/out sp12_h_r_8 -buffer lutff_0/out sp4_r_v_b_17 -buffer lutff_1/out local_g1_1 -buffer lutff_1/out sp4_v_b_34 -buffer lutff_2/out local_g0_2 -buffer lutff_2/out sp4_v_b_36 +buffer local_g0_7 lutff_7/in_0 +buffer local_g1_1 lutff_3/in_1 +buffer local_g1_3 lutff_4/in_0 +buffer local_g1_6 lutff_1/in_0 +buffer local_g1_7 lutff_0/in_0 +buffer local_g3_4 lutff_3/in_2 +buffer lutff_2/out sp12_h_r_12 buffer lutff_3/out local_g0_3 -buffer lutff_4/out local_g3_4 -buffer lutff_5/out sp4_v_b_26 -buffer lutff_6/out local_g1_6 -buffer lutff_7/out local_g1_7 -buffer neigh_op_bnr_4 local_g0_4 -buffer neigh_op_bnr_4 local_g1_4 -buffer neigh_op_lft_7 local_g0_7 -buffer neigh_op_tnl_1 local_g2_1 -buffer neigh_op_tnl_1 local_g3_1 -buffer sp4_h_r_38 local_g2_6 -buffer sp4_r_v_b_34 local_g0_1 -buffer sp4_v_b_24 local_g2_0 -buffer sp4_v_b_6 local_g0_6 -routing sp12_h_r_1 sp12_v_t_22 -routing sp4_h_l_47 sp4_v_t_47 -routing sp4_v_t_41 sp4_v_b_0 -routing sp4_v_t_43 sp4_h_r_6 -routing sp4_v_t_47 sp4_v_b_6 +buffer lutff_3/out sp4_r_v_b_39 +buffer lutff_4/out sp4_v_b_8 +buffer lutff_5/out sp12_v_b_10 +buffer neigh_op_bot_1 local_g0_1 +buffer neigh_op_bot_3 local_g1_3 +buffer neigh_op_bot_6 local_g1_6 +buffer neigh_op_bot_7 local_g0_7 +buffer neigh_op_lft_7 local_g1_7 +buffer sp12_h_r_14 local_g0_6 +buffer sp12_h_r_20 sp4_h_r_22 +buffer sp4_h_r_28 local_g3_4 +buffer sp4_r_v_b_1 local_g1_1 +buffer sp4_v_b_2 local_g0_2 +routing sp4_v_b_2 sp4_h_r_8 +routing sp4_v_t_43 sp4_v_b_2 .logic_tile 1 6 -LC_0 0010000000000000 0000 -LC_1 1000000000000000 0000 -LC_2 0110000000000000 0000 -LC_3 0100000000000000 0100 DffEnable -LC_4 0100000000000000 0100 DffEnable +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0110100110010110 1000 CarryEnable +LC_3 0110100110010110 1000 CarryEnable +LC_4 0110100110010110 0000 LC_5 0100000000000000 0100 DffEnable -LC_6 0100000000000000 0100 DffEnable LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_3 lutff_global/cen +buffer glb_netwk_4 lutff_global/s_r buffer glb_netwk_6 lutff_global/clk +buffer local_g0_7 lutff_0/in_1 +buffer local_g1_5 lutff_2/in_2 +buffer local_g1_6 lutff_1/in_2 +buffer local_g2_7 lutff_3/in_2 +buffer local_g3_4 lutff_7/in_0 +buffer local_g3_6 lutff_5/in_0 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/cout lutff_3/in_3 +buffer lutff_3/cout lutff_4/in_3 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_4/out sp4_h_r_8 +buffer lutff_5/out local_g1_5 +buffer lutff_5/out sp4_h_r_42 +buffer lutff_5/out sp4_r_v_b_43 +buffer lutff_7/out local_g2_7 +buffer lutff_7/out sp12_h_r_22 +buffer lutff_7/out sp4_v_b_46 +buffer neigh_op_bnr_6 local_g1_6 +buffer neigh_op_bnr_7 local_g0_7 +buffer neigh_op_rgt_4 local_g3_4 +buffer neigh_op_rgt_6 local_g3_6 +buffer sp12_h_r_22 sp4_h_r_23 +routing sp4_h_r_1 sp4_v_t_42 + +.logic_tile 11 14 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0000000011111111 1000 CarryEnable +LC_3 1001100101100110 0000 +LC_4 1001100101100110 0000 +LC_7 0000000010000000 0000 buffer local_g0_1 lutff_1/in_2 -buffer local_g0_1 lutff_3/in_0 -buffer local_g0_2 lutff_0/in_0 -buffer local_g0_4 lutff_4/in_0 -buffer local_g0_7 lutff_5/in_0 -buffer local_g1_2 lutff_7/in_0 -buffer local_g1_3 lutff_1/in_3 -buffer local_g1_3 lutff_6/in_0 -buffer local_g1_4 lutff_1/in_0 +buffer local_g0_4 lutff_7/in_3 +buffer local_g0_5 lutff_2/in_1 +buffer local_g0_5 lutff_4/in_1 +buffer local_g0_5 lutff_7/in_2 +buffer local_g1_0 lutff_0/in_1 +buffer local_g1_0 lutff_7/in_0 +buffer local_g1_1 lutff_2/in_2 +buffer local_g1_2 lutff_4/in_3 +buffer local_g1_3 lutff_3/in_1 buffer local_g1_5 lutff_1/in_1 -buffer local_g1_6 lutff_2/in_1 -buffer local_g1_7 lutff_2/in_0 -buffer local_g2_1 lutff_0/in_1 -buffer local_g3_3 lutff_global/cen -buffer lutff_1/out local_g2_1 -buffer lutff_2/out local_g0_2 +buffer local_g1_5 lutff_7/in_1 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/cout lutff_3/in_3 buffer lutff_2/out local_g1_2 -buffer lutff_7/out local_g1_7 -buffer neigh_op_bot_5 local_g1_5 -buffer neigh_op_bot_6 local_g1_6 +buffer lutff_3/out sp4_r_v_b_23 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_7/out sp4_v_b_14 +buffer neigh_op_top_0 local_g1_0 buffer neigh_op_top_3 local_g1_3 -buffer neigh_op_top_4 local_g0_4 -buffer neigh_op_top_4 local_g1_4 -buffer neigh_op_top_7 local_g0_7 -buffer sp4_r_v_b_43 local_g3_3 -buffer sp4_v_b_17 local_g0_1 -routing sp12_h_r_0 sp12_v_b_0 -routing sp4_v_t_37 sp4_h_r_5 +buffer neigh_op_top_5 local_g0_5 +buffer sp4_h_r_17 local_g0_1 +buffer sp4_h_r_17 local_g1_1 +buffer sp4_r_v_b_28 local_g0_4 +buffer sp4_v_b_21 local_g1_5 -.logic_tile 11 14 -LC_0 0000000000000001 0000 -LC_1 0000000000000001 0000 -LC_2 0010000000000000 0100 DffEnable -LC_3 0010000000000000 0100 DffEnable -LC_4 0010000000000000 0100 DffEnable -LC_5 0010000000000000 0100 DffEnable -LC_6 0010000000000000 0100 DffEnable -LC_7 0010000000000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_1 lutff_global/cen +.logic_tile 7 11 +LC_0 1111100000000000 0000 +LC_3 1001000000001001 0000 +LC_4 0010000000000000 0000 +LC_5 1111100000000000 0000 +LC_7 1101010000000000 0100 DffEnable +buffer glb2local_0 local_g0_4 +buffer glb2local_1 local_g0_5 +buffer glb2local_3 local_g0_7 +buffer glb_netwk_0 glb2local_3 +buffer glb_netwk_4 lutff_global/s_r buffer glb_netwk_6 lutff_global/clk -buffer local_g0_3 lutff_0/in_1 -buffer local_g1_0 lutff_3/in_0 -buffer local_g1_0 lutff_5/in_0 -buffer local_g1_0 lutff_7/in_0 -buffer local_g1_1 lutff_0/in_0 -buffer local_g1_2 lutff_1/in_2 -buffer local_g1_4 lutff_0/in_3 -buffer local_g1_5 lutff_0/in_2 -buffer local_g1_6 lutff_1/in_0 -buffer local_g1_7 lutff_1/in_3 -buffer local_g2_0 lutff_2/in_0 -buffer local_g2_0 lutff_4/in_0 -buffer local_g2_0 lutff_6/in_0 -buffer local_g2_4 lutff_3/in_1 -buffer local_g3_0 lutff_6/in_1 -buffer local_g3_2 lutff_2/in_1 -buffer local_g3_3 lutff_7/in_1 -buffer local_g3_5 lutff_5/in_1 -buffer local_g3_6 lutff_4/in_1 -buffer local_g3_7 lutff_1/in_1 -buffer lutff_1/out local_g1_1 -buffer lutff_2/out local_g1_2 +buffer glb_netwk_7 glb2local_0 +buffer glb_netwk_7 glb2local_1 +buffer local_g0_0 lutff_0/in_0 +buffer local_g0_0 lutff_5/in_1 +buffer local_g0_1 lutff_3/in_2 +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_5/in_0 +buffer local_g0_4 lutff_0/in_2 +buffer local_g0_5 lutff_5/in_2 +buffer local_g0_6 lutff_3/in_1 +buffer local_g0_7 lutff_0/in_1 +buffer local_g1_6 lutff_4/in_1 +buffer local_g1_6 lutff_7/in_2 +buffer local_g2_2 lutff_7/in_1 +buffer local_g2_4 lutff_3/in_3 +buffer local_g3_0 lutff_3/in_0 +buffer local_g3_0 lutff_7/in_0 +buffer local_g3_7 lutff_4/in_0 +buffer lutff_0/out sp12_v_b_16 buffer lutff_3/out local_g0_3 -buffer lutff_4/out local_g1_4 -buffer lutff_5/out local_g1_5 -buffer lutff_6/out local_g1_6 -buffer lutff_7/out local_g1_7 -buffer neigh_op_rgt_0 local_g3_0 -buffer neigh_op_rgt_2 local_g3_2 -buffer neigh_op_rgt_3 local_g3_3 -buffer neigh_op_rgt_4 local_g2_4 -buffer neigh_op_rgt_5 local_g3_5 -buffer neigh_op_rgt_6 local_g3_6 -buffer neigh_op_rgt_7 local_g3_7 -buffer sp4_h_r_0 local_g1_0 -buffer sp4_h_r_24 local_g2_0 -routing sp12_v_b_0 sp12_h_l_23 -routing sp4_h_r_0 sp4_v_t_43 -routing sp4_v_b_5 sp4_h_l_40 - -.logic_tile 7 11 -LC_0 0000100100000000 0000 -LC_1 1000000000000000 0000 -LC_2 0000001000000000 0000 -LC_3 0000000010000010 0000 -LC_4 0000000011100000 0000 -LC_5 0001000000000000 0000 -LC_6 0000000010000000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_6/in_2 -buffer local_g0_1 lutff_3/in_0 -buffer local_g0_2 lutff_2/in_0 -buffer local_g0_3 lutff_2/in_1 -buffer local_g0_5 lutff_6/in_3 -buffer local_g0_6 lutff_4/in_0 -buffer local_g0_7 lutff_6/in_1 -buffer local_g1_0 lutff_1/in_0 -buffer local_g1_1 lutff_0/in_2 -buffer local_g1_3 lutff_2/in_2 -buffer local_g1_5 lutff_1/in_3 -buffer local_g1_6 lutff_4/in_3 -buffer local_g2_0 lutff_6/in_0 -buffer local_g2_1 lutff_7/in_0 -buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_0/in_1 -buffer local_g2_3 lutff_3/in_2 -buffer local_g2_5 lutff_4/in_1 -buffer local_g2_6 lutff_0/in_0 -buffer local_g2_6 lutff_3/in_1 -buffer local_g3_1 lutff_4/in_2 -buffer local_g3_2 lutff_5/in_0 -buffer local_g3_3 lutff_1/in_1 -buffer local_g3_4 lutff_1/in_2 -buffer local_g3_5 lutff_5/in_1 -buffer local_g3_7 lutff_3/in_3 -buffer lutff_0/out sp4_v_b_32 -buffer lutff_1/out local_g0_1 -buffer lutff_1/out local_g1_1 -buffer lutff_2/out sp4_r_v_b_21 -buffer lutff_2/out sp4_r_v_b_37 -buffer lutff_3/out local_g0_3 -buffer lutff_4/out sp12_h_r_0 -buffer lutff_5/out local_g2_5 -buffer lutff_5/out sp4_v_b_42 -buffer lutff_6/out local_g1_6 -buffer lutff_7/out sp4_v_b_30 -buffer neigh_op_rgt_1 local_g3_1 -buffer neigh_op_tnl_0 local_g2_0 -buffer neigh_op_tnl_2 local_g3_2 -buffer neigh_op_tnr_1 local_g2_1 -buffer neigh_op_tnr_5 local_g3_5 -buffer neigh_op_top_6 local_g0_6 -buffer sp4_h_r_18 local_g0_2 -buffer sp4_h_r_21 local_g1_5 -buffer sp4_h_r_27 local_g3_3 -buffer sp4_h_r_31 local_g3_7 -buffer sp4_h_r_44 local_g3_4 -buffer sp4_h_r_8 local_g1_0 -buffer sp4_r_v_b_35 local_g0_0 -buffer sp4_v_b_15 local_g0_7 -buffer sp4_v_b_19 local_g1_3 -buffer sp4_v_b_21 local_g0_5 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_4/out sp4_h_r_24 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_7/out sp12_v_b_14 +buffer lutff_7/out sp4_r_v_b_31 +buffer sp12_h_r_14 local_g0_6 +buffer sp12_h_r_14 local_g1_6 +buffer sp12_h_r_18 local_g0_2 +buffer sp12_h_r_8 sp4_h_r_16 +buffer sp4_h_r_0 local_g0_0 +buffer sp4_h_r_17 local_g0_1 +buffer sp4_r_v_b_16 local_g3_0 +buffer sp4_r_v_b_23 local_g3_7 buffer sp4_v_b_34 local_g2_2 -buffer sp4_v_b_35 local_g2_3 -buffer sp4_v_b_46 local_g2_6 -routing sp12_h_r_0 sp12_v_t_23 -routing sp12_v_b_1 sp12_h_l_22 -routing sp4_h_l_37 sp4_h_r_8 -routing sp4_h_l_42 sp4_h_r_3 -routing sp4_h_r_4 sp4_v_t_47 -routing sp4_v_b_2 sp4_h_l_42 -routing sp4_v_b_8 sp4_h_l_36 -routing sp4_v_t_36 sp4_h_r_1 -routing sp4_v_t_39 sp4_h_r_2 -routing sp4_v_t_43 sp4_h_l_37 -routing sp4_v_t_43 sp4_v_b_9 +buffer sp4_v_b_36 local_g2_4 +routing sp4_h_l_44 sp4_h_r_0 +routing sp4_h_r_11 sp4_h_l_42 .logic_tile 2 5 -ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 ColBufCtrl glb_netwk_6 -LC_0 0000100000000000 0000 -LC_1 0111000000000000 0000 -LC_2 1000000000000000 0000 -LC_3 0010100000000000 0000 -LC_4 1001011010010110 0000 -LC_5 0100000000000000 0101 DffEnable AsyncSetReset -LC_6 0111000000000000 0101 DffEnable AsyncSetReset -buffer glb_netwk_0 lutff_global/s_r +LC_0 1001011000000000 0000 +LC_2 0000000011100000 0000 +LC_3 0001111000000000 0000 +LC_4 0101001100000000 0000 +LC_5 1010010101011010 0000 +LC_6 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_3 lutff_global/cen +buffer glb_netwk_4 lutff_global/s_r buffer glb_netwk_6 lutff_global/clk -buffer local_g0_1 lutff_5/in_0 -buffer local_g0_2 lutff_6/in_0 -buffer local_g0_3 lutff_3/in_0 -buffer local_g0_4 lutff_1/in_1 +buffer local_g0_0 lutff_2/in_0 +buffer local_g0_2 lutff_4/in_2 +buffer local_g0_3 lutff_2/in_3 buffer local_g0_5 lutff_4/in_1 -buffer local_g0_6 lutff_2/in_2 +buffer local_g0_6 lutff_0/in_2 buffer local_g0_7 lutff_2/in_1 -buffer local_g1_0 lutff_3/in_2 -buffer local_g1_1 lutff_0/in_0 -buffer local_g1_2 lutff_1/in_0 -buffer local_g1_3 lutff_0/in_2 -buffer local_g1_5 lutff_2/in_0 +buffer local_g1_2 lutff_3/in_0 +buffer local_g1_3 lutff_6/in_0 +buffer local_g1_4 lutff_7/in_0 +buffer local_g1_6 lutff_3/in_2 buffer local_g1_7 lutff_3/in_1 -buffer local_g2_2 lutff_global/cen -buffer local_g3_2 lutff_0/in_1 -buffer local_g3_2 lutff_6/in_1 -buffer lutff_0/out sp4_h_r_0 -buffer lutff_1/out local_g0_1 -buffer lutff_1/out local_g1_1 +buffer local_g1_7 lutff_4/in_0 +buffer local_g2_0 lutff_0/in_0 +buffer local_g2_2 lutff_2/in_2 +buffer local_g2_7 lutff_0/in_1 +buffer local_g2_7 lutff_5/in_2 +buffer lutff_0/out local_g0_0 buffer lutff_2/out local_g0_2 buffer lutff_2/out local_g1_2 -buffer lutff_2/out sp12_h_r_12 buffer lutff_3/out local_g1_3 -buffer lutff_4/out local_g0_4 +buffer lutff_4/out local_g1_4 +buffer lutff_4/out sp4_v_b_24 buffer lutff_5/out local_g0_5 -buffer lutff_5/out local_g1_5 -buffer lutff_5/out sp4_v_b_26 buffer lutff_6/out local_g0_6 -buffer neigh_op_bnl_2 local_g3_2 -buffer sp4_h_r_7 local_g0_7 -buffer sp4_r_v_b_10 local_g2_2 -buffer sp4_r_v_b_24 local_g1_0 +buffer lutff_6/out local_g1_6 +buffer lutff_7/out local_g1_7 +buffer lutff_7/out local_g2_7 +buffer neigh_op_top_7 local_g0_7 +buffer sp12_v_b_8 local_g2_0 buffer sp4_v_b_19 local_g0_3 -buffer sp4_v_b_7 local_g1_7 -routing sp4_h_r_10 sp4_v_b_3 -routing sp4_h_r_10 sp4_v_t_47 -routing sp4_v_b_3 sp4_v_t_43 -routing sp4_v_t_37 sp4_v_b_0 -routing sp4_v_t_37 sp4_v_b_8 -routing sp4_v_t_39 sp4_h_r_7 -routing sp4_v_t_41 sp4_v_b_7 +buffer sp4_v_b_26 local_g2_2 +routing sp4_v_t_43 sp4_h_r_11 .logic_tile 1 11 -LC_0 0100000000000000 0100 DffEnable -LC_2 0100000000000000 0110 DffEnable Set_NoReset -LC_7 0100000000000000 0110 DffEnable Set_NoReset -buffer glb_netwk_0 lutff_global/s_r +LC_6 0100000000000000 0100 DffEnable +NegClk buffer glb_netwk_6 lutff_global/clk -buffer local_g0_2 lutff_global/cen -buffer local_g2_3 lutff_7/in_0 -buffer local_g2_6 lutff_2/in_0 -buffer local_g3_7 lutff_0/in_0 -buffer lutff_0/out sp12_h_r_8 -buffer lutff_2/out sp4_v_b_20 -buffer lutff_7/out sp12_h_r_22 -buffer neigh_op_tnr_3 local_g2_3 -buffer neigh_op_tnr_6 local_g2_6 -buffer neigh_op_tnr_7 local_g3_7 -buffer sp12_h_r_12 sp4_h_r_18 -buffer sp4_h_r_18 local_g0_2 -routing sp4_v_t_46 sp4_h_r_11 +buffer local_g0_2 lutff_6/in_0 +buffer local_g2_2 lutff_global/cen +buffer lutff_6/out sp12_h_r_20 +buffer sp4_r_v_b_34 local_g2_2 +buffer sp4_v_b_18 local_g0_2 .logic_tile 8 5 ColBufCtrl glb_netwk_0 -ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_3 ColBufCtrl glb_netwk_6 -LC_0 0110000000000000 0000 -LC_1 0001000000000000 0000 -LC_2 1001000000001001 0000 -LC_3 1000000000000000 0000 -LC_4 1000000000000000 0000 -LC_5 0000000000000010 0000 -LC_6 1001000000001001 0000 -LC_7 1001000000001001 0000 -buffer local_g0_0 lutff_0/in_0 -buffer local_g0_2 lutff_5/in_3 -buffer local_g0_3 lutff_1/in_0 -buffer local_g0_4 lutff_1/in_1 -buffer local_g0_5 lutff_0/in_1 -buffer local_g0_6 lutff_5/in_1 -buffer local_g0_7 lutff_5/in_2 -buffer local_g1_0 lutff_5/in_0 -buffer local_g1_1 lutff_2/in_0 -buffer local_g1_2 lutff_6/in_1 -buffer local_g1_3 lutff_4/in_0 -buffer local_g1_4 lutff_7/in_0 -buffer local_g1_5 lutff_3/in_1 -buffer local_g1_6 lutff_7/in_2 -buffer local_g1_7 lutff_7/in_1 -buffer local_g2_0 lutff_6/in_0 -buffer local_g2_2 lutff_7/in_3 -buffer local_g2_3 lutff_2/in_3 -buffer local_g2_4 lutff_4/in_2 -buffer local_g2_5 lutff_2/in_1 -buffer local_g2_5 lutff_4/in_1 -buffer local_g2_7 lutff_4/in_3 +ColBufCtrl glb_netwk_7 +LC_0 0000111000000000 0000 +LC_1 1111100000000000 0000 +LC_2 0000000000000010 0000 +LC_3 0000001000000000 0000 +LC_4 1110111011110000 0000 +LC_5 0000000000000111 0000 +LC_6 0111000000000000 0000 +LC_7 0000000000000010 0000 +buffer glb2local_0 local_g0_4 +buffer glb2local_2 local_g0_6 +buffer glb2local_3 local_g0_7 +buffer glb_netwk_0 glb2local_0 +buffer glb_netwk_0 glb2local_3 +buffer glb_netwk_3 glb2local_2 +buffer local_g0_0 lutff_2/in_0 +buffer local_g0_3 lutff_7/in_0 +buffer local_g0_4 lutff_5/in_1 +buffer local_g0_5 lutff_1/in_0 +buffer local_g0_5 lutff_6/in_1 +buffer local_g0_6 lutff_0/in_2 +buffer local_g0_7 lutff_3/in_0 +buffer local_g1_0 lutff_4/in_3 +buffer local_g1_0 lutff_6/in_3 +buffer local_g1_1 lutff_7/in_1 +buffer local_g1_2 lutff_5/in_2 +buffer local_g1_3 lutff_4/in_2 +buffer local_g1_4 lutff_4/in_1 +buffer local_g1_6 lutff_0/in_1 +buffer local_g1_7 lutff_0/in_0 +buffer local_g1_7 lutff_6/in_2 +buffer local_g2_0 lutff_7/in_3 +buffer local_g2_1 lutff_2/in_3 +buffer local_g2_3 lutff_2/in_1 +buffer local_g2_4 lutff_5/in_3 +buffer local_g2_6 lutff_1/in_1 +buffer local_g2_6 lutff_6/in_0 buffer local_g3_0 lutff_3/in_2 -buffer local_g3_0 lutff_6/in_3 -buffer local_g3_1 lutff_2/in_2 -buffer local_g3_2 lutff_3/in_0 -buffer local_g3_3 lutff_3/in_3 -buffer local_g3_7 lutff_6/in_2 -buffer lutff_0/out local_g1_0 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_1/out sp4_v_b_2 -buffer lutff_1/out sp4_v_b_34 -buffer lutff_2/out local_g0_2 -buffer lutff_3/out local_g0_3 -buffer lutff_4/out local_g0_4 -buffer lutff_6/out local_g0_6 -buffer lutff_7/out local_g0_7 -buffer sp12_h_r_12 sp4_h_r_18 -buffer sp12_h_r_14 local_g1_6 -buffer sp12_h_r_14 sp4_h_r_19 -buffer sp12_h_r_18 sp4_h_r_21 -buffer sp12_h_r_20 local_g1_4 -buffer sp12_h_r_20 sp4_h_r_22 -buffer sp12_v_b_18 local_g3_2 -buffer sp12_v_b_7 sp4_v_b_15 -buffer sp4_h_r_18 local_g1_2 -buffer sp4_h_r_19 local_g1_3 -buffer sp4_h_r_21 local_g0_5 -buffer sp4_h_r_21 local_g1_5 -buffer sp4_h_r_25 local_g3_1 -buffer sp4_h_r_26 local_g2_2 -buffer sp4_h_r_31 local_g3_7 -buffer sp4_h_r_40 local_g3_0 -buffer sp4_h_r_43 local_g2_3 -buffer sp4_h_r_43 local_g3_3 -buffer sp4_h_r_47 local_g2_7 -buffer sp4_r_v_b_1 local_g1_1 -buffer sp4_r_v_b_36 local_g2_4 -buffer sp4_r_v_b_37 local_g2_5 -buffer sp4_r_v_b_8 local_g2_0 -buffer sp4_v_b_7 local_g1_7 -buffer sp4_v_b_8 local_g0_0 -routing sp4_h_r_10 sp4_v_b_3 -routing sp4_v_b_6 sp4_h_l_46 -routing sp4_v_b_6 sp4_v_t_43 -routing sp4_v_b_7 sp4_v_t_38 -routing sp4_v_t_42 sp4_v_b_7 +buffer local_g3_0 lutff_5/in_0 +buffer local_g3_1 lutff_4/in_0 +buffer local_g3_2 lutff_1/in_2 +buffer local_g3_3 lutff_2/in_2 +buffer local_g3_3 lutff_3/in_1 +buffer local_g3_4 lutff_7/in_2 +buffer lutff_0/out local_g2_0 +buffer lutff_1/out local_g1_1 +buffer lutff_2/out local_g3_2 +buffer lutff_3/out local_g1_3 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_4/out local_g2_4 +buffer lutff_4/out local_g3_4 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_5/out sp4_r_v_b_27 +buffer lutff_6/out sp4_v_b_12 +buffer lutff_7/out sp4_v_b_30 +buffer neigh_op_lft_7 local_g1_7 +buffer neigh_op_tnl_1 local_g2_1 +buffer neigh_op_tnl_1 local_g3_1 +buffer neigh_op_top_2 local_g1_2 +buffer neigh_op_top_4 local_g1_4 +buffer neigh_op_top_5 local_g0_5 +buffer sp12_h_r_14 local_g1_6 +buffer sp12_v_b_23 sp4_v_b_23 +buffer sp12_v_b_8 local_g3_0 +buffer sp4_h_r_8 local_g0_0 +buffer sp4_h_r_8 local_g1_0 +buffer sp4_r_v_b_11 local_g2_3 +buffer sp4_r_v_b_43 local_g3_3 +buffer sp4_v_b_11 local_g0_3 +buffer sp4_v_b_38 local_g2_6 +routing sp4_h_l_36 sp4_h_r_4 +routing sp4_h_l_42 sp4_v_t_37 +routing sp4_h_l_44 sp4_v_t_39 +routing sp4_h_l_46 sp4_h_r_2 +routing sp4_h_l_47 sp4_v_t_38 +routing sp4_h_r_7 sp4_h_l_47 +routing sp4_h_r_8 sp4_v_t_45 +routing sp4_v_t_37 sp4_h_r_0 +routing sp4_v_t_39 sp4_h_r_7 .logic_tile 5 8 -LC_0 1110111011100000 0000 -LC_1 0001000000000000 0000 -LC_2 1001000000001001 0000 -LC_3 0010000000000000 0000 -LC_4 1000000000000000 0000 +LC_0 1010110000000000 0000 +LC_1 1010110000000000 0000 +LC_2 1010110000000000 0000 +LC_3 1010110000000000 0000 +LC_4 0100000000000000 0100 DffEnable LC_5 0100000000000000 0100 DffEnable LC_6 0100000000000000 0100 DffEnable LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_4 lutff_global/s_r buffer glb_netwk_6 lutff_global/clk -buffer local_g0_1 lutff_2/in_1 -buffer local_g0_1 lutff_4/in_1 buffer local_g0_2 lutff_2/in_0 -buffer local_g0_3 lutff_7/in_0 -buffer local_g0_5 lutff_0/in_3 -buffer local_g0_5 lutff_2/in_3 -buffer local_g0_6 lutff_3/in_1 -buffer local_g0_7 lutff_5/in_0 -buffer local_g1_1 lutff_0/in_0 -buffer local_g1_2 lutff_4/in_3 -buffer local_g1_3 lutff_global/cen -buffer local_g1_5 lutff_4/in_2 -buffer local_g1_7 lutff_4/in_0 -buffer local_g2_0 lutff_1/in_1 -buffer local_g2_1 lutff_3/in_0 -buffer local_g2_4 lutff_2/in_2 -buffer local_g2_5 lutff_0/in_1 -buffer local_g2_5 lutff_1/in_0 -buffer local_g3_1 lutff_0/in_2 -buffer local_g3_5 lutff_6/in_0 -buffer lutff_0/out sp4_h_r_0 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_3/out local_g0_3 +buffer local_g0_4 lutff_4/in_0 +buffer local_g0_4 lutff_6/in_0 +buffer local_g0_5 lutff_1/in_0 +buffer local_g0_7 lutff_0/in_1 +buffer local_g1_1 lutff_3/in_1 +buffer local_g1_3 lutff_1/in_1 +buffer local_g1_4 lutff_5/in_0 +buffer local_g1_4 lutff_7/in_0 +buffer local_g1_5 lutff_0/in_2 +buffer local_g1_6 lutff_3/in_2 +buffer local_g1_7 lutff_2/in_2 +buffer local_g2_1 lutff_2/in_1 +buffer local_g2_2 lutff_global/cen +buffer local_g2_4 lutff_0/in_0 +buffer local_g3_0 lutff_3/in_0 +buffer local_g3_4 lutff_1/in_2 +buffer lutff_0/out sp12_v_b_16 +buffer lutff_0/out sp4_v_b_32 +buffer lutff_1/out sp4_r_v_b_19 +buffer lutff_2/out sp12_v_b_20 +buffer lutff_2/out sp4_r_v_b_37 +buffer lutff_3/out sp12_v_b_22 buffer lutff_3/out sp4_r_v_b_39 -buffer lutff_3/out sp4_v_b_38 -buffer lutff_5/out sp4_h_r_26 -buffer lutff_5/out sp4_h_r_42 -buffer lutff_6/out sp4_h_r_12 -buffer lutff_7/out sp4_h_r_14 -buffer neigh_op_lft_5 local_g0_5 -buffer neigh_op_lft_5 local_g1_5 -buffer neigh_op_lft_7 local_g1_7 -buffer neigh_op_tnr_1 local_g3_1 -buffer neigh_op_tnr_5 local_g2_5 -buffer sp12_h_r_14 local_g0_6 -buffer sp12_h_r_17 local_g0_1 -buffer sp12_v_b_13 local_g3_5 -buffer sp4_h_r_18 local_g1_2 -buffer sp4_h_r_9 local_g1_1 +buffer lutff_4/out local_g3_4 +buffer lutff_5/out local_g1_5 +buffer lutff_6/out local_g1_6 +buffer lutff_7/out local_g1_7 +buffer sp4_h_r_12 local_g0_4 +buffer sp4_h_r_12 local_g1_4 +buffer sp4_h_r_13 local_g0_5 +buffer sp4_h_r_17 local_g1_1 +buffer sp4_h_r_19 local_g1_3 +buffer sp4_h_r_23 local_g0_7 buffer sp4_r_v_b_12 local_g2_4 -buffer sp4_r_v_b_33 local_g0_2 -buffer sp4_v_b_19 local_g1_3 -buffer sp4_v_b_24 local_g2_0 +buffer sp4_r_v_b_16 local_g3_0 +buffer sp4_r_v_b_26 local_g0_2 +buffer sp4_v_b_34 local_g2_2 buffer sp4_v_b_41 local_g2_1 -buffer sp4_v_b_7 local_g0_7 -routing sp4_h_l_37 sp4_v_t_37 -routing sp4_h_l_38 sp4_v_t_38 -routing sp4_v_b_1 sp4_h_l_36 -routing sp4_v_b_1 sp4_v_t_44 -routing sp4_v_b_10 sp4_h_l_38 -routing sp4_v_b_10 sp4_h_r_4 -routing sp4_v_b_2 sp4_v_t_40 -routing sp4_v_b_3 sp4_h_r_9 -routing sp4_v_b_4 sp4_h_r_10 -routing sp4_v_b_5 sp4_h_r_11 -routing sp4_v_b_8 sp4_v_t_46 +routing sp4_h_l_42 sp4_v_t_37 +routing sp4_h_l_47 sp4_v_t_47 +routing sp4_h_r_11 sp4_v_b_11 +routing sp4_v_b_0 sp4_v_t_45 +routing sp4_v_b_1 sp4_v_t_41 +routing sp4_v_b_11 sp4_v_t_39 +routing sp4_v_b_3 sp4_v_t_38 +routing sp4_v_t_36 sp4_h_l_42 routing sp4_v_t_43 sp4_h_l_37 -routing sp4_v_t_44 sp4_h_r_2 +routing sp4_v_t_45 sp4_h_r_1 +routing sp4_v_t_46 sp4_h_r_4 +routing sp4_v_t_47 sp4_h_r_10 .logic_tile 6 7 -LC_0 1110111011100000 0000 -LC_1 1110111011100000 0000 -LC_2 0000111000000000 0000 -LC_3 1110111011100000 0000 -LC_4 1110111011100000 0000 -LC_5 1110111011100000 0000 -LC_6 1110111011100000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_1/in_1 -buffer local_g0_2 lutff_2/in_0 -buffer local_g0_3 lutff_1/in_2 -buffer local_g0_3 lutff_3/in_0 -buffer local_g0_5 lutff_7/in_0 -buffer local_g0_7 lutff_0/in_1 -buffer local_g1_3 lutff_2/in_2 -buffer local_g1_4 lutff_0/in_3 -buffer local_g1_5 lutff_0/in_2 -buffer local_g1_5 lutff_4/in_2 -buffer local_g1_5 lutff_6/in_2 -buffer local_g1_6 lutff_4/in_3 -buffer local_g1_7 lutff_1/in_3 -buffer local_g2_0 lutff_5/in_1 -buffer local_g2_1 lutff_3/in_2 -buffer local_g2_1 lutff_5/in_2 -buffer local_g2_2 lutff_0/in_0 -buffer local_g2_2 lutff_4/in_0 -buffer local_g2_3 lutff_2/in_1 -buffer local_g2_3 lutff_6/in_1 -buffer local_g2_4 lutff_5/in_3 -buffer local_g2_5 lutff_1/in_0 -buffer local_g2_6 lutff_3/in_3 -buffer local_g3_2 lutff_5/in_0 -buffer local_g3_3 lutff_global/cen -buffer local_g3_4 lutff_6/in_3 -buffer local_g3_5 lutff_6/in_0 -buffer local_g3_6 lutff_4/in_1 -buffer local_g3_7 lutff_3/in_1 -buffer lutff_0/out sp4_r_v_b_1 -buffer lutff_2/out sp4_h_r_20 -buffer lutff_3/out local_g1_3 -buffer lutff_4/out sp4_h_r_24 -buffer lutff_5/out sp4_h_r_26 -buffer lutff_7/out local_g3_7 -buffer lutff_7/out sp4_r_v_b_15 -buffer neigh_op_bnl_5 local_g3_5 -buffer neigh_op_tnl_6 local_g3_6 -buffer neigh_op_top_7 local_g1_7 -buffer sp12_h_r_12 local_g1_4 -buffer sp12_h_r_22 local_g1_6 -buffer sp12_v_b_18 local_g2_2 -buffer sp12_v_b_18 local_g3_2 -buffer sp4_h_r_28 local_g3_4 -buffer sp4_h_r_36 local_g2_4 -buffer sp4_h_r_46 local_g2_6 -buffer sp4_h_r_5 local_g1_5 -buffer sp4_r_v_b_27 local_g0_3 -buffer sp4_r_v_b_31 local_g0_7 -buffer sp4_r_v_b_33 local_g2_1 -buffer sp4_r_v_b_35 local_g0_0 -buffer sp4_r_v_b_35 local_g2_3 -buffer sp4_r_v_b_43 local_g3_3 -buffer sp4_r_v_b_8 local_g2_0 -buffer sp4_v_b_13 local_g0_5 -buffer sp4_v_b_18 local_g0_2 -buffer sp4_v_b_37 local_g2_5 -routing sp12_h_r_1 sp12_h_l_22 -routing sp4_h_l_46 sp4_h_r_7 -routing sp4_h_r_3 sp4_h_l_46 -routing sp4_h_r_6 sp4_h_l_43 -routing sp4_h_r_7 sp4_v_b_0 -routing sp4_v_b_10 sp4_h_l_47 -routing sp4_v_b_4 sp4_h_r_10 -routing sp4_v_b_5 sp4_v_t_36 -routing sp4_v_b_6 sp4_h_r_0 -routing sp4_v_b_8 sp4_v_t_45 -routing sp4_v_t_36 sp4_h_l_36 -routing sp4_v_t_37 sp4_h_r_5 -routing sp4_v_t_39 sp4_h_l_45 -routing sp4_v_t_45 sp4_v_b_4 - -.logic_tile 5 5 -ColBufCtrl glb_netwk_0 -ColBufCtrl glb_netwk_6 -LC_0 1000000000000000 0000 -LC_1 0010000000000000 0000 -LC_2 0010000000000000 0000 -LC_3 0010000000000000 0000 -LC_4 1111001000000000 0000 -LC_5 0010000000000000 0000 -LC_6 0100000000000000 0100 DffEnable -LC_7 0000111011111111 0101 DffEnable AsyncSetReset -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0000000000000000 1000 CarryEnable +LC_3 0000000000000000 1000 CarryEnable +LC_4 0000000000000000 1000 CarryEnable +LC_5 0000000000000000 1000 CarryEnable +LC_6 0000000011111111 0000 +LC_7 0000000100000000 0000 buffer local_g0_0 lutff_4/in_2 -buffer local_g0_3 lutff_2/in_1 -buffer local_g0_4 lutff_3/in_1 -buffer local_g0_4 lutff_7/in_1 -buffer local_g0_6 lutff_4/in_0 -buffer local_g0_7 lutff_4/in_1 -buffer local_g1_0 lutff_3/in_0 -buffer local_g1_0 lutff_7/in_0 -buffer local_g1_1 lutff_7/in_3 -buffer local_g1_2 lutff_7/in_2 -buffer local_g1_3 lutff_5/in_1 -buffer local_g1_5 lutff_0/in_0 -buffer local_g1_7 lutff_6/in_0 -buffer local_g2_0 lutff_2/in_0 -buffer local_g2_6 lutff_1/in_1 -buffer local_g3_0 lutff_1/in_0 -buffer local_g3_0 lutff_5/in_0 -buffer local_g3_4 lutff_0/in_1 -buffer lutff_0/out local_g1_0 -buffer lutff_1/out sp12_v_b_18 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_2/out sp4_h_r_4 -buffer lutff_2/out sp4_r_v_b_21 -buffer lutff_2/out sp4_r_v_b_37 -buffer lutff_3/out sp4_r_v_b_7 -buffer lutff_4/out sp4_r_v_b_41 -buffer lutff_4/out sp4_v_b_8 -buffer lutff_5/out sp4_v_b_26 -buffer lutff_5/out sp4_v_b_42 -buffer lutff_6/out local_g0_6 -buffer lutff_7/out sp4_v_b_46 -buffer neigh_op_bot_1 local_g1_1 -buffer neigh_op_bot_5 local_g1_5 -buffer neigh_op_bot_7 local_g0_7 -buffer neigh_op_bot_7 local_g1_7 -buffer sp12_h_r_0 sp4_h_r_12 -buffer sp12_v_b_20 local_g3_4 -buffer sp4_h_r_38 local_g2_6 -buffer sp4_v_b_0 local_g0_0 -buffer sp4_v_b_11 local_g0_3 -buffer sp4_v_b_12 local_g0_4 -buffer sp4_v_b_18 local_g1_2 -buffer sp4_v_b_24 local_g2_0 -buffer sp4_v_b_24 local_g3_0 -buffer sp4_v_b_3 local_g1_3 -routing sp12_v_b_0 sp12_v_t_23 -routing sp4_h_l_38 sp4_h_r_6 -routing sp4_h_l_38 sp4_v_t_45 +buffer local_g0_1 lutff_1/in_2 +buffer local_g0_2 lutff_7/in_1 +buffer local_g0_4 lutff_2/in_2 +buffer local_g0_5 lutff_0/in_1 +buffer local_g1_0 lutff_7/in_2 +buffer local_g1_2 lutff_3/in_2 +buffer local_g2_5 lutff_5/in_2 +buffer local_g2_5 lutff_7/in_0 +buffer lutff_5/cout lutff_6/in_3 +buffer lutff_6/out sp4_v_b_44 +buffer lutff_7/out sp4_h_r_30 +buffer sp12_h_r_16 local_g0_0 +buffer sp12_h_r_16 local_g1_0 +buffer sp12_h_r_18 local_g0_2 +buffer sp12_h_r_18 local_g1_2 +buffer sp12_h_r_20 local_g0_4 +buffer sp4_h_r_1 local_g0_1 +buffer sp4_h_r_29 local_g2_5 +buffer sp4_v_b_21 local_g0_5 routing sp4_h_l_40 sp4_h_r_5 -routing sp4_h_l_42 sp4_h_r_10 -routing sp4_h_l_45 sp4_v_t_36 -routing sp4_h_r_0 sp4_v_t_43 -routing sp4_h_r_4 sp4_v_t_47 -routing sp4_v_b_1 sp4_h_l_36 -routing sp4_v_b_11 sp4_v_t_39 -routing sp4_v_b_3 sp4_h_l_45 -routing sp4_v_b_3 sp4_v_t_46 +routing sp4_h_l_41 sp4_v_t_44 +routing sp4_h_l_47 sp4_h_r_1 +routing sp4_h_r_6 sp4_h_l_39 +routing sp4_h_r_6 sp4_v_b_11 +routing sp4_h_r_6 sp4_v_b_6 +routing sp4_v_b_1 sp4_v_t_36 routing sp4_v_b_5 sp4_h_r_11 -routing sp4_v_b_7 sp4_h_r_1 -routing sp4_v_b_7 sp4_v_t_38 -routing sp4_v_b_7 sp4_v_t_42 -routing sp4_v_b_8 sp4_h_r_2 -routing sp4_v_t_41 sp4_h_r_9 +routing sp4_v_b_7 sp4_h_r_7 +routing sp4_v_t_47 sp4_v_b_1 -.logic_tile 11 5 -ColBufCtrl glb_netwk_0 -ColBufCtrl glb_netwk_5 +.logic_tile 5 5 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 ColBufCtrl glb_netwk_6 -ColBufCtrl glb_netwk_7 -LC_0 1000000000000000 0000 -LC_1 0000101011111100 0000 -LC_2 1110000000000000 0000 -LC_3 0000001101010000 0000 -LC_4 0000011100000000 0000 -LC_5 0010001000001111 0000 -LC_6 1010000011001111 0000 -LC_7 1010111111111100 0000 -buffer glb2local_1 local_g0_5 -buffer glb_netwk_5 glb2local_1 -buffer local_g0_1 lutff_0/in_1 -buffer local_g0_2 lutff_1/in_3 -buffer local_g0_2 lutff_3/in_3 -buffer local_g0_2 lutff_4/in_0 -buffer local_g0_3 lutff_5/in_0 -buffer local_g0_4 lutff_3/in_1 -buffer local_g0_5 lutff_2/in_1 -buffer local_g0_7 lutff_7/in_0 -buffer local_g1_0 lutff_6/in_1 -buffer local_g1_1 lutff_2/in_2 -buffer local_g1_2 lutff_7/in_2 -buffer local_g1_3 lutff_7/in_1 -buffer local_g1_6 lutff_5/in_2 -buffer local_g1_7 lutff_5/in_1 -buffer local_g2_0 lutff_4/in_2 -buffer local_g2_0 lutff_6/in_0 -buffer local_g2_1 lutff_6/in_3 -buffer local_g2_4 lutff_6/in_2 -buffer local_g2_4 lutff_7/in_3 -buffer local_g2_6 lutff_5/in_3 -buffer local_g3_1 lutff_1/in_1 -buffer local_g3_2 lutff_3/in_0 -buffer local_g3_4 lutff_1/in_2 -buffer local_g3_4 lutff_3/in_2 -buffer local_g3_4 lutff_4/in_1 -buffer local_g3_6 lutff_1/in_0 -buffer local_g3_7 lutff_0/in_0 -buffer local_g3_7 lutff_2/in_0 -buffer lutff_0/out sp4_h_r_16 -buffer lutff_0/out sp4_v_b_0 -buffer lutff_1/out local_g2_1 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_3/out local_g0_3 -buffer lutff_5/out sp4_h_r_26 -buffer lutff_6/out local_g1_6 -buffer lutff_7/out local_g1_7 -buffer neigh_op_bot_4 local_g0_4 -buffer sp12_h_r_17 local_g0_1 -buffer sp12_v_b_1 local_g3_1 -buffer sp12_v_b_13 sp4_v_b_18 -buffer sp12_v_b_4 local_g2_4 -buffer sp12_v_b_4 local_g3_4 -buffer sp4_h_r_15 local_g0_7 -buffer sp4_h_r_47 local_g3_7 -buffer sp4_h_r_9 local_g1_1 -buffer sp4_r_v_b_0 local_g1_0 -buffer sp4_r_v_b_26 local_g0_2 -buffer sp4_r_v_b_26 local_g1_2 -buffer sp4_r_v_b_42 local_g3_2 -buffer sp4_r_v_b_8 local_g2_0 -buffer sp4_v_b_19 local_g1_3 -buffer sp4_v_b_38 local_g2_6 -buffer sp4_v_b_46 local_g3_6 -routing sp4_h_l_37 sp4_v_t_37 - -.logic_tile 7 6 -LC_0 0000000000000001 0000 -LC_1 1001000000001001 0000 -LC_2 0001000000000000 0000 +LC_0 1010010101011010 0000 +LC_1 1000000000000000 0000 +LC_2 1111111111110010 0000 LC_3 1000000000000000 0000 -LC_4 1001000000001001 0000 -LC_5 1000000000000000 0000 -LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r +LC_4 0000000011010000 0100 DffEnable +LC_5 0010100000000000 0100 DffEnable +LC_6 0000000011010000 0100 DffEnable +LC_7 0000110111111111 0100 DffEnable +buffer glb_netwk_4 lutff_global/s_r buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_6/in_0 +buffer local_g0_0 lutff_2/in_0 +buffer local_g0_1 lutff_5/in_0 buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_4/in_3 -buffer local_g0_5 lutff_7/in_0 -buffer local_g0_6 lutff_4/in_0 -buffer local_g0_7 lutff_4/in_1 -buffer local_g1_0 lutff_5/in_2 -buffer local_g1_1 lutff_0/in_0 -buffer local_g1_2 lutff_1/in_2 -buffer local_g1_3 lutff_2/in_0 -buffer local_g1_4 lutff_0/in_3 -buffer local_g1_5 lutff_4/in_2 -buffer local_g1_6 lutff_5/in_0 -buffer local_g1_7 lutff_5/in_3 -buffer local_g2_1 lutff_1/in_0 -buffer local_g2_2 lutff_1/in_1 +buffer local_g0_3 lutff_2/in_1 +buffer local_g0_5 lutff_4/in_1 +buffer local_g0_5 lutff_6/in_1 +buffer local_g0_6 lutff_1/in_3 +buffer local_g0_7 lutff_1/in_0 +buffer local_g1_0 lutff_3/in_0 +buffer local_g1_0 lutff_7/in_2 +buffer local_g1_1 lutff_4/in_2 +buffer local_g1_1 lutff_6/in_2 +buffer local_g1_1 lutff_7/in_3 +buffer local_g1_4 lutff_1/in_2 +buffer local_g1_5 lutff_7/in_1 +buffer local_g1_7 lutff_0/in_2 +buffer local_g1_7 lutff_5/in_1 +buffer local_g2_1 lutff_2/in_3 +buffer local_g2_2 lutff_3/in_1 buffer local_g2_3 lutff_3/in_2 -buffer local_g2_4 lutff_3/in_3 -buffer local_g2_5 lutff_2/in_1 -buffer local_g3_1 lutff_5/in_1 -buffer local_g3_3 lutff_1/in_3 -buffer local_g3_4 lutff_3/in_0 -buffer local_g3_5 lutff_3/in_1 -buffer local_g3_6 lutff_0/in_1 -buffer local_g3_7 lutff_0/in_2 -buffer lutff_0/out sp4_r_v_b_1 -buffer lutff_1/out local_g1_1 -buffer lutff_2/out sp4_r_v_b_21 -buffer lutff_2/out sp4_v_b_36 -buffer lutff_2/out sp4_v_b_4 -buffer lutff_3/out local_g1_3 +buffer local_g2_3 lutff_6/in_3 +buffer local_g2_5 lutff_5/in_2 +buffer local_g2_6 lutff_2/in_2 +buffer local_g2_7 lutff_7/in_0 +buffer local_g3_2 lutff_4/in_3 +buffer local_g3_5 lutff_1/in_1 +buffer local_g3_7 lutff_4/in_0 +buffer local_g3_7 lutff_6/in_0 +buffer lutff_0/out local_g1_0 +buffer lutff_1/out local_g2_1 +buffer lutff_2/out local_g0_2 +buffer lutff_3/out sp4_r_v_b_39 buffer lutff_4/out local_g1_4 +buffer lutff_4/out sp4_h_r_24 +buffer lutff_4/out sp4_h_r_8 buffer lutff_5/out local_g2_5 -buffer lutff_6/out local_g1_6 -buffer lutff_6/out sp4_r_v_b_13 +buffer lutff_5/out local_g3_5 +buffer lutff_5/out sp4_h_r_42 +buffer lutff_5/out sp4_r_v_b_43 +buffer lutff_5/out sp4_v_b_26 +buffer lutff_6/out local_g0_6 +buffer lutff_6/out sp12_h_r_20 +buffer lutff_6/out sp4_r_v_b_29 +buffer lutff_6/out sp4_v_b_44 +buffer lutff_7/out local_g0_7 buffer lutff_7/out local_g1_7 -buffer neigh_op_bot_2 local_g0_2 -buffer neigh_op_bot_5 local_g1_5 -buffer neigh_op_bot_7 local_g0_7 -buffer sp12_h_r_18 local_g1_2 -buffer sp12_v_b_11 local_g3_3 -buffer sp12_v_b_18 local_g2_2 -buffer sp12_v_b_23 local_g3_7 -buffer sp12_v_b_7 sp4_v_b_15 -buffer sp4_h_r_0 local_g1_0 -buffer sp4_h_r_21 local_g0_5 -buffer sp4_h_r_28 local_g3_4 -buffer sp4_h_r_37 local_g3_5 -buffer sp4_h_r_44 local_g2_4 -buffer sp4_h_r_6 local_g0_6 -buffer sp4_r_v_b_24 local_g0_0 -buffer sp4_v_b_25 local_g3_1 -buffer sp4_v_b_27 local_g2_3 -buffer sp4_v_b_3 local_g0_3 -buffer sp4_v_b_30 local_g3_6 -buffer sp4_v_b_41 local_g2_1 -routing sp4_h_l_44 sp4_v_b_9 -routing sp4_h_l_46 sp4_v_t_46 -routing sp4_h_r_9 sp4_v_b_2 -routing sp4_v_b_10 sp4_v_t_43 -routing sp4_v_t_40 sp4_v_b_5 -routing sp4_v_t_40 sp4_v_b_8 -routing sp4_v_t_42 sp4_h_r_0 +buffer lutff_7/out sp12_h_r_22 +buffer lutff_7/out sp4_r_v_b_47 +buffer neigh_op_rgt_2 local_g2_2 +buffer neigh_op_rgt_2 local_g3_2 +buffer neigh_op_rgt_3 local_g2_3 +buffer neigh_op_tnr_7 local_g2_7 +buffer neigh_op_tnr_7 local_g3_7 +buffer neigh_op_top_1 local_g0_1 +buffer neigh_op_top_1 local_g1_1 +buffer neigh_op_top_5 local_g0_5 +buffer neigh_op_top_5 local_g1_5 +buffer sp4_h_r_3 local_g0_3 +buffer sp4_r_v_b_35 local_g0_0 +buffer sp4_v_b_46 local_g2_6 +routing sp4_v_t_47 sp4_h_r_3 -.logic_tile 6 10 -LC_1 0000000000101111 0000 -LC_4 0000100000000000 0000 -LC_5 1000100011110000 0000 -LC_7 0010000000000000 0110 DffEnable Set_NoReset +.logic_tile 11 5 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_6 +LC_0 0001000000000000 0110 DffEnable Set_NoReset +LC_2 0101010100111100 0100 DffEnable +LC_4 0001000000000000 0110 DffEnable Set_NoReset +NegClk +buffer glb2local_0 local_g0_4 +buffer glb2local_3 local_g0_7 +buffer glb_netwk_0 glb2local_0 +buffer glb_netwk_0 glb2local_3 buffer glb_netwk_4 lutff_global/s_r buffer glb_netwk_6 lutff_global/clk buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_1/in_2 -buffer local_g0_3 lutff_7/in_0 -buffer local_g0_6 lutff_1/in_3 -buffer local_g0_7 lutff_5/in_2 -buffer local_g1_1 lutff_5/in_1 -buffer local_g1_5 lutff_4/in_0 -buffer local_g2_0 lutff_7/in_1 -buffer local_g2_2 lutff_5/in_3 -buffer local_g2_4 lutff_4/in_2 -buffer local_g3_2 lutff_4/in_1 -buffer local_g3_4 lutff_5/in_0 -buffer local_g3_6 lutff_1/in_0 -buffer local_g3_7 lutff_1/in_1 -buffer lutff_1/out local_g1_1 -buffer lutff_4/out sp4_h_r_40 -buffer lutff_4/out sp4_v_b_40 -buffer lutff_5/out sp4_v_b_42 -buffer lutff_7/out sp4_r_v_b_15 -buffer neigh_op_lft_7 local_g0_7 -buffer neigh_op_rgt_0 local_g2_0 -buffer neigh_op_rgt_7 local_g3_7 -buffer neigh_op_tnr_2 local_g2_2 -buffer neigh_op_tnr_2 local_g3_2 -buffer neigh_op_top_2 local_g0_2 -buffer sp12_v_b_6 local_g3_6 -buffer sp4_r_v_b_29 local_g1_5 -buffer sp4_r_v_b_30 local_g0_6 -buffer sp4_v_b_19 local_g0_3 -buffer sp4_v_b_28 local_g2_4 -buffer sp4_v_b_28 local_g3_4 -routing sp4_v_b_10 sp4_v_t_36 -routing sp4_v_b_10 sp4_v_t_43 -routing sp4_v_t_42 sp4_h_l_36 - -.logic_tile 1 14 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000000000000 1000 CarryEnable -LC_3 0000000000000000 1000 CarryEnable -LC_4 0000000000000000 1000 CarryEnable -LC_5 0000000000000000 1000 CarryEnable -LC_6 0000000000000000 1000 CarryEnable -LC_7 0000000000000000 1000 CarryEnable -buffer local_g0_1 lutff_0/in_1 -buffer local_g1_5 lutff_1/in_1 -buffer local_g1_5 lutff_3/in_1 -buffer local_g1_6 lutff_5/in_2 -buffer local_g2_0 lutff_2/in_2 -buffer local_g2_4 lutff_6/in_2 -buffer local_g2_5 lutff_1/in_2 -buffer local_g2_7 lutff_7/in_2 -buffer local_g3_1 lutff_4/in_2 -buffer local_g3_6 lutff_3/in_2 -buffer neigh_op_rgt_0 local_g2_0 -buffer neigh_op_rgt_1 local_g3_1 -buffer neigh_op_rgt_4 local_g2_4 -buffer neigh_op_rgt_5 local_g2_5 -buffer neigh_op_rgt_6 local_g3_6 -buffer neigh_op_rgt_7 local_g2_7 -buffer neigh_op_top_6 local_g1_6 -buffer sp4_h_r_9 local_g0_1 -buffer sp4_r_v_b_5 local_g1_5 -routing sp4_v_b_6 sp4_h_r_0 - -.logic_tile 12 6 -LC_1 0100000000000000 0100 DffEnable -LC_2 0100000000000000 0100 DffEnable -LC_4 0100000000000000 0100 DffEnable -LC_5 0100000000000000 0100 DffEnable -LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_6 lutff_global/clk -buffer glb_netwk_7 lutff_global/cen -buffer local_g1_5 lutff_global/s_r -buffer local_g2_0 lutff_4/in_0 -buffer local_g2_2 lutff_6/in_0 -buffer local_g3_0 lutff_1/in_0 -buffer local_g3_2 lutff_7/in_0 -buffer local_g3_4 lutff_5/in_0 -buffer local_g3_5 lutff_2/in_0 -buffer lutff_1/out sp4_v_b_34 -buffer lutff_2/out sp4_v_b_36 -buffer lutff_5/out sp4_h_r_26 -buffer lutff_6/out sp4_v_b_12 -buffer neigh_op_tnl_5 local_g3_5 -buffer sp12_v_b_18 local_g2_2 -buffer sp12_v_b_4 local_g3_4 -buffer sp12_v_b_8 local_g3_0 -buffer sp4_h_r_13 local_g1_5 -buffer sp4_v_b_26 local_g3_2 -buffer sp4_v_b_32 local_g2_0 -routing sp4_v_b_9 sp4_v_t_40 - -.logic_tile 4 13 -CarryInSet -ColBufCtrl glb_netwk_0 -ColBufCtrl glb_netwk_6 -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000000000000 1000 CarryEnable -LC_3 0000000000000000 1000 CarryEnable -LC_4 0000000011111111 0000 -LC_5 1000000000000000 0000 -LC_6 1000000000000000 0000 -LC_7 1000000000000000 0000 -buffer local_g0_5 lutff_1/in_2 -buffer local_g0_6 lutff_2/in_2 -buffer local_g0_7 lutff_0/in_1 -buffer local_g1_5 lutff_6/in_0 -buffer local_g1_6 lutff_7/in_0 -buffer local_g2_1 lutff_2/in_1 -buffer local_g2_3 lutff_5/in_0 -buffer local_g3_6 lutff_3/in_2 -buffer lutff_3/cout lutff_4/in_3 -buffer lutff_4/out sp4_v_b_8 -buffer lutff_5/out local_g0_5 -buffer lutff_6/out local_g0_6 -buffer lutff_7/out local_g0_7 -buffer neigh_op_bnr_5 local_g1_5 -buffer neigh_op_bnr_6 local_g1_6 -buffer neigh_op_rgt_6 local_g3_6 -buffer sp12_v_b_19 sp4_v_b_21 -buffer sp4_h_r_25 local_g2_1 -buffer sp4_r_v_b_35 local_g2_3 -routing sp4_h_l_36 sp4_v_t_43 -routing sp4_h_l_46 sp4_h_r_2 -routing sp4_h_r_8 sp4_h_l_46 - -.logic_tile 2 13 -ColBufCtrl glb_netwk_0 -ColBufCtrl glb_netwk_6 -LC_0 1000000000000000 0000 -LC_1 0000011000000000 0000 -LC_2 1000000000000000 0000 -LC_3 0010000000000000 0000 -LC_4 1110000000000000 0000 -LC_5 1001011010010110 0000 -LC_6 1001100101100110 0000 -LC_7 1110000000000000 0100 DffEnable -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_2/in_0 -buffer local_g0_1 lutff_3/in_0 -buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_4/in_1 -buffer local_g0_3 lutff_7/in_2 +buffer local_g0_4 lutff_0/in_0 buffer local_g0_4 lutff_4/in_0 -buffer local_g0_5 lutff_1/in_0 -buffer local_g0_7 lutff_6/in_1 -buffer local_g1_0 lutff_1/in_2 -buffer local_g1_1 lutff_7/in_1 -buffer local_g1_2 lutff_6/in_3 -buffer local_g1_3 lutff_0/in_2 -buffer local_g1_5 lutff_0/in_0 +buffer local_g0_5 lutff_4/in_1 +buffer local_g0_7 lutff_2/in_3 +buffer local_g1_2 lutff_2/in_1 buffer local_g1_6 lutff_0/in_1 -buffer local_g1_7 lutff_3/in_1 -buffer local_g2_7 lutff_7/in_0 -buffer local_g3_1 lutff_1/in_1 -buffer local_g3_1 lutff_5/in_1 -buffer lutff_0/out local_g1_0 -buffer lutff_1/out local_g0_1 -buffer lutff_1/out local_g1_1 -buffer lutff_2/out sp4_v_b_36 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_4/out sp12_v_b_8 -buffer lutff_5/out local_g1_5 -buffer lutff_6/out local_g1_6 -buffer lutff_7/out local_g1_7 -buffer lutff_7/out sp4_h_r_14 -buffer lutff_7/out sp4_r_v_b_47 -buffer neigh_op_lft_2 local_g1_2 -buffer neigh_op_lft_3 local_g1_3 -buffer sp12_v_b_7 local_g2_7 -buffer sp4_h_r_11 local_g0_3 -buffer sp4_h_r_18 local_g0_2 -buffer sp4_h_r_20 local_g0_4 -buffer sp4_h_r_23 local_g0_7 -buffer sp4_r_v_b_24 local_g0_0 -buffer sp4_v_b_13 local_g0_5 -buffer sp4_v_b_41 local_g3_1 -routing sp12_v_b_1 sp12_h_r_1 -routing sp4_h_l_40 sp4_h_r_1 -routing sp4_h_l_40 sp4_v_t_40 -routing sp4_h_r_1 sp4_h_l_36 -routing sp4_v_b_0 sp4_h_l_40 -routing sp4_v_t_39 sp4_v_b_5 +buffer local_g2_0 lutff_2/in_0 +buffer local_g3_1 lutff_2/in_2 +buffer lutff_0/out sp4_v_b_32 +buffer lutff_2/out local_g1_2 +buffer lutff_2/out sp4_v_b_20 +buffer lutff_4/out sp4_v_b_8 +buffer neigh_op_bnr_5 local_g0_5 +buffer neigh_op_bnr_6 local_g1_6 +buffer neigh_op_bot_2 local_g0_2 +buffer sp4_r_v_b_41 local_g3_1 +buffer sp4_v_b_40 local_g2_0 +routing sp12_h_l_22 sp12_v_t_22 +routing sp4_v_t_41 sp4_v_b_0 -.logic_tile 9 11 -LC_0 0000011000000000 0000 -LC_1 0000001000000000 0000 -LC_2 1000000000000000 0000 -LC_3 0000110100000000 0000 -LC_4 1110000000000000 0110 DffEnable Set_NoReset -LC_5 0000000000001000 0100 DffEnable -LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk +.logic_tile 7 6 +LC_0 1110111000001110 0000 +LC_1 1000000000000000 0000 +LC_2 0001000100001111 0000 +LC_3 1111110100000000 0000 +LC_4 1000000000000000 0000 +LC_5 0000111100100000 0000 +LC_6 1000000000000000 0000 +LC_7 0001000000000000 0000 +buffer glb2local_0 local_g0_4 +buffer glb_netwk_0 glb2local_0 buffer local_g0_0 lutff_6/in_0 -buffer local_g0_1 lutff_3/in_0 -buffer local_g0_1 lutff_5/in_0 -buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_0/in_1 +buffer local_g0_1 lutff_0/in_1 +buffer local_g0_2 lutff_3/in_3 +buffer local_g0_3 lutff_6/in_3 buffer local_g0_4 lutff_1/in_1 -buffer local_g0_5 lutff_1/in_0 -buffer local_g0_7 lutff_2/in_1 -buffer local_g1_0 lutff_7/in_0 -buffer local_g1_2 lutff_3/in_2 -buffer local_g1_2 lutff_4/in_1 +buffer local_g0_5 lutff_2/in_3 +buffer local_g0_6 lutff_7/in_1 +buffer local_g0_7 lutff_4/in_3 +buffer local_g1_0 lutff_1/in_0 +buffer local_g1_1 lutff_0/in_0 +buffer local_g1_1 lutff_2/in_2 buffer local_g1_2 lutff_5/in_2 buffer local_g1_3 lutff_0/in_2 -buffer local_g1_4 lutff_1/in_2 -buffer local_g1_5 lutff_0/in_0 -buffer local_g1_5 lutff_4/in_0 -buffer local_g1_7 lutff_5/in_1 +buffer local_g1_4 lutff_7/in_0 +buffer local_g1_5 lutff_4/in_2 +buffer local_g1_6 lutff_3/in_0 +buffer local_g1_6 lutff_5/in_0 +buffer local_g1_7 lutff_3/in_1 +buffer local_g2_0 lutff_6/in_2 +buffer local_g2_1 lutff_4/in_1 buffer local_g2_4 lutff_2/in_0 -buffer local_g3_5 lutff_3/in_1 +buffer local_g2_5 lutff_0/in_3 +buffer local_g2_5 lutff_2/in_1 +buffer local_g2_6 lutff_5/in_1 +buffer local_g2_7 lutff_3/in_2 +buffer local_g3_1 lutff_4/in_0 +buffer local_g3_4 lutff_6/in_1 buffer local_g3_5 lutff_5/in_3 -buffer lutff_0/out local_g0_0 -buffer lutff_0/out local_g1_0 buffer lutff_0/out sp12_h_r_8 -buffer lutff_0/out sp4_r_v_b_33 -buffer lutff_1/out sp12_v_b_18 -buffer lutff_2/out local_g1_2 +buffer lutff_1/out sp12_v_b_2 buffer lutff_2/out sp12_h_r_12 buffer lutff_3/out local_g1_3 buffer lutff_4/out local_g1_4 -buffer lutff_4/out sp12_v_b_8 -buffer lutff_4/out sp4_h_r_24 -buffer lutff_4/out sp4_h_r_40 buffer lutff_5/out local_g0_5 -buffer lutff_5/out local_g3_5 -buffer lutff_6/out sp4_v_b_28 -buffer lutff_7/out sp4_h_r_14 -buffer neigh_op_lft_5 local_g1_5 -buffer sp12_h_r_11 local_g0_3 -buffer sp12_h_r_15 local_g1_7 -buffer sp4_h_r_1 local_g0_1 -buffer sp4_h_r_2 local_g0_2 -buffer sp4_h_r_20 local_g0_4 -buffer sp4_v_b_23 local_g0_7 +buffer lutff_6/out local_g0_6 +buffer sp12_h_r_15 local_g0_7 +buffer sp4_h_r_16 local_g0_0 +buffer sp4_h_r_18 local_g0_2 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_h_r_25 local_g3_1 +buffer sp4_h_r_33 local_g2_1 +buffer sp4_h_r_5 local_g1_5 +buffer sp4_r_v_b_38 local_g2_6 +buffer sp4_r_v_b_39 local_g2_7 +buffer sp4_r_v_b_6 local_g1_6 +buffer sp4_v_b_0 local_g1_0 +buffer sp4_v_b_1 local_g1_1 +buffer sp4_v_b_15 local_g1_7 +buffer sp4_v_b_18 local_g1_2 +buffer sp4_v_b_29 local_g2_5 +buffer sp4_v_b_29 local_g3_5 buffer sp4_v_b_36 local_g2_4 -routing sp12_h_l_23 sp12_h_r_0 -routing sp4_h_l_40 sp4_h_r_1 -routing sp4_h_l_41 sp4_v_b_10 -routing sp4_h_l_42 sp4_v_t_37 -routing sp4_h_l_44 sp4_v_t_39 -routing sp4_h_l_46 sp4_h_r_11 -routing sp4_h_r_4 sp4_v_b_4 +buffer sp4_v_b_36 local_g3_4 +buffer sp4_v_b_40 local_g2_0 +buffer sp4_v_b_9 local_g0_1 +routing sp4_h_l_36 sp4_v_t_43 +routing sp4_h_l_38 sp4_v_b_9 +routing sp4_h_l_40 sp4_v_b_11 +routing sp4_h_r_1 sp4_h_l_41 +routing sp4_h_r_1 sp4_h_l_44 +routing sp4_h_r_3 sp4_v_b_8 +routing sp4_h_r_5 sp4_h_l_45 +routing sp4_h_r_5 sp4_v_b_5 routing sp4_h_r_8 sp4_v_b_1 -routing sp4_v_b_0 sp4_v_t_45 -routing sp4_v_b_1 sp4_v_t_36 -routing sp4_v_t_44 sp4_h_r_2 +routing sp4_v_b_2 sp4_h_r_2 +routing sp4_v_b_2 sp4_h_r_8 +routing sp4_v_b_7 sp4_v_t_47 -.logic_tile 8 16 -LC_0 0000000010000000 0000 -LC_1 1000000000000000 0000 +.logic_tile 6 10 +LC_0 0000001000000000 0000 +LC_1 0000001000000000 0000 +LC_2 0000000000000110 0000 +LC_3 1000000000000000 0000 +LC_4 1101111111110000 0000 +LC_5 1011000000000000 0000 +LC_6 1111000001111111 0000 +LC_7 0000001000000000 0000 +buffer local_g0_0 lutff_6/in_0 +buffer local_g0_1 lutff_1/in_0 +buffer local_g0_2 lutff_4/in_0 +buffer local_g0_3 lutff_7/in_2 +buffer local_g0_4 lutff_0/in_0 +buffer local_g0_4 lutff_2/in_0 +buffer local_g0_6 lutff_7/in_1 +buffer local_g0_7 lutff_4/in_3 +buffer local_g1_0 lutff_5/in_2 +buffer local_g1_1 lutff_0/in_2 +buffer local_g1_1 lutff_2/in_2 +buffer local_g1_2 lutff_4/in_1 +buffer local_g1_2 lutff_5/in_0 +buffer local_g1_3 lutff_3/in_1 +buffer local_g1_4 lutff_6/in_3 +buffer local_g1_6 lutff_2/in_1 +buffer local_g1_7 lutff_1/in_1 +buffer local_g2_0 lutff_4/in_2 +buffer local_g2_0 lutff_6/in_2 +buffer local_g2_1 lutff_3/in_0 +buffer local_g2_1 lutff_7/in_0 +buffer local_g2_3 lutff_0/in_1 +buffer local_g2_3 lutff_2/in_3 +buffer local_g2_5 lutff_1/in_2 +buffer local_g2_7 lutff_6/in_1 +buffer local_g3_1 lutff_5/in_1 +buffer local_g3_4 lutff_3/in_2 +buffer local_g3_7 lutff_5/in_3 +buffer lutff_0/out sp4_r_v_b_17 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_2/out local_g0_2 +buffer lutff_2/out sp4_r_v_b_5 +buffer lutff_3/out local_g2_3 +buffer lutff_4/out local_g1_4 +buffer lutff_6/out sp4_r_v_b_13 +buffer lutff_7/out local_g0_7 +buffer neigh_op_bnl_7 local_g2_7 +buffer neigh_op_bnl_7 local_g3_7 +buffer neigh_op_bot_0 local_g0_0 +buffer neigh_op_bot_0 local_g1_0 +buffer neigh_op_bot_2 local_g1_2 +buffer neigh_op_lft_1 local_g1_1 +buffer neigh_op_lft_6 local_g0_6 +buffer neigh_op_rgt_5 local_g2_5 +buffer sp12_h_r_10 sp4_h_r_17 +buffer sp12_h_r_6 sp4_h_r_15 +buffer sp4_h_r_15 local_g1_7 +buffer sp4_r_v_b_17 local_g3_1 +buffer sp4_r_v_b_32 local_g2_0 +buffer sp4_r_v_b_33 local_g2_1 +buffer sp4_r_v_b_6 local_g1_6 +buffer sp4_v_b_12 local_g0_4 +buffer sp4_v_b_19 local_g1_3 +buffer sp4_v_b_28 local_g3_4 +buffer sp4_v_b_3 local_g0_3 +buffer sp4_v_b_9 local_g0_1 +routing sp12_v_t_23 sp12_h_l_23 +routing sp4_h_l_43 sp4_v_t_43 +routing sp4_v_t_38 sp4_h_r_3 +routing sp4_v_t_38 sp4_h_r_8 + +.logic_tile 1 14 +LC_0 0010000000000000 0000 +LC_1 0010000000000000 0000 LC_2 0010000000000000 0000 -LC_3 0100000000000000 0110 DffEnable Set_NoReset -LC_4 0100000000000000 0110 DffEnable Set_NoReset -LC_5 0100000000000000 0100 DffEnable -LC_6 1000000000000000 0100 DffEnable +LC_3 0010000000000000 0000 +LC_4 0101001100000000 0100 DffEnable +LC_5 0101001100000000 0100 DffEnable +LC_6 0101001100000000 0100 DffEnable +LC_7 0101001100000000 0100 DffEnable +buffer glb_netwk_3 lutff_global/cen +buffer glb_netwk_4 lutff_global/s_r buffer glb_netwk_6 lutff_global/clk -buffer local_g0_2 lutff_global/cen -buffer local_g0_4 lutff_global/s_r -buffer local_g1_0 lutff_2/in_1 -buffer local_g1_3 lutff_2/in_0 -buffer local_g1_5 lutff_0/in_2 -buffer local_g1_6 lutff_0/in_1 -buffer local_g2_3 lutff_3/in_0 -buffer local_g2_4 lutff_0/in_0 -buffer local_g2_6 lutff_4/in_0 -buffer local_g3_0 lutff_0/in_3 -buffer local_g3_0 lutff_1/in_0 -buffer local_g3_4 lutff_5/in_0 -buffer local_g3_5 lutff_6/in_0 -buffer lutff_0/out local_g1_0 -buffer lutff_1/out sp4_h_r_2 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_2/out sp4_v_b_20 -buffer lutff_3/out local_g1_3 -buffer lutff_4/out local_g2_4 -buffer lutff_4/out sp4_r_v_b_9 +buffer local_g0_1 lutff_5/in_0 +buffer local_g0_2 lutff_4/in_2 +buffer local_g0_2 lutff_6/in_2 +buffer local_g0_5 lutff_2/in_1 +buffer local_g0_6 lutff_1/in_1 +buffer local_g1_2 lutff_5/in_2 +buffer local_g1_2 lutff_7/in_2 +buffer local_g1_4 lutff_0/in_1 +buffer local_g1_4 lutff_4/in_1 +buffer local_g1_5 lutff_5/in_1 +buffer local_g1_6 lutff_6/in_1 +buffer local_g1_7 lutff_3/in_1 +buffer local_g1_7 lutff_7/in_1 +buffer local_g2_1 lutff_1/in_0 +buffer local_g2_1 lutff_3/in_0 +buffer local_g2_2 lutff_6/in_0 +buffer local_g3_1 lutff_0/in_0 +buffer local_g3_1 lutff_2/in_0 +buffer local_g3_3 lutff_4/in_0 +buffer local_g3_4 lutff_7/in_0 +buffer lutff_4/out local_g1_4 +buffer lutff_5/out local_g0_5 buffer lutff_5/out local_g1_5 +buffer lutff_6/out local_g0_6 buffer lutff_6/out local_g1_6 -buffer neigh_op_rgt_3 local_g2_3 +buffer lutff_7/out local_g1_7 +buffer neigh_op_rgt_2 local_g2_2 +buffer neigh_op_rgt_3 local_g3_3 buffer neigh_op_rgt_4 local_g3_4 -buffer neigh_op_rgt_5 local_g3_5 -buffer neigh_op_rgt_6 local_g2_6 -buffer sp4_r_v_b_16 local_g3_0 +buffer sp12_h_r_9 local_g0_1 +buffer sp12_v_b_17 local_g2_1 +buffer sp12_v_b_17 local_g3_1 +buffer sp4_r_v_b_26 local_g0_2 +buffer sp4_r_v_b_26 local_g1_2 + +.logic_tile 12 6 +LC_0 0100000000000000 0100 DffEnable +LC_2 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +NegClk +buffer glb_netwk_5 lutff_global/cen +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_2 lutff_6/in_0 +buffer local_g1_1 lutff_2/in_0 +buffer local_g2_0 lutff_0/in_0 +buffer local_g3_2 lutff_7/in_0 +buffer local_g3_5 lutff_global/s_r +buffer lutff_2/out sp12_v_b_20 +buffer lutff_6/out sp4_v_b_12 +buffer sp12_v_b_13 local_g3_5 +buffer sp4_h_r_40 local_g2_0 buffer sp4_v_b_18 local_g0_2 -buffer sp4_v_b_4 local_g0_4 +buffer sp4_v_b_34 local_g3_2 +buffer sp4_v_b_9 local_g1_1 routing sp4_h_l_47 sp4_v_b_4 -routing sp4_h_r_2 sp4_h_l_47 +routing sp4_v_b_9 sp4_v_t_37 -.logic_tile 6 15 -LC_0 0000000000000000 1000 CarryEnable -LC_1 0110100110010110 1000 CarryEnable -LC_2 0110100110010110 1000 CarryEnable -LC_3 0110100110010110 0000 +.logic_tile 4 13 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_6 +LC_0 0010000000000000 0000 +LC_1 0010000000000000 0000 +LC_2 0010000000000000 0000 +LC_3 0010000000000000 0000 LC_4 0001000000000000 0000 -LC_5 1111111101010011 0000 -LC_6 0101010111000011 0000 -LC_7 0110000000000000 0000 -buffer local_g0_0 lutff_4/in_0 -buffer local_g0_1 lutff_5/in_0 -buffer local_g0_2 lutff_7/in_1 -buffer local_g0_4 lutff_6/in_0 -buffer local_g0_7 lutff_0/in_1 -buffer local_g0_7 lutff_6/in_1 -buffer local_g1_0 lutff_7/in_0 -buffer local_g1_1 lutff_1/in_1 -buffer local_g1_2 lutff_2/in_1 -buffer local_g1_2 lutff_4/in_1 -buffer local_g1_4 lutff_1/in_2 -buffer local_g2_2 lutff_0/in_2 -buffer local_g2_2 lutff_6/in_2 -buffer local_g2_6 lutff_5/in_3 -buffer local_g2_7 lutff_5/in_2 -buffer local_g2_7 lutff_6/in_3 -buffer local_g3_1 lutff_5/in_1 -buffer lutff_0/cout lutff_1/in_3 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_1/out local_g3_1 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out sp4_v_b_4 -buffer lutff_4/out local_g1_4 -buffer lutff_7/out local_g0_7 -buffer neigh_op_rgt_2 local_g2_2 -buffer neigh_op_top_1 local_g0_1 -buffer neigh_op_top_4 local_g0_4 -buffer sp12_h_r_17 local_g1_1 -buffer sp4_h_r_16 local_g0_0 -buffer sp4_h_r_16 local_g1_0 -buffer sp4_h_r_18 local_g0_2 -buffer sp4_h_r_18 local_g1_2 -buffer sp4_r_v_b_14 local_g2_6 -buffer sp4_r_v_b_15 local_g2_7 -routing sp4_h_l_36 sp4_v_b_7 -routing sp4_h_l_44 sp4_v_b_3 -routing sp4_v_b_8 sp4_h_r_2 - -.logic_tile 12 3 -LC_0 0110100110010110 0100 DffEnable -LC_7 1010010101011010 0100 DffEnable -buffer carry_in carry_in_mux -buffer carry_in_mux lutff_0/in_3 -buffer glb_netwk_0 lutff_global/s_r +LC_6 0101001100000000 0100 DffEnable +LC_7 0101001100000000 0100 DffEnable +buffer glb_netwk_3 lutff_global/cen +buffer glb_netwk_4 lutff_global/s_r buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_0/in_2 -buffer local_g0_2 lutff_global/cen -buffer local_g0_7 lutff_7/in_2 -buffer lutff_0/out local_g0_0 -buffer lutff_0/out sp4_h_r_32 -buffer lutff_0/out sp4_v_b_0 -buffer lutff_0/out sp4_v_b_16 +buffer local_g0_0 lutff_6/in_2 +buffer local_g0_1 lutff_1/in_0 +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_6 lutff_3/in_1 +buffer local_g0_7 lutff_0/in_1 +buffer local_g1_0 lutff_7/in_2 +buffer local_g1_2 lutff_7/in_0 +buffer local_g1_6 lutff_6/in_1 +buffer local_g1_7 lutff_7/in_1 +buffer local_g2_2 lutff_1/in_1 +buffer local_g2_4 lutff_6/in_0 +buffer local_g2_7 lutff_2/in_1 +buffer local_g3_1 lutff_0/in_0 +buffer local_g3_1 lutff_2/in_0 +buffer local_g3_3 lutff_4/in_0 +buffer local_g3_4 lutff_4/in_1 +buffer lutff_0/out sp12_h_r_8 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_3/out sp4_h_r_38 +buffer lutff_4/out sp12_v_b_8 +buffer lutff_6/out local_g0_6 +buffer lutff_6/out local_g1_6 buffer lutff_7/out local_g0_7 -buffer lutff_7/out sp12_v_b_14 -buffer lutff_7/out sp4_v_b_46 -buffer neigh_op_lft_2 local_g0_2 -routing sp4_h_l_47 sp4_v_b_10 -routing sp4_h_l_47 sp4_v_t_47 -routing sp4_v_b_0 sp4_v_t_45 -routing sp4_v_t_36 sp4_h_r_6 -routing sp4_v_t_37 sp4_h_l_37 -routing sp4_v_t_38 sp4_h_l_44 -routing sp4_v_t_44 sp4_h_l_38 -routing sp4_v_t_45 sp4_h_l_39 +buffer lutff_7/out local_g1_7 +buffer neigh_op_bot_0 local_g0_0 +buffer neigh_op_bot_0 local_g1_0 +buffer neigh_op_rgt_4 local_g3_4 +buffer neigh_op_tnr_3 local_g3_3 +buffer sp12_h_r_10 local_g1_2 +buffer sp4_h_r_36 local_g2_4 +buffer sp4_h_r_47 local_g2_7 +buffer sp4_r_v_b_17 local_g3_1 +buffer sp4_v_b_17 local_g0_1 +buffer sp4_v_b_42 local_g2_2 -.logic_tile 11 13 -ColBufCtrl glb_netwk_0 -ColBufCtrl glb_netwk_1 +.logic_tile 2 13 +CarryInSet ColBufCtrl glb_netwk_6 -ColBufCtrl glb_netwk_7 -LC_0 1000000000000000 0000 -LC_1 1010010101011010 0000 -LC_2 0000000000000001 0000 -LC_3 0000000100000000 0000 -LC_4 0010000000000000 0000 -LC_5 0010000000000000 0100 DffEnable -LC_6 0010000000000000 0100 DffEnable -LC_7 0010000000000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_1 lutff_global/cen -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_1 lutff_0/in_1 -buffer local_g0_3 lutff_5/in_0 -buffer local_g0_3 lutff_7/in_0 -buffer local_g0_5 lutff_1/in_2 -buffer local_g1_0 lutff_3/in_0 -buffer local_g1_1 lutff_5/in_1 -buffer local_g1_2 lutff_3/in_2 -buffer local_g1_3 lutff_6/in_0 -buffer local_g1_5 lutff_2/in_0 -buffer local_g1_5 lutff_4/in_0 -buffer local_g1_6 lutff_2/in_3 -buffer local_g1_7 lutff_3/in_1 -buffer local_g2_2 lutff_7/in_1 -buffer local_g2_3 lutff_6/in_1 -buffer local_g3_0 lutff_4/in_1 -buffer local_g3_3 lutff_0/in_0 -buffer local_g3_6 lutff_2/in_1 -buffer local_g3_7 lutff_2/in_2 -buffer lutff_0/out sp4_h_r_32 -buffer lutff_1/out local_g1_1 -buffer lutff_2/out local_g1_2 -buffer lutff_3/out local_g3_3 -buffer lutff_3/out sp4_h_r_6 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_5/out local_g0_5 -buffer lutff_5/out local_g1_5 -buffer lutff_6/out local_g1_6 -buffer lutff_7/out local_g3_7 -buffer neigh_op_rgt_2 local_g2_2 -buffer neigh_op_rgt_3 local_g2_3 -buffer neigh_op_top_0 local_g1_0 -buffer sp12_h_r_11 local_g0_3 -buffer sp12_h_r_11 local_g1_3 -buffer sp12_v_b_9 sp4_v_b_16 -buffer sp4_h_r_38 local_g3_6 -buffer sp4_h_r_9 local_g0_1 -buffer sp4_r_v_b_7 local_g1_7 -buffer sp4_v_b_40 local_g3_0 -routing sp4_h_l_43 sp4_h_r_9 -routing sp4_h_l_43 sp4_v_b_0 -routing sp4_h_r_6 sp4_h_l_44 - -.logic_tile 4 10 -LC_0 0000100000000000 0000 -LC_1 0000001101010000 0000 -LC_2 0010000000000000 0000 -LC_3 0000100000000000 0000 -LC_4 0000001000000000 0000 -LC_5 0000000011110111 0000 -LC_6 1111000100000000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_6 lutff_global/clk +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0110100110010110 1000 CarryEnable +LC_3 0110100110010110 1000 CarryEnable +LC_4 0110100110010110 1000 CarryEnable +LC_5 0110100110010110 1000 CarryEnable +LC_6 0110100110010110 1000 CarryEnable +LC_7 0110100110010110 1000 CarryEnable buffer local_g0_1 lutff_1/in_2 -buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_1/in_0 -buffer local_g0_4 lutff_2/in_0 -buffer local_g0_5 lutff_2/in_1 -buffer local_g0_6 lutff_1/in_3 -buffer local_g0_7 lutff_5/in_0 -buffer local_g1_0 lutff_6/in_3 -buffer local_g1_2 lutff_4/in_1 -buffer local_g1_3 lutff_0/in_0 -buffer local_g1_4 lutff_6/in_1 -buffer local_g1_5 lutff_1/in_1 -buffer local_g1_5 lutff_6/in_2 -buffer local_g1_6 lutff_3/in_2 -buffer local_g1_7 lutff_4/in_2 -buffer local_g1_7 lutff_6/in_0 -buffer local_g2_0 lutff_5/in_1 -buffer local_g2_1 lutff_3/in_0 -buffer local_g2_3 lutff_7/in_0 -buffer local_g2_4 lutff_3/in_1 -buffer local_g2_6 lutff_5/in_3 -buffer local_g3_2 lutff_0/in_1 -buffer local_g3_3 lutff_4/in_0 -buffer local_g3_4 lutff_5/in_2 -buffer local_g3_5 lutff_0/in_2 -buffer lutff_0/out local_g1_0 -buffer lutff_2/out sp4_h_r_36 -buffer lutff_3/out local_g0_3 -buffer lutff_3/out local_g1_3 -buffer lutff_4/out local_g3_4 -buffer lutff_4/out sp4_h_r_24 -buffer lutff_5/out sp4_r_v_b_11 -buffer lutff_6/out sp4_r_v_b_45 -buffer lutff_7/out sp4_r_v_b_47 -buffer lutff_7/out sp4_v_b_30 -buffer sp12_h_r_0 sp4_h_r_12 -buffer sp12_h_r_10 local_g1_2 -buffer sp12_h_r_18 local_g0_2 -buffer sp12_h_r_20 local_g1_4 -buffer sp12_h_r_22 local_g1_6 -buffer sp4_h_r_15 local_g1_7 -buffer sp4_h_r_25 local_g2_1 -buffer sp4_h_r_30 local_g2_6 -buffer sp4_h_r_34 local_g3_2 -buffer sp4_h_r_35 local_g3_3 -buffer sp4_h_r_7 local_g0_7 -buffer sp4_r_v_b_36 local_g2_4 -buffer sp4_v_b_1 local_g0_1 -buffer sp4_v_b_13 local_g0_5 -buffer sp4_v_b_14 local_g0_6 -buffer sp4_v_b_21 local_g1_5 -buffer sp4_v_b_27 local_g2_3 -buffer sp4_v_b_4 local_g0_4 -buffer sp4_v_b_40 local_g2_0 -buffer sp4_v_b_45 local_g3_5 -routing sp12_v_b_0 sp12_h_r_0 -routing sp12_v_b_0 sp12_v_t_23 -routing sp4_h_l_41 sp4_h_r_7 -routing sp4_h_l_43 sp4_h_r_2 -routing sp4_v_b_1 sp4_h_l_43 -routing sp4_v_t_45 sp4_h_l_45 -routing sp4_v_t_45 sp4_h_r_1 +buffer local_g0_2 lutff_4/in_2 +buffer local_g0_3 lutff_0/in_1 +buffer local_g0_6 lutff_6/in_2 +buffer local_g1_0 lutff_3/in_2 +buffer local_g1_1 lutff_2/in_2 +buffer local_g1_2 lutff_5/in_2 +buffer local_g1_4 lutff_7/in_2 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/cout lutff_3/in_3 +buffer lutff_2/out sp4_h_r_20 +buffer lutff_3/cout lutff_4/in_3 +buffer lutff_4/cout lutff_5/in_3 +buffer lutff_5/cout lutff_6/in_3 +buffer lutff_6/cout lutff_7/in_3 +buffer lutff_6/out sp4_h_r_12 +buffer lutff_7/out sp12_h_r_6 +buffer neigh_op_bot_1 local_g0_1 +buffer neigh_op_lft_1 local_g1_1 +buffer sp12_h_r_4 local_g1_4 +buffer sp12_h_r_8 local_g1_0 +buffer sp12_v_b_5 sp4_v_b_14 +buffer sp4_h_r_10 local_g0_2 +buffer sp4_h_r_14 local_g0_6 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_r_v_b_26 local_g1_2 -.logic_tile 7 14 -LC_0 0000000001010011 0000 -LC_2 0001111011100001 0000 -LC_3 0110000000000000 0000 -LC_4 0000000010101100 0000 -LC_5 1000000011111111 0100 DffEnable -LC_6 1000000000000000 0100 DffEnable -LC_7 0010001011110000 0100 DffEnable +.logic_tile 9 11 +LC_3 0101110000000000 0110 DffEnable Set_NoReset +LC_5 0100000000000000 0100 DffEnable buffer glb_netwk_3 lutff_global/cen +buffer glb_netwk_4 lutff_global/s_r buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_5/in_1 -buffer local_g0_1 lutff_6/in_1 -buffer local_g0_2 lutff_4/in_0 buffer local_g0_3 lutff_3/in_0 -buffer local_g0_4 lutff_6/in_0 -buffer local_g0_5 lutff_7/in_2 buffer local_g0_6 lutff_3/in_1 -buffer local_g1_0 lutff_0/in_1 -buffer local_g1_1 lutff_2/in_0 -buffer local_g1_2 lutff_5/in_0 -buffer local_g1_3 lutff_0/in_0 -buffer local_g1_4 lutff_2/in_3 -buffer local_g1_6 lutff_7/in_0 -buffer local_g2_0 lutff_5/in_3 -buffer local_g2_1 lutff_2/in_1 -buffer local_g2_2 lutff_0/in_2 -buffer local_g2_2 lutff_4/in_2 -buffer local_g2_3 lutff_0/in_3 -buffer local_g2_3 lutff_4/in_3 -buffer local_g2_3 lutff_5/in_2 -buffer local_g2_6 lutff_2/in_2 -buffer local_g3_1 lutff_7/in_1 -buffer local_g3_3 lutff_7/in_3 -buffer local_g3_4 lutff_4/in_1 -buffer lutff_0/out local_g2_0 -buffer lutff_2/out local_g0_2 -buffer lutff_3/out local_g1_3 -buffer lutff_4/out local_g0_4 +buffer local_g1_4 lutff_3/in_2 +buffer local_g2_3 lutff_5/in_0 +buffer lutff_3/out local_g0_3 +buffer lutff_3/out sp4_r_v_b_7 buffer lutff_5/out sp12_h_r_18 -buffer lutff_6/out sp4_h_r_12 -buffer lutff_7/out sp12_v_b_14 -buffer neigh_op_tnr_4 local_g3_4 -buffer neigh_op_top_4 local_g1_4 -buffer neigh_op_top_6 local_g0_6 -buffer sp4_h_r_16 local_g1_0 -buffer sp4_h_r_41 local_g3_1 -buffer sp4_r_v_b_14 local_g2_6 -buffer sp4_r_v_b_25 local_g1_1 -buffer sp4_r_v_b_27 local_g0_3 -buffer sp4_v_b_1 local_g0_1 -buffer sp4_v_b_10 local_g1_2 -buffer sp4_v_b_13 local_g0_5 -buffer sp4_v_b_16 local_g0_0 -buffer sp4_v_b_22 local_g1_6 -buffer sp4_v_b_25 local_g2_1 -buffer sp4_v_b_26 local_g2_2 -buffer sp4_v_b_27 local_g2_3 -buffer sp4_v_b_27 local_g3_3 -routing sp4_h_l_36 sp4_h_r_4 -routing sp4_h_l_47 sp4_v_b_10 -routing sp4_h_r_0 sp4_v_t_43 -routing sp4_v_b_0 sp4_h_l_40 -routing sp4_v_b_4 sp4_h_l_41 -routing sp4_v_t_40 sp4_h_r_10 -routing sp4_v_t_42 sp4_h_r_7 - -.logic_tile 2 6 -LC_0 0000000010000000 0000 -LC_1 0000000000001000 0000 -LC_2 0000000000000001 0000 -LC_3 1111111000000000 0000 -LC_5 0100000000000000 0100 DffEnable -LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_0/in_2 -buffer local_g0_2 lutff_3/in_1 -buffer local_g0_3 lutff_0/in_3 -buffer local_g0_4 lutff_6/in_0 -buffer local_g0_5 lutff_7/in_0 -buffer local_g1_0 lutff_1/in_2 -buffer local_g1_0 lutff_3/in_0 -buffer local_g1_2 lutff_5/in_0 -buffer local_g2_0 lutff_1/in_3 -buffer local_g2_1 lutff_2/in_3 -buffer local_g2_3 lutff_1/in_0 -buffer local_g2_3 lutff_2/in_1 -buffer local_g2_7 lutff_0/in_1 -buffer local_g2_7 lutff_3/in_2 -buffer local_g3_1 lutff_0/in_0 -buffer local_g3_1 lutff_2/in_0 -buffer local_g3_3 lutff_global/cen -buffer local_g3_5 lutff_1/in_1 -buffer local_g3_5 lutff_2/in_2 -buffer lutff_0/out local_g2_0 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_1/out sp12_v_b_18 -buffer lutff_2/out local_g0_2 -buffer lutff_3/out sp4_r_v_b_23 -buffer lutff_5/out sp12_h_r_2 -buffer lutff_6/out sp4_h_r_28 -buffer lutff_7/out sp4_h_r_30 -buffer lutff_7/out sp4_v_b_30 -buffer neigh_op_lft_0 local_g1_0 -buffer sp4_h_r_10 local_g1_2 -buffer sp4_h_r_11 local_g0_3 -buffer sp4_h_r_12 local_g0_4 -buffer sp4_h_r_16 local_g0_0 -buffer sp4_r_v_b_43 local_g3_3 -buffer sp4_v_b_21 local_g0_5 -buffer sp4_v_b_25 local_g3_1 -buffer sp4_v_b_27 local_g2_3 -buffer sp4_v_b_29 local_g3_5 -buffer sp4_v_b_31 local_g2_7 -buffer sp4_v_b_33 local_g2_1 -routing sp4_h_l_37 sp4_v_t_40 -routing sp4_h_l_40 sp4_h_r_5 -routing sp4_h_l_42 sp4_v_t_37 -routing sp4_h_r_1 sp4_v_b_1 -routing sp4_h_r_9 sp4_h_l_40 -routing sp4_v_t_37 sp4_h_l_37 - -.logic_tile 9 14 -LC_0 0000011000000000 0000 -LC_1 0000000000001001 0000 -LC_2 0000000010000010 0000 -LC_3 1001000000000000 0000 -LC_4 0000001011101111 0000 -LC_5 1001000000001001 0000 -LC_6 1010010101011010 0000 -LC_7 1001000000001001 0000 -buffer local_g0_0 lutff_1/in_1 -buffer local_g0_1 lutff_3/in_0 -buffer local_g0_2 lutff_0/in_2 -buffer local_g0_3 lutff_1/in_2 -buffer local_g0_4 lutff_2/in_0 -buffer local_g0_5 lutff_2/in_3 -buffer local_g0_6 lutff_5/in_1 -buffer local_g1_1 lutff_5/in_3 -buffer local_g1_2 lutff_4/in_1 -buffer local_g1_3 lutff_2/in_2 -buffer local_g1_4 lutff_1/in_0 -buffer local_g1_4 lutff_5/in_2 -buffer local_g1_6 lutff_4/in_3 -buffer local_g1_7 lutff_1/in_3 -buffer local_g2_2 lutff_3/in_1 -buffer local_g2_4 lutff_4/in_2 -buffer local_g2_4 lutff_6/in_2 -buffer local_g2_4 lutff_7/in_1 -buffer local_g2_5 lutff_5/in_0 -buffer local_g2_5 lutff_7/in_0 -buffer local_g3_0 lutff_2/in_1 -buffer local_g3_0 lutff_7/in_2 -buffer local_g3_2 lutff_0/in_1 -buffer local_g3_3 lutff_0/in_0 -buffer local_g3_3 lutff_4/in_0 -buffer local_g3_7 lutff_7/in_3 -buffer lutff_1/out sp4_r_v_b_19 -buffer lutff_1/out sp4_r_v_b_3 -buffer lutff_2/out local_g0_2 -buffer lutff_2/out local_g1_2 -buffer lutff_3/out local_g0_3 -buffer lutff_3/out local_g3_3 -buffer lutff_4/out sp4_h_r_24 -buffer lutff_4/out sp4_h_r_40 -buffer lutff_5/out local_g0_5 -buffer lutff_6/out local_g0_6 -buffer lutff_6/out local_g1_6 -buffer lutff_7/out local_g1_7 -buffer neigh_op_top_3 local_g1_3 -buffer neigh_op_top_4 local_g0_4 buffer sp12_h_r_20 local_g1_4 -buffer sp12_v_b_16 local_g3_0 -buffer sp4_h_r_16 local_g0_0 -buffer sp4_h_r_17 local_g0_1 -buffer sp4_h_r_29 local_g2_5 -buffer sp4_h_r_31 local_g3_7 -buffer sp4_h_r_34 local_g2_2 -buffer sp4_r_v_b_12 local_g2_4 -buffer sp4_r_v_b_18 local_g3_2 -buffer sp4_v_b_17 local_g1_1 -routing sp4_v_b_0 sp4_h_r_0 -routing sp4_v_b_1 sp4_h_l_36 -routing sp4_v_b_4 sp4_v_t_37 -routing sp4_v_t_37 sp4_v_b_8 +buffer sp4_h_r_35 local_g2_3 +buffer sp4_v_b_14 local_g0_6 +routing sp4_h_l_37 sp4_v_t_40 +routing sp4_v_b_3 sp4_v_t_46 +routing sp4_v_b_5 sp4_h_r_5 +routing sp4_v_t_38 sp4_v_b_3 +routing sp4_v_t_40 sp4_v_b_1 -.logic_tile 8 2 +.logic_tile 8 16 CarryInSet LC_0 0000000000000000 1000 CarryEnable LC_1 0000000000000000 1000 CarryEnable -LC_2 0110100110010110 0000 -LC_3 1000000000000000 0000 +LC_2 0000000011111111 1000 CarryEnable +LC_3 1001100101100110 0000 LC_4 1001100101100110 0000 -LC_5 1001100101100110 0000 -LC_6 1111111100000010 0000 -LC_7 1000000000000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r +buffer local_g0_2 lutff_3/in_1 +buffer local_g0_6 lutff_1/in_1 +buffer local_g0_7 lutff_0/in_1 +buffer local_g1_0 lutff_2/in_1 +buffer local_g1_0 lutff_4/in_1 +buffer local_g1_2 lutff_4/in_3 +buffer local_g2_1 lutff_1/in_2 +buffer local_g3_1 lutff_2/in_2 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/cout lutff_3/in_3 +buffer lutff_2/out local_g1_2 +buffer lutff_3/out sp12_v_b_6 +buffer lutff_4/out sp4_r_v_b_9 +buffer neigh_op_bot_0 local_g1_0 +buffer neigh_op_bot_2 local_g0_2 +buffer neigh_op_bot_6 local_g0_6 +buffer neigh_op_bot_7 local_g0_7 +buffer sp4_h_r_25 local_g2_1 +buffer sp4_h_r_25 local_g3_1 + +.logic_tile 6 15 +LC_0 1111111110000000 0100 DffEnable +NegClk buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_6/in_2 -buffer local_g0_1 lutff_1/in_2 -buffer local_g0_2 lutff_6/in_0 -buffer local_g0_4 lutff_5/in_3 -buffer local_g0_7 lutff_7/in_0 -buffer local_g1_2 lutff_4/in_1 +buffer local_g0_5 lutff_0/in_3 buffer local_g1_3 lutff_global/cen -buffer local_g2_1 lutff_6/in_1 -buffer local_g2_2 lutff_2/in_2 -buffer local_g2_5 lutff_6/in_3 -buffer local_g2_7 lutff_0/in_1 -buffer local_g3_2 lutff_4/in_3 -buffer local_g3_3 lutff_5/in_1 -buffer local_g3_4 lutff_3/in_0 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/out sp4_h_r_4 -buffer lutff_3/out local_g3_3 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_4/out sp4_v_b_24 -buffer lutff_6/out sp4_h_r_44 -buffer lutff_7/out local_g0_7 -buffer lutff_7/out sp12_h_r_6 -buffer neigh_op_lft_2 local_g1_2 -buffer neigh_op_rgt_2 local_g3_2 -buffer neigh_op_tnl_4 local_g3_4 -buffer sp4_h_r_0 local_g0_0 -buffer sp4_h_r_39 local_g2_7 -buffer sp4_h_r_41 local_g2_1 -buffer sp4_h_r_42 local_g2_2 -buffer sp4_r_v_b_3 local_g1_3 -buffer sp4_r_v_b_37 local_g2_5 -buffer sp4_v_b_18 local_g0_2 -buffer sp4_v_b_4 local_g0_4 -buffer sp4_v_b_9 local_g0_1 +buffer local_g2_4 lutff_0/in_0 +buffer local_g2_5 lutff_0/in_1 +buffer local_g3_1 lutff_0/in_2 +buffer lutff_0/out sp4_h_r_32 +buffer neigh_op_bnl_1 local_g3_1 +buffer neigh_op_bnl_5 local_g2_5 +buffer neigh_op_rgt_4 local_g2_4 +buffer sp12_v_b_1 sp4_v_b_12 +buffer sp4_h_r_21 local_g0_5 +buffer sp4_h_r_3 local_g1_3 routing sp4_h_l_44 sp4_v_b_9 -routing sp4_h_r_11 sp4_v_b_4 -routing sp4_h_r_7 sp4_v_b_7 -routing sp4_v_t_37 sp4_h_r_5 -.logic_tile 12 14 -LC_0 0110100110010110 1000 CarryEnable -LC_1 0110100110010110 1000 CarryEnable -LC_2 0110100110010110 1000 CarryEnable -LC_3 0110100110010110 1000 CarryEnable -LC_4 0110100110010110 1000 CarryEnable -LC_5 0110100110010110 1000 CarryEnable -LC_6 0110100110010110 0000 -LC_7 0010000000000000 0100 DffEnable -buffer carry_in carry_in_mux -buffer carry_in_mux lutff_0/in_3 -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_1 lutff_global/cen +.logic_tile 1 1 +LC_2 1000000000000000 0000 +buffer local_g0_0 lutff_2/in_0 +buffer sp12_h_r_0 local_g0_0 +routing sp12_h_r_0 sp12_v_b_0 +routing sp4_h_r_3 sp4_h_l_43 +routing sp4_h_r_5 sp4_v_b_5 + +.logic_tile 12 3 +LC_0 0001000000000000 0110 DffEnable Set_NoReset +LC_1 0101001100000000 0110 DffEnable Set_NoReset +LC_3 0001000000000000 0110 DffEnable Set_NoReset +LC_5 0001000000000000 0110 DffEnable Set_NoReset +LC_6 0101001100000000 0100 DffEnable +LC_7 0011010100000000 0100 DffEnable +NegClk +buffer glb2local_0 local_g0_4 +buffer glb2local_1 local_g0_5 +buffer glb_netwk_0 glb2local_0 +buffer glb_netwk_0 glb2local_1 +buffer glb_netwk_4 lutff_global/s_r buffer glb_netwk_6 lutff_global/clk -buffer local_g0_2 lutff_2/in_2 +buffer local_g0_0 lutff_6/in_0 +buffer local_g0_1 lutff_1/in_0 +buffer local_g0_2 lutff_1/in_1 +buffer local_g0_3 lutff_7/in_0 +buffer local_g0_4 lutff_0/in_0 buffer local_g0_4 lutff_6/in_2 -buffer local_g0_5 lutff_5/in_2 -buffer local_g0_6 lutff_0/in_2 -buffer local_g0_7 lutff_3/in_2 -buffer local_g1_1 lutff_7/in_1 -buffer local_g1_3 lutff_4/in_2 -buffer local_g2_5 lutff_7/in_0 -buffer local_g2_7 lutff_1/in_2 -buffer lutff_0/cout lutff_1/in_3 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_1/out local_g1_1 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_3/cout lutff_4/in_3 -buffer lutff_4/cout lutff_5/in_3 -buffer lutff_5/cout lutff_6/in_3 -buffer lutff_7/out local_g2_7 -buffer neigh_op_lft_2 local_g0_2 -buffer neigh_op_lft_3 local_g1_3 -buffer neigh_op_lft_4 local_g0_4 -buffer neigh_op_lft_5 local_g0_5 -buffer neigh_op_lft_6 local_g0_6 -buffer neigh_op_lft_7 local_g0_7 -buffer sp4_h_r_37 local_g2_5 -routing sp4_v_b_11 sp4_h_l_41 - -.logic_tile 5 11 -LC_0 0000000000001000 0000 -LC_1 1000001000000000 0000 -LC_2 0000000100000000 0000 -LC_3 0000001000000000 0000 -LC_4 0000000000000010 0000 -LC_5 1111111100001110 0000 -LC_6 1111000000010001 0000 -LC_7 0000000000000010 0000 -buffer local_g0_0 lutff_0/in_0 -buffer local_g0_1 lutff_4/in_1 -buffer local_g0_2 lutff_6/in_0 -buffer local_g0_2 lutff_7/in_1 -buffer local_g0_4 lutff_0/in_2 -buffer local_g0_5 lutff_6/in_3 +buffer local_g0_5 lutff_1/in_2 +buffer local_g0_5 lutff_3/in_0 +buffer local_g0_5 lutff_5/in_0 buffer local_g0_5 lutff_7/in_2 -buffer local_g0_7 lutff_1/in_0 -buffer local_g0_7 lutff_7/in_0 -buffer local_g1_0 lutff_1/in_2 -buffer local_g1_1 lutff_2/in_0 -buffer local_g1_2 lutff_4/in_3 -buffer local_g1_3 lutff_5/in_1 -buffer local_g1_4 lutff_5/in_0 -buffer local_g1_6 lutff_5/in_2 -buffer local_g1_7 lutff_2/in_2 -buffer local_g2_0 lutff_5/in_3 -buffer local_g2_1 lutff_6/in_1 -buffer local_g2_3 lutff_0/in_1 -buffer local_g2_4 lutff_6/in_2 -buffer local_g2_5 lutff_0/in_3 -buffer local_g2_6 lutff_3/in_1 -buffer local_g2_6 lutff_4/in_2 -buffer local_g2_7 lutff_3/in_0 -buffer local_g3_0 lutff_3/in_2 -buffer local_g3_1 lutff_7/in_3 -buffer local_g3_3 lutff_1/in_1 -buffer local_g3_5 lutff_4/in_0 -buffer local_g3_6 lutff_2/in_1 -buffer lutff_1/out local_g2_1 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_2/out sp4_r_v_b_21 -buffer lutff_3/out local_g3_3 +buffer local_g1_4 lutff_0/in_1 +buffer local_g1_5 lutff_7/in_1 +buffer local_g1_7 lutff_5/in_1 +buffer local_g2_0 lutff_3/in_1 +buffer local_g2_2 lutff_global/cen +buffer local_g3_6 lutff_6/in_1 +buffer lutff_0/out sp12_h_r_8 +buffer lutff_0/out sp4_h_r_16 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_1/out sp4_v_b_18 buffer lutff_3/out sp12_h_r_14 +buffer lutff_3/out sp4_v_b_22 buffer lutff_3/out sp4_v_b_38 -buffer lutff_4/out sp4_r_v_b_9 +buffer lutff_5/out sp4_h_r_26 buffer lutff_6/out sp12_h_r_20 -buffer lutff_7/out sp12_v_b_14 -buffer neigh_op_bnl_5 local_g3_5 -buffer neigh_op_bnl_6 local_g2_6 -buffer neigh_op_bnl_6 local_g3_6 -buffer neigh_op_bot_4 local_g0_4 -buffer neigh_op_bot_4 local_g1_4 -buffer neigh_op_bot_6 local_g1_6 -buffer neigh_op_lft_3 local_g1_3 -buffer neigh_op_tnl_5 local_g2_5 -buffer neigh_op_tnr_0 local_g2_0 +buffer lutff_6/out sp4_v_b_12 +buffer lutff_7/out sp12_h_r_22 +buffer lutff_7/out sp4_v_b_14 +buffer neigh_op_tnl_2 local_g2_2 +buffer neigh_op_tnl_6 local_g3_6 +buffer neigh_op_top_2 local_g0_2 +buffer neigh_op_top_3 local_g0_3 +buffer neigh_op_top_4 local_g1_4 buffer neigh_op_top_7 local_g1_7 -buffer sp12_h_r_17 local_g0_1 -buffer sp12_h_r_17 local_g1_1 -buffer sp12_h_r_5 local_g0_5 -buffer sp12_v_b_12 local_g2_4 -buffer sp12_v_b_23 sp4_v_b_23 -buffer sp4_h_r_41 local_g3_1 -buffer sp4_h_r_8 local_g1_0 -buffer sp4_r_v_b_33 local_g0_2 -buffer sp4_r_v_b_40 local_g3_0 -buffer sp4_v_b_10 local_g1_2 +buffer sp12_h_r_21 local_g1_5 +buffer sp4_h_r_17 local_g0_1 buffer sp4_v_b_16 local_g0_0 -buffer sp4_v_b_23 local_g0_7 -buffer sp4_v_b_39 local_g2_7 -buffer sp4_v_b_43 local_g2_3 -routing sp4_h_l_38 sp4_v_t_45 -routing sp4_h_l_40 sp4_h_r_8 -routing sp4_h_l_46 sp4_v_t_41 -routing sp4_h_r_8 sp4_h_l_45 -routing sp4_v_b_11 sp4_h_r_11 -routing sp4_v_t_39 sp4_h_r_2 -routing sp4_v_t_39 sp4_h_r_7 -routing sp4_v_t_40 sp4_h_r_5 -routing sp4_v_t_42 sp4_v_b_10 -routing sp4_v_t_46 sp4_h_l_40 -routing sp4_v_t_47 sp4_h_r_3 -routing sp4_v_t_47 sp4_v_b_6 +buffer sp4_v_b_40 local_g2_0 +routing sp12_h_l_22 sp12_v_t_22 +routing sp4_h_l_36 sp4_h_r_4 +routing sp4_h_l_43 sp4_v_t_46 +routing sp4_h_l_44 sp4_v_b_3 +routing sp4_v_t_36 sp4_h_l_36 -.logic_tile 4 5 -ColBufCtrl glb_netwk_0 +.logic_tile 11 13 ColBufCtrl glb_netwk_6 -LC_0 1111011000000000 0000 -LC_1 0100000000000000 0100 DffEnable -LC_3 0100000000000000 0100 DffEnable -LC_4 0100000000000000 0100 DffEnable -LC_5 0100000000000000 0100 DffEnable -LC_6 0100000000000000 0100 DffEnable LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r +NegClk buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_0/in_2 -buffer local_g0_1 lutff_0/in_1 +buffer local_g0_1 lutff_7/in_0 +buffer local_g1_3 lutff_global/cen +buffer lutff_7/out sp4_r_v_b_15 +buffer sp12_h_r_17 local_g0_1 +buffer sp12_v_b_15 sp4_v_b_19 +buffer sp4_v_b_19 local_g1_3 +routing sp4_h_l_42 sp4_v_b_7 +routing sp4_h_l_45 sp4_v_b_8 + +.logic_tile 4 10 +LC_0 0001000000000000 0000 +LC_1 0000101100000000 0000 +LC_2 1000000000000000 0000 +LC_3 1000000000000000 0000 +LC_4 0001000000000000 0000 +LC_5 1000000000000000 0000 +LC_6 0010000000000000 0000 +LC_7 1000000000000000 0000 buffer local_g0_2 lutff_0/in_0 -buffer local_g0_3 lutff_3/in_0 -buffer local_g1_2 lutff_1/in_0 -buffer local_g2_5 lutff_7/in_0 -buffer local_g3_1 lutff_4/in_0 -buffer local_g3_2 lutff_5/in_0 -buffer local_g3_3 lutff_global/cen -buffer local_g3_5 lutff_6/in_0 -buffer lutff_0/out sp4_h_r_16 +buffer local_g0_4 lutff_2/in_0 +buffer local_g0_5 lutff_1/in_0 +buffer local_g1_2 lutff_1/in_2 +buffer local_g1_4 lutff_6/in_1 +buffer local_g1_6 lutff_0/in_1 +buffer local_g1_6 lutff_4/in_1 +buffer local_g1_7 lutff_4/in_0 +buffer local_g2_3 lutff_5/in_0 +buffer local_g2_4 lutff_6/in_0 +buffer local_g2_5 lutff_3/in_0 +buffer local_g3_0 lutff_7/in_0 +buffer local_g3_7 lutff_1/in_1 buffer lutff_0/out sp4_r_v_b_1 -buffer lutff_1/out sp12_h_r_10 +buffer lutff_1/out sp4_h_r_18 +buffer lutff_1/out sp4_r_v_b_35 +buffer lutff_2/out sp4_h_r_36 buffer lutff_3/out sp4_h_r_38 -buffer lutff_4/out sp4_h_r_40 -buffer lutff_4/out sp4_r_v_b_41 -buffer lutff_5/out sp4_h_r_26 -buffer lutff_5/out sp4_h_r_42 -buffer lutff_5/out sp4_v_b_26 -buffer lutff_6/out sp12_h_r_4 -buffer lutff_6/out sp4_r_v_b_45 -buffer lutff_7/out sp12_h_r_6 -buffer lutff_7/out sp4_v_b_46 -buffer neigh_op_rgt_1 local_g3_1 -buffer neigh_op_rgt_2 local_g3_2 -buffer neigh_op_rgt_5 local_g3_5 -buffer neigh_op_top_2 local_g1_2 -buffer sp12_h_r_16 local_g0_0 -buffer sp12_h_r_18 local_g0_2 -buffer sp4_r_v_b_27 local_g0_3 -buffer sp4_r_v_b_34 local_g0_1 -buffer sp4_v_b_35 local_g3_3 -buffer sp4_v_b_37 local_g2_5 -routing sp4_h_r_11 sp4_v_t_40 +buffer lutff_4/out sp4_v_b_40 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_6/out local_g1_6 +buffer lutff_7/out sp12_h_r_22 +buffer neigh_op_rgt_7 local_g3_7 +buffer sp12_h_r_10 local_g1_2 +buffer sp12_h_r_13 local_g0_5 +buffer sp12_h_r_20 local_g1_4 +buffer sp12_h_r_6 sp4_h_r_15 +buffer sp12_v_b_16 local_g3_0 +buffer sp12_v_b_21 local_g2_5 +buffer sp4_r_v_b_12 local_g2_4 +buffer sp4_r_v_b_31 local_g1_7 +buffer sp4_r_v_b_33 local_g0_2 +buffer sp4_v_b_12 local_g0_4 +buffer sp4_v_b_43 local_g2_3 +routing sp4_h_r_10 sp4_v_t_41 +routing sp4_v_b_10 sp4_h_r_4 -.logic_tile 9 3 -LC_0 0000111000000000 0000 -LC_1 1011111100001000 0000 -LC_2 0001000000000000 0000 +.logic_tile 7 14 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0110100110010110 0000 LC_3 0000001000000000 0000 -LC_4 0100000000000000 0100 DffEnable -LC_5 0100000000000000 0100 DffEnable -LC_6 1000000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_5 lutff_global/cen -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_4/in_0 -buffer local_g0_1 lutff_3/in_2 +LC_5 0000011100000000 0000 +LC_6 1001011010010110 0000 +buffer local_g0_5 lutff_0/in_1 +buffer local_g1_0 lutff_5/in_2 +buffer local_g1_2 lutff_3/in_0 +buffer local_g1_2 lutff_5/in_0 +buffer local_g1_5 lutff_6/in_2 +buffer local_g2_0 lutff_3/in_1 +buffer local_g2_1 lutff_3/in_2 +buffer local_g2_2 lutff_5/in_1 +buffer local_g2_3 lutff_1/in_2 +buffer local_g3_7 lutff_2/in_2 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/out sp4_h_r_36 +buffer lutff_3/out sp4_r_v_b_23 +buffer lutff_6/out sp12_h_r_20 +buffer neigh_op_lft_2 local_g1_2 +buffer neigh_op_rgt_7 local_g3_7 +buffer neigh_op_top_5 local_g0_5 +buffer neigh_op_top_5 local_g1_5 +buffer sp12_v_b_3 local_g2_3 +buffer sp4_h_r_40 local_g2_0 +buffer sp4_r_v_b_0 local_g1_0 +buffer sp4_r_v_b_34 local_g2_2 +buffer sp4_v_b_25 local_g2_1 + +.logic_tile 2 6 +LC_0 0000000000001001 0000 +LC_1 1001000000001001 0000 +LC_2 1000000000000000 0000 +LC_3 1001000000000000 0000 +LC_4 0101001100000000 0000 +LC_5 1001000000001001 0000 +LC_6 0101001100000000 0000 +LC_7 0000000010000010 0000 +buffer glb2local_2 local_g0_6 +buffer glb_netwk_6 glb2local_2 +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_1 lutff_4/in_1 +buffer local_g0_2 lutff_4/in_2 +buffer local_g0_2 lutff_6/in_2 buffer local_g0_3 lutff_3/in_0 -buffer local_g0_6 lutff_1/in_3 -buffer local_g1_0 lutff_7/in_0 +buffer local_g0_4 lutff_0/in_0 +buffer local_g0_5 lutff_7/in_0 +buffer local_g0_6 lutff_2/in_0 buffer local_g1_1 lutff_6/in_0 -buffer local_g1_2 lutff_5/in_0 -buffer local_g1_3 lutff_2/in_0 +buffer local_g1_2 lutff_6/in_1 +buffer local_g1_2 lutff_7/in_2 +buffer local_g1_3 lutff_5/in_3 buffer local_g1_4 lutff_1/in_0 -buffer local_g2_0 lutff_3/in_1 -buffer local_g2_2 lutff_0/in_2 -buffer local_g2_4 lutff_1/in_1 -buffer local_g2_6 lutff_0/in_0 -buffer local_g2_7 lutff_1/in_2 -buffer local_g3_0 lutff_2/in_1 -buffer local_g3_4 lutff_0/in_1 -buffer lutff_0/out sp12_v_b_16 -buffer lutff_0/out sp4_v_b_16 -buffer lutff_1/out local_g1_1 -buffer lutff_1/out sp12_v_b_18 -buffer lutff_1/out sp4_h_r_34 -buffer lutff_1/out sp4_r_v_b_19 -buffer lutff_1/out sp4_r_v_b_35 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_3/out local_g1_3 -buffer lutff_3/out sp4_r_v_b_39 -buffer lutff_4/out sp12_h_r_0 +buffer local_g1_5 lutff_5/in_1 +buffer local_g1_6 lutff_1/in_2 +buffer local_g1_7 lutff_1/in_3 +buffer local_g1_7 lutff_7/in_1 +buffer local_g2_1 lutff_0/in_3 +buffer local_g2_2 lutff_4/in_0 +buffer local_g2_3 lutff_5/in_0 +buffer local_g2_5 lutff_0/in_1 +buffer local_g2_5 lutff_5/in_2 +buffer local_g3_3 lutff_0/in_2 +buffer local_g3_5 lutff_7/in_3 +buffer local_g3_7 lutff_3/in_1 +buffer lutff_0/out sp4_h_r_32 +buffer lutff_1/out local_g2_1 +buffer lutff_2/out sp4_h_r_36 +buffer lutff_2/out sp4_r_v_b_21 +buffer lutff_3/out local_g3_3 +buffer lutff_3/out sp4_v_b_38 +buffer lutff_4/out local_g0_4 buffer lutff_4/out sp4_r_v_b_25 -buffer lutff_5/out sp12_v_b_10 -buffer lutff_5/out sp4_h_r_26 -buffer lutff_5/out sp4_r_v_b_11 -buffer lutff_6/out local_g0_6 -buffer lutff_7/out sp12_h_r_22 -buffer lutff_7/out sp4_r_v_b_15 -buffer sp12_h_r_10 local_g1_2 -buffer sp12_h_r_16 local_g0_0 -buffer sp12_h_r_22 sp4_h_r_23 -buffer sp12_h_r_4 local_g1_4 -buffer sp12_v_b_8 local_g3_0 -buffer sp4_h_r_16 local_g1_0 -buffer sp4_h_r_24 local_g2_0 -buffer sp4_h_r_28 local_g2_4 -buffer sp4_h_r_28 local_g3_4 -buffer sp4_h_r_46 local_g2_6 -buffer sp4_v_b_11 local_g0_3 -buffer sp4_v_b_17 local_g0_1 -buffer sp4_v_b_26 local_g2_2 -buffer sp4_v_b_31 local_g2_7 -routing sp4_h_l_38 sp4_h_r_11 -routing sp4_h_l_43 sp4_h_r_2 -routing sp4_h_l_43 sp4_v_t_43 -routing sp4_h_l_43 sp4_v_t_46 -routing sp4_h_r_8 sp4_v_b_8 -routing sp4_v_b_10 sp4_h_r_4 -routing sp4_v_t_41 sp4_h_l_41 -routing sp4_v_t_46 sp4_v_b_11 +buffer lutff_5/out local_g3_5 +buffer lutff_6/out local_g1_6 +buffer neigh_op_bot_2 local_g0_2 +buffer neigh_op_bot_3 local_g0_3 +buffer neigh_op_bot_4 local_g1_4 +buffer neigh_op_bot_5 local_g1_5 +buffer neigh_op_lft_2 local_g1_2 +buffer neigh_op_lft_3 local_g1_3 +buffer sp12_h_r_17 local_g0_1 +buffer sp12_v_b_7 local_g3_7 +buffer sp4_h_r_21 local_g0_5 +buffer sp4_h_r_34 local_g2_2 +buffer sp4_r_v_b_35 local_g0_0 +buffer sp4_r_v_b_35 local_g2_3 +buffer sp4_r_v_b_37 local_g2_5 +buffer sp4_r_v_b_7 local_g1_7 +buffer sp4_v_b_1 local_g1_1 +routing sp4_h_l_42 sp4_v_b_1 +routing sp4_h_r_6 sp4_v_b_6 +routing sp4_v_t_38 sp4_h_r_8 -.logic_tile 12 15 +.logic_tile 9 14 +LC_0 0100000000000000 0100 DffEnable LC_1 0100000000000000 0100 DffEnable -LC_5 0100000000000000 0100 DffEnable +LC_3 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable +LC_7 0100000000000000 0100 DffEnable +NegClk +buffer glb_netwk_5 lutff_global/cen buffer glb_netwk_6 lutff_global/clk -buffer glb_netwk_7 lutff_global/cen -buffer local_g0_1 lutff_1/in_0 -buffer local_g0_4 lutff_global/s_r -buffer local_g2_3 lutff_5/in_0 -buffer lutff_1/out sp4_v_b_34 -buffer lutff_5/out sp4_v_b_26 -buffer sp12_v_b_1 sp4_v_b_12 -buffer sp12_v_b_3 local_g2_3 -buffer sp12_v_b_5 sp4_v_b_14 -buffer sp4_v_b_20 local_g0_4 -buffer sp4_v_b_9 local_g0_1 - -.logic_tile 1 4 -CarryInSet -ColBufCtrl glb_netwk_0 -ColBufCtrl glb_netwk_6 -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 1001100101100110 0000 -buffer local_g2_5 lutff_1/in_2 -buffer local_g2_6 lutff_1/in_1 -buffer local_g2_7 lutff_0/in_1 -buffer local_g3_6 lutff_2/in_1 -buffer lutff_1/cout lutff_2/in_3 -buffer neigh_op_tnr_6 local_g3_6 -buffer sp12_v_b_22 local_g2_6 -buffer sp4_r_v_b_13 local_g2_5 -buffer sp4_r_v_b_39 local_g2_7 -routing sp4_v_t_38 sp4_h_r_8 +buffer local_g0_0 lutff_6/in_0 +buffer local_g0_3 lutff_7/in_0 +buffer local_g2_0 lutff_0/in_0 +buffer local_g2_4 lutff_global/s_r +buffer local_g2_5 lutff_3/in_0 +buffer local_g3_2 lutff_1/in_0 +buffer lutff_0/out sp4_h_r_0 +buffer lutff_0/out sp4_r_v_b_33 +buffer lutff_1/out sp4_h_r_18 +buffer lutff_3/out sp4_v_b_38 +buffer lutff_6/out sp4_v_b_44 +buffer lutff_7/out sp4_r_v_b_47 +buffer lutff_7/out sp4_v_b_30 +buffer sp12_v_b_16 local_g2_0 +buffer sp12_v_b_2 local_g3_2 +buffer sp12_v_b_5 local_g2_5 +buffer sp4_h_r_16 local_g0_0 +buffer sp4_h_r_44 local_g2_4 +buffer sp4_v_b_3 local_g0_3 +routing sp4_v_b_6 sp4_h_l_43 -.logic_tile 4 16 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000000000000 1000 CarryEnable -LC_3 0000000000000000 1000 CarryEnable -LC_4 0000000000000000 1000 CarryEnable -LC_5 0000000000000000 1000 CarryEnable -LC_6 0000000000000000 1000 CarryEnable -LC_7 0000000011111111 0000 -buffer local_g0_2 lutff_1/in_1 -buffer local_g0_3 lutff_1/in_2 -buffer local_g0_3 lutff_3/in_2 +.logic_tile 8 2 +LC_2 0001000000000000 0000 +LC_3 0010000000000000 0000 +LC_5 0101001100000000 0000 +LC_6 1001000000000000 0000 +LC_7 0001000000000000 0000 +buffer local_g0_2 lutff_6/in_0 buffer local_g0_3 lutff_5/in_2 -buffer local_g0_4 lutff_3/in_1 -buffer local_g0_5 lutff_4/in_1 -buffer local_g0_6 lutff_5/in_1 -buffer local_g0_7 lutff_6/in_1 +buffer local_g1_0 lutff_5/in_0 +buffer local_g1_1 lutff_5/in_1 buffer local_g1_2 lutff_2/in_1 -buffer local_g2_3 lutff_0/in_1 -buffer lutff_6/cout lutff_7/in_3 -buffer lutff_7/out sp12_h_r_6 -buffer lutff_7/out sp4_h_r_14 -buffer lutff_7/out sp4_r_v_b_15 -buffer neigh_op_bot_2 local_g0_2 -buffer neigh_op_bot_4 local_g0_4 -buffer neigh_op_bot_5 local_g0_5 -buffer neigh_op_bot_6 local_g0_6 -buffer neigh_op_bot_7 local_g0_7 -buffer sp4_r_v_b_26 local_g1_2 -buffer sp4_v_b_19 local_g0_3 -buffer sp4_v_b_43 local_g2_3 - -.logic_tile 9 16 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000011111111 1000 CarryEnable -LC_3 1001100101100110 0000 -LC_4 1001100101100110 0000 -LC_5 0110000000000000 0000 -LC_6 1001011010010110 0000 -LC_7 1000000000000000 0000 -buffer local_g0_1 lutff_0/in_1 -buffer local_g0_1 lutff_5/in_0 -buffer local_g0_1 lutff_6/in_1 -buffer local_g0_5 lutff_2/in_1 -buffer local_g0_5 lutff_4/in_1 -buffer local_g0_6 lutff_1/in_1 -buffer local_g0_6 lutff_5/in_1 -buffer local_g1_2 lutff_4/in_3 -buffer local_g1_3 lutff_3/in_1 -buffer local_g1_4 lutff_7/in_0 -buffer local_g3_1 lutff_2/in_2 -buffer local_g3_4 lutff_1/in_2 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out local_g1_2 -buffer lutff_4/out local_g1_4 +buffer local_g1_5 lutff_2/in_0 +buffer local_g1_6 lutff_3/in_0 +buffer local_g1_6 lutff_7/in_0 +buffer local_g2_0 lutff_3/in_1 +buffer local_g2_0 lutff_7/in_1 +buffer local_g2_3 lutff_6/in_1 +buffer lutff_2/out sp4_v_b_36 +buffer lutff_3/out sp4_h_r_22 +buffer lutff_5/out local_g1_5 buffer lutff_5/out sp12_h_r_18 -buffer lutff_6/out sp12_h_r_4 -buffer lutff_7/out sp12_h_r_6 -buffer lutff_7/out sp4_r_v_b_47 -buffer neigh_op_lft_3 local_g1_3 -buffer neigh_op_lft_5 local_g0_5 -buffer neigh_op_lft_6 local_g0_6 -buffer sp4_r_v_b_17 local_g3_1 -buffer sp4_r_v_b_20 local_g3_4 -buffer sp4_v_b_9 local_g0_1 -routing sp4_h_r_3 sp4_v_t_44 -routing sp4_v_b_3 sp4_v_t_43 +buffer lutff_6/out local_g1_6 +buffer lutff_7/out sp4_v_b_46 +buffer neigh_op_bot_2 local_g1_2 +buffer neigh_op_bot_3 local_g0_3 +buffer neigh_op_tnr_3 local_g2_3 +buffer neigh_op_top_2 local_g0_2 +buffer sp12_h_r_16 local_g1_0 +buffer sp4_h_r_32 local_g2_0 +buffer sp4_r_v_b_1 local_g1_1 +routing sp12_h_r_0 sp12_v_b_0 +routing sp12_v_b_0 sp12_h_l_23 +routing sp12_v_b_1 sp12_h_r_1 +routing sp4_h_l_38 sp4_v_t_45 +routing sp4_h_r_9 sp4_v_t_38 +routing sp4_v_b_11 sp4_h_l_41 +routing sp4_v_t_36 sp4_h_r_6 +routing sp4_v_t_43 sp4_v_b_6 -.logic_tile 7 5 -ColBufCtrl glb_netwk_0 -ColBufCtrl glb_netwk_5 -ColBufCtrl glb_netwk_6 -LC_0 0000111000000000 0000 -LC_1 0000100000000000 0000 -LC_2 0000001000000000 0000 -LC_3 0000000010000000 0000 -LC_4 0000000001011100 0000 -LC_5 0100000000000000 0100 DffEnable -LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb2local_1 local_g0_5 -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_5 glb2local_1 +.logic_tile 12 14 +LC_4 0100000000000000 0100 DffEnable +NegClk +buffer glb_netwk_5 lutff_global/cen buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_3/in_1 -buffer local_g0_1 lutff_3/in_2 -buffer local_g0_2 lutff_0/in_0 -buffer local_g0_3 lutff_3/in_0 -buffer local_g0_4 lutff_6/in_0 -buffer local_g0_5 lutff_0/in_1 -buffer local_g0_5 lutff_1/in_2 -buffer local_g0_6 lutff_4/in_2 -buffer local_g1_0 lutff_7/in_0 -buffer local_g1_2 lutff_1/in_0 -buffer local_g1_3 lutff_4/in_0 -buffer local_g1_4 lutff_4/in_3 -buffer local_g1_5 lutff_3/in_3 -buffer local_g1_7 lutff_2/in_2 -buffer local_g2_1 lutff_5/in_0 -buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_4/in_1 -buffer local_g2_4 lutff_1/in_1 -buffer local_g2_7 lutff_2/in_1 -buffer local_g3_1 lutff_2/in_0 -buffer local_g3_7 lutff_0/in_2 -buffer lutff_0/out sp4_h_r_32 -buffer lutff_1/out sp4_r_v_b_35 -buffer lutff_2/out sp4_h_r_4 -buffer lutff_4/out sp12_v_b_8 +buffer local_g1_5 lutff_global/s_r +buffer local_g2_0 lutff_4/in_0 buffer lutff_4/out sp4_h_r_24 -buffer lutff_5/out sp12_v_b_10 -buffer lutff_6/out sp12_v_b_12 -buffer lutff_7/out sp4_v_b_30 -buffer neigh_op_bot_3 local_g1_3 -buffer neigh_op_tnr_1 local_g3_1 -buffer sp12_h_r_16 local_g1_0 -buffer sp12_h_r_18 local_g0_2 -buffer sp12_h_r_18 local_g1_2 +buffer lutff_4/out sp4_v_b_24 +buffer sp12_v_b_8 local_g2_0 +buffer sp4_v_b_13 local_g1_5 +routing sp4_h_l_42 sp4_v_t_37 +routing sp4_v_b_0 sp4_h_l_40 + +.logic_tile 5 11 +LC_0 0000000000101111 0000 +LC_1 0000000011001010 0000 +LC_2 0101110000000000 0110 DffEnable Set_NoReset +LC_3 0101110000000000 0110 DffEnable Set_NoReset +LC_4 0101110000000000 0110 DffEnable Set_NoReset +LC_5 0101110000000000 0110 DffEnable Set_NoReset +LC_6 0101110000000000 0110 DffEnable Set_NoReset +LC_7 0101110000000000 0110 DffEnable Set_NoReset +buffer glb2local_0 local_g0_4 +buffer glb_netwk_2 glb2local_0 +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_7/in_1 +buffer local_g0_1 lutff_3/in_2 +buffer local_g0_1 lutff_5/in_2 +buffer local_g0_1 lutff_7/in_2 +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_1/in_2 +buffer local_g0_4 lutff_0/in_2 +buffer local_g0_5 lutff_3/in_0 +buffer local_g0_6 lutff_1/in_1 +buffer local_g0_6 lutff_3/in_1 +buffer local_g0_7 lutff_0/in_3 +buffer local_g1_0 lutff_1/in_0 +buffer local_g1_1 lutff_5/in_1 +buffer local_g1_2 lutff_4/in_1 +buffer local_g1_3 lutff_2/in_2 +buffer local_g1_3 lutff_4/in_2 +buffer local_g1_3 lutff_6/in_2 +buffer local_g1_4 lutff_7/in_0 +buffer local_g1_6 lutff_2/in_1 +buffer local_g2_0 lutff_1/in_3 +buffer local_g2_1 lutff_0/in_1 +buffer local_g2_2 lutff_2/in_0 +buffer local_g2_3 lutff_6/in_1 +buffer local_g2_6 lutff_4/in_0 +buffer local_g3_1 lutff_0/in_0 +buffer local_g3_3 lutff_6/in_0 +buffer local_g3_6 lutff_5/in_0 +buffer lutff_0/out sp4_r_v_b_33 +buffer lutff_0/out sp4_v_b_16 +buffer lutff_5/out sp4_v_b_26 +buffer lutff_7/out sp4_v_b_46 buffer sp12_h_r_4 local_g1_4 -buffer sp12_v_b_15 local_g2_7 -buffer sp12_v_b_15 local_g3_7 -buffer sp4_h_r_21 local_g1_5 -buffer sp4_h_r_22 local_g0_6 -buffer sp4_h_r_23 local_g1_7 -buffer sp4_h_r_33 local_g2_1 -buffer sp4_r_v_b_12 local_g2_4 -buffer sp4_r_v_b_25 local_g0_1 +buffer sp12_v_b_11 local_g2_3 +buffer sp12_v_b_13 sp4_v_b_18 +buffer sp4_h_r_13 local_g0_5 +buffer sp4_h_r_41 local_g2_1 +buffer sp4_h_r_42 local_g2_2 +buffer sp4_h_r_46 local_g2_6 +buffer sp4_r_v_b_0 local_g1_0 +buffer sp4_r_v_b_22 local_g3_6 +buffer sp4_r_v_b_27 local_g1_3 +buffer sp4_r_v_b_30 local_g0_6 buffer sp4_r_v_b_32 local_g0_3 -buffer sp4_r_v_b_35 local_g2_3 -buffer sp4_v_b_16 local_g0_0 -buffer sp4_v_b_20 local_g0_4 -buffer sp4_v_b_26 local_g2_2 -routing sp4_h_l_46 sp4_v_t_41 -routing sp4_h_r_0 sp4_v_t_37 -routing sp4_h_r_11 sp4_h_l_46 -routing sp4_h_r_4 sp4_h_l_37 -routing sp4_h_r_4 sp4_v_t_47 -routing sp4_h_r_8 sp4_v_t_39 -routing sp4_v_b_3 sp4_v_t_43 -routing sp4_v_b_7 sp4_v_t_42 +buffer sp4_r_v_b_33 local_g0_2 +buffer sp4_r_v_b_34 local_g0_1 +buffer sp4_r_v_b_43 local_g3_3 +buffer sp4_v_b_0 local_g0_0 +buffer sp4_v_b_14 local_g1_6 +buffer sp4_v_b_15 local_g0_7 +buffer sp4_v_b_17 local_g1_1 +buffer sp4_v_b_2 local_g1_2 +buffer sp4_v_b_32 local_g2_0 +buffer sp4_v_b_33 local_g3_1 +routing sp4_h_l_36 sp4_v_t_36 +routing sp4_h_l_42 sp4_v_b_1 +routing sp4_h_r_0 sp4_v_b_5 +routing sp4_v_b_7 sp4_h_l_42 +routing sp4_v_t_46 sp4_h_l_40 -.logic_tile 2 3 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000000000000 1000 CarryEnable -LC_3 0000000000000000 1000 CarryEnable -LC_4 0000000000000000 1000 CarryEnable -LC_5 0000000000000000 1000 CarryEnable -LC_6 0000000000000000 1000 CarryEnable -LC_7 0000000011111111 0000 -buffer local_g0_2 lutff_4/in_2 -buffer local_g0_5 lutff_0/in_1 -buffer local_g1_0 lutff_5/in_2 +.logic_tile 4 5 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_6 +buffer lutff_3/out sp4_h_r_22 +buffer sp12_h_r_0 sp4_h_r_12 +routing sp4_h_l_40 sp4_v_t_47 +routing sp4_v_b_1 sp4_h_r_1 +routing sp4_v_b_3 sp4_h_l_38 +routing sp4_v_b_5 sp4_h_r_11 +routing sp4_v_b_7 sp4_h_r_7 +routing sp4_v_b_9 sp4_h_r_9 +routing sp4_v_t_36 sp4_h_l_42 + +.logic_tile 9 3 +LC_0 1000000000000000 0000 +LC_1 1111111110001111 0000 +LC_2 0010000000000000 0000 +LC_3 0000000011100011 0000 +LC_4 0000001000000000 0000 +LC_5 0101001100000000 0000 +LC_6 1111111000001100 0000 +buffer glb2local_3 local_g0_7 +buffer glb_netwk_1 glb2local_3 +buffer local_g0_2 lutff_6/in_2 +buffer local_g0_4 lutff_0/in_0 +buffer local_g0_5 lutff_3/in_0 +buffer local_g0_6 lutff_3/in_1 +buffer local_g0_7 lutff_2/in_1 +buffer local_g1_1 lutff_3/in_3 buffer local_g1_2 lutff_3/in_2 -buffer local_g1_3 lutff_2/in_2 -buffer local_g1_4 lutff_1/in_2 -buffer local_g1_5 lutff_6/in_2 -buffer lutff_6/cout lutff_7/in_3 -buffer lutff_7/out sp4_r_v_b_15 -buffer neigh_op_bot_2 local_g0_2 -buffer neigh_op_bot_3 local_g1_3 -buffer neigh_op_bot_5 local_g1_5 +buffer local_g1_3 lutff_5/in_1 +buffer local_g1_7 lutff_1/in_3 +buffer local_g2_0 lutff_4/in_2 +buffer local_g2_2 lutff_4/in_0 +buffer local_g2_3 lutff_1/in_0 +buffer local_g2_4 lutff_1/in_1 +buffer local_g3_0 lutff_5/in_0 +buffer local_g3_2 lutff_1/in_2 +buffer local_g3_2 lutff_5/in_2 +buffer local_g3_2 lutff_6/in_1 +buffer local_g3_4 lutff_4/in_1 +buffer local_g3_4 lutff_6/in_3 +buffer local_g3_5 lutff_6/in_0 +buffer local_g3_7 lutff_2/in_0 +buffer lutff_0/out sp4_h_r_0 +buffer lutff_1/out local_g1_1 +buffer lutff_2/out sp4_h_r_20 +buffer lutff_4/out sp4_v_b_24 +buffer lutff_5/out local_g0_5 +buffer lutff_6/out local_g0_6 +buffer neigh_op_bnl_7 local_g3_7 +buffer neigh_op_rgt_0 local_g3_0 +buffer neigh_op_top_2 local_g0_2 buffer neigh_op_top_2 local_g1_2 -buffer neigh_op_top_5 local_g0_5 -buffer sp4_h_r_12 local_g1_4 -buffer sp4_h_r_8 local_g1_0 -routing sp12_v_t_22 sp12_h_r_1 -routing sp4_h_r_10 sp4_v_b_10 +buffer neigh_op_top_7 local_g1_7 +buffer sp12_h_r_14 sp4_h_r_19 +buffer sp12_v_b_3 local_g2_3 +buffer sp4_h_r_12 local_g0_4 +buffer sp4_h_r_29 local_g3_5 +buffer sp4_h_r_40 local_g2_0 +buffer sp4_h_r_42 local_g2_2 +buffer sp4_h_r_42 local_g3_2 +buffer sp4_h_r_44 local_g2_4 +buffer sp4_h_r_44 local_g3_4 +buffer sp4_v_b_19 local_g1_3 +routing sp12_v_t_22 sp12_v_b_1 +routing sp4_h_l_44 sp4_v_t_39 +routing sp4_h_r_11 sp4_v_t_40 +routing sp4_h_r_6 sp4_v_t_37 +routing sp4_v_t_44 sp4_h_l_44 -.logic_tile 1 9 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000000000000 1000 CarryEnable -LC_3 0000000000000000 1000 CarryEnable -LC_4 0000000000000000 1000 CarryEnable -LC_5 0000000000000000 1000 CarryEnable -LC_6 0000000000000000 1000 CarryEnable -LC_7 0000000011111111 0000 -buffer local_g0_1 lutff_0/in_1 -buffer local_g0_6 lutff_4/in_2 -buffer local_g1_3 lutff_2/in_2 -buffer local_g1_5 lutff_6/in_2 -buffer local_g1_6 lutff_1/in_2 -buffer local_g2_1 lutff_3/in_2 -buffer local_g3_0 lutff_5/in_2 -buffer lutff_6/cout lutff_7/in_3 -buffer lutff_7/out sp4_h_r_14 -buffer neigh_op_bot_5 local_g1_5 -buffer neigh_op_bot_6 local_g0_6 -buffer neigh_op_rgt_0 local_g3_0 -buffer neigh_op_rgt_1 local_g2_1 -buffer neigh_op_top_1 local_g0_1 -buffer neigh_op_top_6 local_g1_6 -buffer sp12_h_r_11 local_g1_3 -routing sp4_v_b_5 sp4_h_r_5 +.logic_tile 12 15 +routing sp4_h_l_41 sp4_v_t_44 +routing sp4_v_b_10 sp4_h_l_47 +routing sp4_v_t_44 sp4_v_b_5 -.logic_tile 8 7 -LC_0 1000000000000000 0000 -LC_1 1110111011100000 0000 -LC_2 1110111011100000 0000 -LC_3 0000000000001110 0000 -LC_4 1000000000000000 0000 -LC_5 0000001000000000 0000 -LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r +.logic_tile 1 4 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +LC_2 0100000000000000 0100 DffEnable +NegClk +buffer glb_netwk_5 lutff_global/cen buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_1/in_1 -buffer local_g0_1 lutff_7/in_0 -buffer local_g0_2 lutff_3/in_1 -buffer local_g0_3 lutff_3/in_0 -buffer local_g0_4 lutff_5/in_1 -buffer local_g0_6 lutff_0/in_2 -buffer local_g1_0 lutff_2/in_1 -buffer local_g1_1 lutff_4/in_0 -buffer local_g1_2 lutff_2/in_3 -buffer local_g1_3 lutff_global/cen -buffer local_g1_4 lutff_5/in_0 -buffer local_g1_5 lutff_6/in_0 -buffer local_g1_6 lutff_1/in_0 -buffer local_g2_0 lutff_0/in_0 -buffer local_g2_1 lutff_0/in_1 -buffer local_g2_2 lutff_3/in_3 -buffer local_g2_3 lutff_1/in_2 -buffer local_g2_4 lutff_1/in_3 -buffer local_g2_6 lutff_4/in_2 -buffer local_g2_7 lutff_4/in_3 -buffer local_g3_0 lutff_5/in_2 -buffer local_g3_2 lutff_4/in_1 -buffer local_g3_3 lutff_2/in_2 -buffer local_g3_4 lutff_3/in_2 -buffer local_g3_7 lutff_2/in_0 -buffer lutff_0/out local_g3_0 -buffer lutff_1/out sp4_v_b_18 -buffer lutff_2/out local_g2_2 -buffer lutff_4/out local_g0_4 -buffer lutff_5/out sp4_r_v_b_27 -buffer lutff_5/out sp4_r_v_b_43 -buffer lutff_6/out sp4_h_r_28 -buffer lutff_6/out sp4_r_v_b_13 -buffer lutff_7/out sp12_v_b_14 -buffer neigh_op_bnl_7 local_g3_7 -buffer neigh_op_lft_6 local_g1_6 -buffer sp12_h_r_20 local_g1_4 -buffer sp12_h_r_5 local_g1_5 -buffer sp4_h_r_11 local_g1_3 +buffer local_g2_4 lutff_2/in_0 +buffer lutff_2/out sp12_h_r_12 +buffer neigh_op_rgt_4 local_g2_4 +routing sp4_h_r_11 sp4_v_t_46 + +.logic_tile 4 16 +LC_2 0100000000000000 0100 DffEnable +NegClk +buffer glb_netwk_6 lutff_global/clk +buffer local_g3_1 lutff_2/in_0 +buffer local_g3_3 lutff_global/cen +buffer lutff_2/out sp12_v_b_4 +buffer lutff_2/out sp4_r_v_b_21 +buffer sp12_v_b_3 local_g3_3 +buffer sp4_h_r_41 local_g3_1 + +.logic_tile 9 16 +LC_0 1000000000000000 0000 +LC_1 1000000000000000 0000 +LC_3 1000000000000000 0000 +LC_4 1000000000000000 0000 +buffer local_g0_0 lutff_0/in_0 +buffer local_g0_6 lutff_4/in_0 +buffer local_g1_4 lutff_1/in_0 +buffer local_g1_6 lutff_3/in_0 buffer sp4_h_r_16 local_g0_0 -buffer sp4_h_r_16 local_g1_0 -buffer sp4_h_r_18 local_g0_2 -buffer sp4_h_r_19 local_g0_3 -buffer sp4_h_r_24 local_g2_0 -buffer sp4_h_r_28 local_g2_4 -buffer sp4_h_r_30 local_g2_6 -buffer sp4_h_r_31 local_g2_7 -buffer sp4_h_r_34 local_g3_2 -buffer sp4_h_r_44 local_g3_4 -buffer sp4_h_r_6 local_g0_6 -buffer sp4_r_v_b_25 local_g1_1 -buffer sp4_r_v_b_33 local_g2_1 -buffer sp4_v_b_17 local_g0_1 -buffer sp4_v_b_2 local_g1_2 -buffer sp4_v_b_35 local_g2_3 -buffer sp4_v_b_35 local_g3_3 -routing sp4_h_l_37 sp4_v_t_40 -routing sp4_h_l_38 sp4_v_t_38 -routing sp4_h_l_38 sp4_v_t_45 -routing sp4_h_l_39 sp4_v_t_42 -routing sp4_h_l_40 sp4_v_b_5 -routing sp4_h_l_42 sp4_v_b_7 -routing sp4_h_l_43 sp4_h_r_6 -routing sp4_h_r_11 sp4_h_l_46 -routing sp4_v_b_0 sp4_h_l_40 -routing sp4_v_t_39 sp4_h_l_45 +buffer sp4_v_b_14 local_g1_6 +buffer sp4_v_b_20 local_g1_4 +buffer sp4_v_b_6 local_g0_6 +routing sp4_h_l_45 sp4_v_b_2 -.logic_tile 12 4 +.logic_tile 7 5 ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 ColBufCtrl glb_netwk_6 -LC_0 0100000000000000 0100 DffEnable -LC_2 0100000000000000 0100 DffEnable -LC_3 0100000000000000 0100 DffEnable -LC_6 0100000000000000 0100 DffEnable +LC_0 0000100000000000 0000 +LC_1 0010000000000000 0000 +LC_2 1000000000000000 0000 +LC_3 1000000000000000 0000 +LC_5 0000000001010011 0000 +LC_6 0000000001010011 0000 +LC_7 0000001000000000 0000 +buffer local_g0_0 lutff_2/in_2 +buffer local_g0_1 lutff_1/in_0 +buffer local_g0_2 lutff_1/in_1 +buffer local_g0_3 lutff_7/in_2 +buffer local_g0_4 lutff_2/in_0 +buffer local_g0_5 lutff_5/in_0 +buffer local_g0_7 lutff_6/in_1 +buffer local_g1_0 lutff_5/in_2 +buffer local_g1_3 lutff_6/in_2 +buffer local_g1_6 lutff_2/in_1 +buffer local_g1_7 lutff_5/in_1 +buffer local_g2_0 lutff_5/in_3 +buffer local_g2_1 lutff_3/in_2 +buffer local_g2_2 lutff_7/in_1 +buffer local_g2_4 lutff_0/in_0 +buffer local_g2_7 lutff_0/in_1 +buffer local_g3_0 lutff_6/in_3 +buffer local_g3_1 lutff_6/in_0 +buffer local_g3_2 lutff_2/in_3 +buffer local_g3_3 lutff_0/in_2 +buffer local_g3_4 lutff_3/in_0 +buffer local_g3_6 lutff_7/in_0 +buffer local_g3_7 lutff_3/in_1 +buffer lutff_0/out local_g1_0 +buffer lutff_1/out sp4_h_r_2 +buffer lutff_1/out sp4_r_v_b_3 +buffer lutff_2/out local_g2_2 +buffer lutff_3/out local_g0_3 +buffer lutff_3/out local_g1_3 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_6/out sp4_h_r_12 +buffer lutff_7/out sp4_r_v_b_15 +buffer neigh_op_top_1 local_g0_1 +buffer sp4_h_r_25 local_g3_1 +buffer sp4_h_r_42 local_g3_2 +buffer sp4_h_r_44 local_g2_4 +buffer sp4_h_r_44 local_g3_4 +buffer sp4_h_r_46 local_g3_6 +buffer sp4_r_v_b_19 local_g3_3 +buffer sp4_v_b_15 local_g0_7 +buffer sp4_v_b_15 local_g1_7 +buffer sp4_v_b_18 local_g0_2 +buffer sp4_v_b_20 local_g0_4 +buffer sp4_v_b_21 local_g0_5 +buffer sp4_v_b_22 local_g1_6 +buffer sp4_v_b_31 local_g2_7 +buffer sp4_v_b_31 local_g3_7 +buffer sp4_v_b_33 local_g2_1 +buffer sp4_v_b_40 local_g2_0 +buffer sp4_v_b_40 local_g3_0 +buffer sp4_v_b_8 local_g0_0 +routing sp4_h_l_36 sp4_v_t_36 +routing sp4_h_l_39 sp4_v_b_8 +routing sp4_h_l_40 sp4_v_t_40 +routing sp4_h_l_45 sp4_v_t_45 +routing sp4_h_r_2 sp4_v_b_7 +routing sp4_h_r_5 sp4_h_l_40 +routing sp4_h_r_5 sp4_h_l_45 +routing sp4_v_b_8 sp4_h_r_8 + +.logic_tile 2 3 +LC_4 0100000000000000 0100 DffEnable LC_7 0100000000000000 0100 DffEnable +NegClk +buffer glb_netwk_5 lutff_global/cen +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_5 lutff_7/in_0 +buffer local_g1_3 lutff_4/in_0 +buffer neigh_op_top_3 local_g1_3 +buffer neigh_op_top_5 local_g0_5 +routing sp12_v_t_22 sp12_h_r_1 + +.logic_tile 1 9 +LC_6 0100000000000000 0100 DffEnable +NegClk buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_2/in_0 buffer local_g0_2 lutff_global/cen -buffer local_g0_6 lutff_6/in_0 -buffer local_g1_0 lutff_7/in_0 -buffer local_g1_4 lutff_3/in_0 -buffer local_g1_5 lutff_global/s_r -buffer local_g2_6 lutff_0/in_0 -buffer lutff_0/out sp4_h_r_32 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_3/out sp4_v_b_38 -buffer lutff_6/out sp4_h_r_44 -buffer lutff_6/out sp4_v_b_44 -buffer lutff_7/out sp12_h_r_6 -buffer lutff_7/out sp4_h_r_30 -buffer neigh_op_bot_0 local_g0_0 -buffer sp12_v_b_6 local_g2_6 -buffer sp4_v_b_16 local_g1_0 +buffer local_g2_2 lutff_6/in_0 +buffer lutff_6/out sp4_h_r_12 +buffer lutff_6/out sp4_h_r_28 +buffer lutff_6/out sp4_r_v_b_13 buffer sp4_v_b_18 local_g0_2 -buffer sp4_v_b_4 local_g1_4 -buffer sp4_v_b_5 local_g1_5 -buffer sp4_v_b_6 local_g0_6 -routing sp4_v_b_0 sp4_v_t_38 -routing sp4_v_b_11 sp4_h_l_41 -routing sp4_v_b_11 sp4_v_t_42 -routing sp4_v_b_2 sp4_v_t_40 -routing sp4_v_b_5 sp4_v_t_36 -routing sp4_v_b_9 sp4_v_t_37 -routing sp4_v_t_37 sp4_h_l_37 -routing sp4_v_t_47 sp4_h_r_10 +buffer sp4_v_b_42 local_g2_2 -.logic_tile 4 2 +.logic_tile 8 7 +LC_0 0010111100000000 0000 +LC_1 0000000011010000 0000 +LC_2 1000111100000000 0000 +LC_3 0010000000000000 0000 +LC_5 0000000100000000 0000 +LC_6 0100000000000000 0100 DffEnable +buffer glb2local_0 local_g0_4 +buffer glb2local_1 local_g0_5 +buffer glb_netwk_0 glb2local_1 +buffer glb_netwk_1 glb2local_0 +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_6/in_0 +buffer local_g0_1 lutff_1/in_2 +buffer local_g0_2 lutff_1/in_1 +buffer local_g0_3 lutff_5/in_2 +buffer local_g0_4 lutff_1/in_3 +buffer local_g0_5 lutff_0/in_3 +buffer local_g0_5 lutff_2/in_3 +buffer local_g0_7 lutff_3/in_0 +buffer local_g1_1 lutff_0/in_0 +buffer local_g1_1 lutff_2/in_0 +buffer local_g1_4 lutff_2/in_1 +buffer local_g1_5 lutff_0/in_2 +buffer local_g1_5 lutff_2/in_2 +buffer local_g2_1 lutff_5/in_0 +buffer local_g2_5 lutff_0/in_1 +buffer local_g3_0 lutff_1/in_0 +buffer local_g3_3 lutff_5/in_1 +buffer local_g3_5 lutff_3/in_1 +buffer lutff_0/out sp12_h_r_8 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_3/out sp12_v_b_6 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_6/out sp4_v_b_12 +buffer neigh_op_bnl_1 local_g2_1 +buffer neigh_op_rgt_5 local_g3_5 +buffer sp12_h_r_18 local_g0_2 +buffer sp4_h_r_15 local_g0_7 +buffer sp4_h_r_17 local_g0_1 +buffer sp4_h_r_3 local_g0_3 +buffer sp4_h_r_45 local_g2_5 +buffer sp4_r_v_b_19 local_g3_3 +buffer sp4_r_v_b_28 local_g1_4 +buffer sp4_r_v_b_5 local_g1_5 +buffer sp4_v_b_16 local_g0_0 +buffer sp4_v_b_32 local_g3_0 +buffer sp4_v_b_9 local_g1_1 +routing sp4_v_b_1 sp4_v_t_41 +routing sp4_v_b_6 sp4_h_r_6 +routing sp4_v_b_9 sp4_h_r_3 +routing sp4_v_t_36 sp4_h_l_36 + +.logic_tile 12 4 CarryInSet +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 LC_0 0000000000000000 1000 CarryEnable LC_1 0000000000000000 1000 CarryEnable LC_2 0110100110010110 1000 CarryEnable @@ -3143,6126 +2458,4419 @@ LC_3 0110100110010110 1000 CarryEnable LC_4 0110100110010110 1000 CarryEnable LC_5 0110100110010110 1000 CarryEnable LC_6 0110100110010110 1000 CarryEnable -LC_7 0110100110010110 0000 -buffer local_g0_1 lutff_3/in_2 -buffer local_g0_4 lutff_4/in_2 -buffer local_g0_7 lutff_0/in_1 -buffer local_g1_0 lutff_5/in_2 -buffer local_g1_1 lutff_6/in_2 -buffer local_g1_2 lutff_7/in_2 -buffer local_g1_4 lutff_1/in_2 -buffer local_g3_3 lutff_2/in_2 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_3/cout lutff_4/in_3 -buffer lutff_3/out sp4_r_v_b_39 +LC_7 0110100110010110 1000 CarryEnable +buffer local_g0_0 lutff_4/in_2 +buffer local_g0_5 lutff_7/in_2 +buffer local_g0_7 lutff_3/in_2 +buffer local_g1_1 lutff_2/in_2 +buffer local_g1_6 lutff_0/in_1 +buffer local_g2_0 lutff_6/in_2 +buffer local_g3_2 lutff_1/in_2 +buffer local_g3_4 lutff_5/in_2 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/cout lutff_3/in_3 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_3/cout lutff_4/in_3 buffer lutff_4/cout lutff_5/in_3 -buffer lutff_4/out sp4_r_v_b_41 buffer lutff_5/cout lutff_6/in_3 buffer lutff_6/cout lutff_7/in_3 -buffer lutff_7/out sp4_v_b_14 -buffer neigh_op_tnr_3 local_g3_3 -buffer neigh_op_top_1 local_g0_1 -buffer sp12_h_r_10 local_g1_2 -buffer sp12_h_r_12 local_g1_4 -buffer sp12_h_r_4 sp4_h_r_14 -buffer sp12_h_r_8 local_g1_0 -buffer sp4_h_r_12 local_g0_4 -buffer sp4_r_v_b_25 local_g1_1 -buffer sp4_r_v_b_31 local_g0_7 -routing sp4_h_r_7 sp4_v_t_36 -routing sp4_v_t_42 sp4_h_l_36 -routing sp4_v_t_44 sp4_h_r_9 +buffer neigh_op_bot_0 local_g0_0 +buffer neigh_op_bot_1 local_g1_1 +buffer neigh_op_bot_5 local_g0_5 +buffer neigh_op_bot_6 local_g1_6 +buffer neigh_op_bot_7 local_g0_7 +buffer neigh_op_tnl_0 local_g2_0 +buffer neigh_op_tnl_2 local_g3_2 +buffer neigh_op_tnl_4 local_g3_4 +buffer sp12_h_r_20 sp4_h_r_22 +buffer sp12_v_b_17 sp4_v_b_20 +routing sp12_v_t_23 sp12_h_r_0 +routing sp4_h_l_40 sp4_v_b_5 +routing sp4_h_l_45 sp4_v_t_36 +routing sp4_v_b_1 sp4_h_l_36 +routing sp4_v_b_1 sp4_v_t_41 +routing sp4_v_b_11 sp4_h_l_46 +routing sp4_v_b_3 sp4_h_l_38 +routing sp4_v_b_5 sp4_v_t_40 +routing sp4_v_b_7 sp4_h_l_37 + +.logic_tile 4 2 +LC_0 0100000000000000 0100 DffEnable +LC_1 0100000000000000 0100 DffEnable +LC_3 0100000000000000 0100 DffEnable +LC_5 0100000000000000 0100 DffEnable +NegClk +buffer glb_netwk_1 lutff_global/cen +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g2_0 lutff_0/in_0 +buffer local_g2_1 lutff_1/in_0 +buffer local_g3_2 lutff_3/in_0 +buffer local_g3_6 lutff_5/in_0 +buffer lutff_1/out sp4_r_v_b_3 +buffer lutff_5/out sp4_h_r_10 +buffer neigh_op_rgt_0 local_g2_0 +buffer neigh_op_rgt_1 local_g2_1 +buffer neigh_op_rgt_2 local_g3_2 +buffer neigh_op_rgt_6 local_g3_6 +buffer sp12_h_r_14 sp4_h_r_19 +buffer sp12_h_r_16 sp4_h_r_20 +routing sp4_h_r_5 sp4_v_t_40 +routing sp4_h_r_9 sp4_v_t_44 .logic_tile 2 14 -LC_0 1000000000000000 0000 -LC_1 1000000000000000 0000 -LC_2 1001100101100110 0000 -LC_3 1001100101100110 0000 -LC_4 1000000000000000 0000 -LC_5 1000000000000000 0000 -LC_6 1000000000000000 0000 -LC_7 1000000000000000 0000 -buffer local_g0_1 lutff_1/in_0 -buffer local_g0_2 lutff_3/in_1 -buffer local_g0_4 lutff_3/in_3 -buffer local_g0_7 lutff_5/in_0 -buffer local_g1_1 lutff_4/in_0 -buffer local_g1_2 lutff_2/in_3 -buffer local_g1_3 lutff_0/in_0 -buffer local_g1_4 lutff_2/in_1 -buffer local_g1_5 lutff_6/in_0 -buffer local_g1_6 lutff_7/in_0 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_3/out sp4_h_r_6 -buffer neigh_op_top_7 local_g0_7 -buffer sp12_h_r_20 local_g0_4 -buffer sp12_v_b_7 sp4_v_b_15 -buffer sp4_h_r_17 local_g0_1 -buffer sp4_h_r_18 local_g1_2 -buffer sp4_h_r_19 local_g1_3 -buffer sp4_h_r_21 local_g1_5 -buffer sp4_v_b_17 local_g1_1 -buffer sp4_v_b_18 local_g0_2 -buffer sp4_v_b_20 local_g1_4 -buffer sp4_v_b_22 local_g1_6 -routing sp4_h_r_0 sp4_h_l_38 -routing sp4_v_b_5 sp4_h_r_11 +LC_0 0110100110010110 1000 CarryEnable +LC_1 0110100110010110 1000 CarryEnable +LC_2 0110100110010110 1000 CarryEnable +LC_3 0110100110010110 1000 CarryEnable +LC_4 0110100110010110 1000 CarryEnable +LC_5 0110100110010110 1000 CarryEnable +LC_6 0110100110010110 0000 +LC_7 0000100000000000 0000 +buffer carry_in carry_in_mux +buffer carry_in_mux lutff_0/in_3 +buffer local_g0_0 lutff_0/in_2 +buffer local_g0_1 lutff_7/in_2 +buffer local_g0_3 lutff_7/in_0 +buffer local_g1_0 lutff_3/in_2 +buffer local_g1_1 lutff_2/in_2 +buffer local_g1_2 lutff_1/in_2 +buffer local_g1_3 lutff_4/in_2 +buffer local_g1_4 lutff_5/in_2 +buffer local_g2_0 lutff_7/in_1 +buffer local_g2_2 lutff_6/in_2 +buffer lutff_0/cout lutff_1/in_3 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_2/cout lutff_3/in_3 +buffer lutff_3/cout lutff_4/in_3 +buffer lutff_4/cout lutff_5/in_3 +buffer lutff_5/cout lutff_6/in_3 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_6/out sp4_r_v_b_13 +buffer lutff_7/out sp4_v_b_14 +buffer neigh_op_lft_0 local_g1_0 +buffer neigh_op_lft_1 local_g1_1 +buffer neigh_op_lft_2 local_g1_2 +buffer neigh_op_lft_3 local_g1_3 +buffer sp12_h_r_12 local_g1_4 +buffer sp12_h_r_17 local_g0_1 +buffer sp12_v_b_0 local_g2_0 +buffer sp4_h_r_3 local_g0_3 +buffer sp4_v_b_26 local_g2_2 +buffer sp4_v_b_8 local_g0_0 +routing sp12_h_r_0 sp12_v_b_0 +routing sp4_h_r_8 sp4_h_l_45 +routing sp4_v_b_3 sp4_h_r_9 .logic_tile 9 6 -LC_0 0000100000000000 0000 -LC_1 0001000000000000 0000 +LC_0 0000000001010011 0000 +LC_1 0000000001010011 0000 LC_2 0000001000000000 0000 -LC_3 0000100000000000 0000 +LC_3 0011000001010000 0000 LC_4 0000001000000000 0000 -LC_5 0101110000000000 0100 DffEnable -LC_6 0101110000000000 0100 DffEnable -LC_7 0101110000000000 0100 DffEnable -buffer glb2local_0 local_g0_4 -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_5 glb2local_0 -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_6/in_2 -buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_5/in_2 -buffer local_g0_4 lutff_1/in_1 -buffer local_g0_5 lutff_5/in_0 -buffer local_g0_6 lutff_6/in_0 -buffer local_g0_7 lutff_7/in_0 -buffer local_g1_1 lutff_0/in_2 -buffer local_g1_1 lutff_2/in_2 -buffer local_g1_1 lutff_3/in_1 -buffer local_g1_1 lutff_4/in_0 -buffer local_g1_2 lutff_7/in_2 -buffer local_g2_1 lutff_1/in_0 -buffer local_g2_4 lutff_2/in_0 -buffer local_g2_5 lutff_6/in_1 -buffer local_g3_2 lutff_2/in_1 -buffer local_g3_2 lutff_3/in_0 -buffer local_g3_3 lutff_0/in_0 -buffer local_g3_3 lutff_4/in_2 -buffer local_g3_4 lutff_0/in_1 -buffer local_g3_4 lutff_3/in_2 -buffer local_g3_4 lutff_4/in_1 -buffer local_g3_5 lutff_5/in_1 -buffer local_g3_5 lutff_7/in_1 -buffer lutff_0/out local_g0_0 -buffer lutff_1/out sp4_v_b_34 +LC_5 0000000001010011 0000 +LC_6 0000000000001000 0000 +LC_7 0000000100000000 0000 +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_2 lutff_2/in_0 +buffer local_g0_2 lutff_6/in_2 +buffer local_g0_2 lutff_7/in_1 +buffer local_g0_4 lutff_0/in_2 +buffer local_g0_6 lutff_2/in_2 +buffer local_g0_6 lutff_4/in_2 +buffer local_g0_7 lutff_1/in_2 +buffer local_g1_2 lutff_5/in_2 +buffer local_g1_5 lutff_3/in_3 +buffer local_g1_6 lutff_6/in_1 +buffer local_g1_6 lutff_7/in_2 +buffer local_g1_7 lutff_3/in_1 +buffer local_g2_1 lutff_4/in_1 +buffer local_g2_2 lutff_1/in_1 +buffer local_g2_2 lutff_5/in_1 +buffer local_g2_2 lutff_6/in_0 +buffer local_g2_4 lutff_0/in_0 +buffer local_g2_6 lutff_4/in_0 +buffer local_g2_7 lutff_0/in_3 +buffer local_g2_7 lutff_3/in_2 +buffer local_g3_0 lutff_0/in_1 +buffer local_g3_2 lutff_5/in_0 +buffer local_g3_4 lutff_2/in_1 +buffer local_g3_4 lutff_6/in_3 +buffer local_g3_4 lutff_7/in_0 +buffer local_g3_6 lutff_1/in_0 +buffer local_g3_7 lutff_1/in_3 +buffer local_g3_7 lutff_5/in_3 +buffer lutff_1/out sp4_v_b_2 buffer lutff_2/out local_g1_2 -buffer lutff_3/out local_g0_3 -buffer lutff_5/out local_g0_5 -buffer lutff_5/out sp12_v_b_10 -buffer lutff_6/out local_g0_6 -buffer lutff_6/out sp4_r_v_b_29 +buffer lutff_3/out sp4_r_v_b_23 +buffer lutff_4/out local_g0_4 +buffer lutff_6/out sp12_h_r_4 buffer lutff_7/out local_g0_7 -buffer lutff_7/out sp4_h_r_14 -buffer neigh_op_bot_2 local_g0_2 -buffer sp12_v_b_1 local_g2_1 -buffer sp12_v_b_13 local_g2_5 -buffer sp12_v_b_13 local_g3_5 -buffer sp12_v_b_13 sp4_v_b_18 -buffer sp4_r_v_b_19 local_g3_3 -buffer sp4_r_v_b_25 local_g1_1 -buffer sp4_r_v_b_42 local_g3_2 -buffer sp4_v_b_44 local_g2_4 -buffer sp4_v_b_44 local_g3_4 -routing sp12_h_l_22 sp12_v_b_1 -routing sp4_h_l_41 sp4_v_b_10 -routing sp4_h_l_46 sp4_v_t_46 -routing sp4_v_b_10 sp4_v_t_36 -routing sp4_v_b_8 sp4_v_t_41 -routing sp4_v_t_37 sp4_v_b_8 -routing sp4_v_t_40 sp4_h_l_46 -routing sp4_v_t_43 sp4_h_l_43 +buffer neigh_op_lft_7 local_g1_7 +buffer neigh_op_rgt_2 local_g3_2 +buffer neigh_op_rgt_4 local_g2_4 +buffer neigh_op_rgt_6 local_g3_6 +buffer neigh_op_top_5 local_g1_5 +buffer sp4_h_r_14 local_g0_6 +buffer sp4_h_r_14 local_g1_6 +buffer sp4_h_r_26 local_g2_2 +buffer sp4_h_r_32 local_g3_0 +buffer sp4_r_v_b_14 local_g2_6 +buffer sp4_r_v_b_20 local_g3_4 +buffer sp4_r_v_b_33 local_g0_2 +buffer sp4_r_v_b_33 local_g2_1 +buffer sp4_v_b_17 local_g0_1 +buffer sp4_v_b_31 local_g2_7 +buffer sp4_v_b_31 local_g3_7 +routing sp12_v_t_22 sp12_h_r_1 +routing sp4_h_l_38 sp4_v_b_9 +routing sp4_h_l_38 sp4_v_t_45 +routing sp4_h_r_9 sp4_h_l_44 +routing sp4_v_t_37 sp4_h_r_5 .logic_tile 6 5 +CarryInSet ColBufCtrl glb_netwk_0 -ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_4 ColBufCtrl glb_netwk_6 -LC_0 1010001100000000 0000 -LC_1 0000000000001011 0000 -LC_2 1111111100110101 0000 -LC_3 0000000000001011 0000 -LC_4 1110000000000000 0000 -LC_5 0000110100000000 0000 -LC_6 1111000100000000 0000 -LC_7 0100000000000000 0111 DffEnable Set_NoReset AsyncSetReset -buffer glb2local_1 local_g0_5 -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_5 glb2local_1 -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_1/in_1 -buffer local_g0_1 lutff_7/in_0 -buffer local_g0_2 lutff_6/in_2 -buffer local_g0_3 lutff_4/in_1 -buffer local_g0_4 lutff_0/in_0 -buffer local_g0_5 lutff_1/in_0 -buffer local_g0_6 lutff_6/in_0 +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0110100110010110 1000 CarryEnable +LC_3 0110100110010110 0000 +buffer local_g0_4 lutff_2/in_2 +buffer local_g0_5 lutff_1/in_2 buffer local_g0_7 lutff_0/in_1 -buffer local_g1_0 lutff_6/in_1 -buffer local_g1_3 lutff_global/cen -buffer local_g1_4 lutff_1/in_2 -buffer local_g1_5 lutff_1/in_3 -buffer local_g1_6 lutff_3/in_0 -buffer local_g2_0 lutff_0/in_2 -buffer local_g2_0 lutff_2/in_2 -buffer local_g2_0 lutff_3/in_1 -buffer local_g2_1 lutff_3/in_2 -buffer local_g2_1 lutff_5/in_2 -buffer local_g2_2 lutff_5/in_1 -buffer local_g2_3 lutff_2/in_1 -buffer local_g2_4 lutff_3/in_3 -buffer local_g2_6 lutff_4/in_2 -buffer local_g2_7 lutff_2/in_3 -buffer local_g3_1 lutff_4/in_0 -buffer local_g3_2 lutff_5/in_0 -buffer local_g3_7 lutff_2/in_0 -buffer lutff_0/out local_g0_0 -buffer lutff_1/out sp4_v_b_34 -buffer lutff_2/out sp4_h_r_36 -buffer lutff_3/out sp4_r_v_b_23 -buffer lutff_3/out sp4_v_b_38 -buffer lutff_4/out local_g1_4 -buffer lutff_5/out sp4_h_r_10 -buffer lutff_6/out local_g2_6 -buffer lutff_6/out sp12_h_r_4 -buffer lutff_7/out sp12_h_r_22 -buffer lutff_7/out sp12_v_b_14 -buffer neigh_op_bnr_6 local_g1_6 -buffer neigh_op_bot_3 local_g0_3 -buffer neigh_op_tnr_2 local_g3_2 -buffer neigh_op_top_4 local_g0_4 -buffer sp12_v_b_15 sp4_v_b_19 -buffer sp12_v_b_19 local_g2_3 -buffer sp4_h_r_14 local_g0_6 -buffer sp4_h_r_21 local_g1_5 -buffer sp4_h_r_25 local_g2_1 -buffer sp4_h_r_3 local_g1_3 -buffer sp4_h_r_36 local_g2_4 -buffer sp4_r_v_b_10 local_g2_2 -buffer sp4_r_v_b_15 local_g2_7 -buffer sp4_r_v_b_17 local_g3_1 -buffer sp4_r_v_b_34 local_g0_1 -buffer sp4_v_b_0 local_g1_0 -buffer sp4_v_b_10 local_g0_2 -buffer sp4_v_b_15 local_g0_7 -buffer sp4_v_b_40 local_g2_0 -buffer sp4_v_b_47 local_g3_7 -routing sp4_h_l_37 sp4_h_r_3 -routing sp4_h_l_39 sp4_h_r_5 -routing sp4_h_l_41 sp4_v_t_41 -routing sp4_h_r_1 sp4_h_l_41 -routing sp4_h_r_7 sp4_h_l_38 -routing sp4_h_r_7 sp4_v_t_36 -routing sp4_v_b_0 sp4_v_t_37 -routing sp4_v_b_10 sp4_h_l_47 -routing sp4_v_b_10 sp4_v_t_43 -routing sp4_v_b_8 sp4_h_r_2 -routing sp4_v_b_8 sp4_v_t_46 +buffer local_g1_6 lutff_3/in_2 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/cout lutff_3/in_3 +buffer neigh_op_lft_4 local_g0_4 +buffer neigh_op_lft_5 local_g0_5 +buffer neigh_op_lft_6 local_g1_6 +buffer neigh_op_lft_7 local_g0_7 +buffer sp12_h_r_0 sp4_h_r_12 +routing sp12_h_l_23 sp12_v_t_23 +routing sp12_h_r_0 sp12_h_l_23 +routing sp4_h_l_36 sp4_v_t_36 +routing sp4_h_l_40 sp4_v_t_40 .logic_tile 5 3 -LC_1 0001000000000000 0000 -LC_2 0010000000000000 0000 -LC_3 0001000000000000 0000 -LC_4 0000000000101111 0000 -LC_5 0010000000000000 0000 -LC_6 0000000011111000 0000 -LC_7 0001111111111111 0100 DffEnable -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_3 lutff_5/in_0 -buffer local_g0_3 lutff_6/in_1 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 1001100101100110 0000 +LC_3 1000000000000000 0000 +LC_4 0000000010000000 0000 +LC_5 0000000000000001 0000 +LC_6 0000000000000001 0000 +buffer local_g0_0 lutff_5/in_1 +buffer local_g0_3 lutff_4/in_3 buffer local_g0_4 lutff_4/in_0 -buffer local_g0_4 lutff_6/in_0 -buffer local_g0_5 lutff_1/in_0 -buffer local_g0_5 lutff_3/in_0 -buffer local_g0_6 lutff_7/in_3 -buffer local_g1_0 lutff_4/in_1 -buffer local_g1_1 lutff_2/in_0 -buffer local_g1_4 lutff_2/in_1 -buffer local_g1_5 lutff_3/in_1 -buffer local_g1_7 lutff_4/in_2 -buffer local_g1_7 lutff_7/in_1 -buffer local_g2_0 lutff_5/in_1 -buffer local_g2_0 lutff_6/in_2 +buffer local_g0_4 lutff_6/in_2 +buffer local_g0_5 lutff_4/in_1 +buffer local_g0_6 lutff_4/in_2 +buffer local_g0_7 lutff_2/in_1 +buffer local_g1_0 lutff_3/in_0 +buffer local_g1_1 lutff_3/in_1 +buffer local_g1_1 lutff_6/in_0 +buffer local_g1_2 lutff_3/in_2 +buffer local_g1_2 lutff_6/in_1 +buffer local_g1_3 lutff_3/in_3 +buffer local_g1_5 lutff_5/in_3 +buffer local_g1_6 lutff_5/in_0 +buffer local_g1_7 lutff_1/in_1 buffer local_g2_1 lutff_6/in_3 -buffer local_g2_5 lutff_4/in_3 -buffer local_g2_5 lutff_7/in_0 -buffer local_g3_0 lutff_7/in_2 -buffer local_g3_7 lutff_1/in_1 -buffer lutff_1/out local_g1_1 -buffer lutff_1/out local_g2_1 -buffer lutff_1/out sp12_h_r_10 +buffer local_g2_3 lutff_5/in_2 +buffer local_g2_7 lutff_0/in_1 +buffer local_g3_4 lutff_1/in_2 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/out sp4_r_v_b_37 buffer lutff_2/out sp4_v_b_20 -buffer lutff_2/out sp4_v_b_36 -buffer lutff_5/out local_g2_5 -buffer lutff_5/out sp4_h_r_26 -buffer lutff_5/out sp4_v_b_42 -buffer lutff_6/out local_g0_6 -buffer lutff_7/out local_g3_7 -buffer lutff_7/out sp4_h_r_14 -buffer neigh_op_bnr_4 local_g0_4 -buffer neigh_op_bnr_4 local_g1_4 -buffer neigh_op_lft_5 local_g1_5 -buffer neigh_op_rgt_0 local_g3_0 -buffer neigh_op_tnr_0 local_g2_0 -buffer sp4_h_r_19 local_g0_3 -buffer sp4_r_v_b_31 local_g1_7 -buffer sp4_v_b_13 local_g0_5 -buffer sp4_v_b_16 local_g1_0 -routing sp4_h_l_47 sp4_h_r_6 -routing sp4_h_r_11 sp4_v_t_46 -routing sp4_v_b_9 sp4_h_r_3 +buffer lutff_3/out local_g0_3 +buffer lutff_5/out sp4_h_r_10 +buffer lutff_6/out local_g1_6 +buffer neigh_op_bot_0 local_g0_0 +buffer neigh_op_bot_0 local_g1_0 +buffer neigh_op_bot_1 local_g1_1 +buffer neigh_op_bot_2 local_g1_2 +buffer neigh_op_bot_3 local_g1_3 +buffer neigh_op_bot_4 local_g0_4 +buffer neigh_op_bot_5 local_g0_5 +buffer neigh_op_bot_5 local_g1_5 +buffer neigh_op_bot_6 local_g0_6 +buffer neigh_op_top_7 local_g1_7 +buffer sp12_h_r_10 sp4_h_r_17 +buffer sp12_v_b_15 local_g2_7 +buffer sp4_r_v_b_20 local_g3_4 +buffer sp4_v_b_23 local_g0_7 +buffer sp4_v_b_27 local_g2_3 +buffer sp4_v_b_33 local_g2_1 .logic_tile 11 7 -LC_1 0010000000000000 0000 -LC_2 0000000000001001 0000 -LC_5 0000000010000010 0000 -LC_6 0000000000101000 0000 -LC_7 0100000000000000 0100 DffEnable +LC_1 0001111100000000 0100 DffEnable +LC_4 1000100000001111 0110 DffEnable Set_NoReset +LC_5 0111000000000000 0110 DffEnable Set_NoReset +LC_6 0101110111010101 0100 DffEnable +NegClk +buffer glb2local_1 local_g0_5 +buffer glb_netwk_0 glb2local_1 +buffer glb_netwk_4 lutff_global/s_r buffer glb_netwk_6 lutff_global/clk -buffer glb_netwk_7 lutff_global/cen -buffer local_g0_0 lutff_2/in_2 -buffer local_g0_0 lutff_6/in_0 -buffer local_g0_2 lutff_5/in_3 -buffer local_g0_4 lutff_global/s_r -buffer local_g0_5 lutff_7/in_0 -buffer local_g0_7 lutff_6/in_1 +buffer local_g0_0 lutff_4/in_0 +buffer local_g0_1 lutff_1/in_2 +buffer local_g0_2 lutff_4/in_2 +buffer local_g0_3 lutff_5/in_2 +buffer local_g0_4 lutff_5/in_1 +buffer local_g0_5 lutff_1/in_0 +buffer local_g0_5 lutff_4/in_3 +buffer local_g1_1 lutff_6/in_2 +buffer local_g1_2 lutff_5/in_0 buffer local_g1_3 lutff_1/in_1 -buffer local_g1_7 lutff_2/in_0 -buffer local_g1_7 lutff_5/in_1 -buffer local_g2_1 lutff_1/in_0 -buffer local_g2_2 lutff_6/in_2 -buffer local_g2_7 lutff_2/in_1 -buffer local_g2_7 lutff_5/in_2 -buffer local_g3_0 lutff_2/in_3 -buffer local_g3_0 lutff_5/in_0 -buffer local_g3_0 lutff_6/in_3 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_1/out sp12_v_b_2 -buffer lutff_2/out sp12_v_b_20 -buffer lutff_5/out local_g0_5 -buffer lutff_6/out sp4_h_r_44 -buffer lutff_7/out sp4_h_r_30 -buffer sp12_v_b_8 local_g3_0 -buffer sp4_h_r_25 local_g2_1 -buffer sp4_h_r_47 local_g2_7 -buffer sp4_r_v_b_10 local_g2_2 -buffer sp4_r_v_b_26 local_g0_2 -buffer sp4_r_v_b_35 local_g0_0 -buffer sp4_v_b_11 local_g1_3 -buffer sp4_v_b_12 local_g0_4 -buffer sp4_v_b_7 local_g0_7 -buffer sp4_v_b_7 local_g1_7 -routing sp12_h_l_23 sp12_v_b_0 -routing sp4_v_b_1 sp4_v_t_36 -routing sp4_v_b_11 sp4_h_l_41 -routing sp4_v_b_9 sp4_v_t_44 +buffer local_g1_4 lutff_6/in_1 +buffer local_g1_6 lutff_6/in_3 +buffer local_g1_7 lutff_6/in_0 +buffer local_g2_3 lutff_4/in_1 +buffer local_g2_4 lutff_1/in_3 +buffer local_g3_3 lutff_global/cen +buffer lutff_1/out sp4_h_r_34 +buffer lutff_1/out sp4_r_v_b_19 +buffer lutff_1/out sp4_v_b_2 +buffer lutff_4/out sp12_h_r_0 +buffer lutff_4/out sp4_r_v_b_25 +buffer lutff_5/out sp4_v_b_10 +buffer lutff_6/out local_g1_6 +buffer lutff_6/out sp4_h_r_28 +buffer lutff_6/out sp4_v_b_28 +buffer neigh_op_top_2 local_g1_2 +buffer neigh_op_top_3 local_g1_3 +buffer sp12_h_r_10 local_g0_2 +buffer sp12_h_r_15 local_g1_7 +buffer sp12_h_r_16 local_g0_0 +buffer sp12_h_r_19 local_g0_3 +buffer sp12_h_r_20 local_g0_4 +buffer sp12_h_r_20 local_g1_4 +buffer sp12_h_r_9 local_g0_1 +buffer sp12_v_b_21 sp4_v_b_22 +buffer sp4_h_r_35 local_g2_3 +buffer sp4_h_r_36 local_g2_4 +buffer sp4_h_r_43 local_g3_3 +buffer sp4_r_v_b_25 local_g1_1 +routing sp12_h_r_0 sp12_h_l_23 +routing sp4_v_b_10 sp4_h_l_38 +routing sp4_v_b_2 sp4_h_l_39 +routing sp4_v_t_42 sp4_h_r_7 .logic_tile 6 8 -LC_0 1001000000001001 0000 -LC_1 1110111011100000 0000 -LC_2 1000000000000000 0000 -LC_3 1001000000001001 0000 -LC_4 1001000000001001 0000 -LC_5 0000000000000001 0000 -LC_6 0001000000000000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_4/in_0 -buffer local_g0_1 lutff_3/in_2 -buffer local_g0_2 lutff_5/in_1 -buffer local_g0_3 lutff_1/in_2 -buffer local_g0_4 lutff_6/in_0 -buffer local_g0_7 lutff_1/in_0 -buffer local_g1_0 lutff_5/in_2 -buffer local_g1_1 lutff_0/in_2 -buffer local_g1_2 lutff_6/in_1 -buffer local_g1_3 lutff_global/cen -buffer local_g1_4 lutff_7/in_0 -buffer local_g1_6 lutff_0/in_1 -buffer local_g1_6 lutff_2/in_1 -buffer local_g1_7 lutff_1/in_1 -buffer local_g2_0 lutff_2/in_2 -buffer local_g2_2 lutff_3/in_3 +LC_0 0000000011110001 0000 +LC_1 1111111000000000 0000 +LC_2 0000001000000000 0000 +LC_3 0010000000000000 0000 +LC_4 0000001000000000 0000 +LC_5 0000000011110010 0000 +LC_6 0101000000000011 0000 +LC_7 0000000000001001 0000 +buffer local_g0_0 lutff_2/in_0 +buffer local_g0_1 lutff_5/in_2 +buffer local_g0_2 lutff_1/in_1 +buffer local_g0_3 lutff_4/in_1 +buffer local_g0_4 lutff_4/in_0 +buffer local_g0_4 lutff_7/in_1 +buffer local_g0_5 lutff_1/in_0 +buffer local_g0_7 lutff_0/in_1 +buffer local_g1_1 lutff_0/in_0 +buffer local_g1_2 lutff_2/in_1 +buffer local_g1_2 lutff_6/in_3 +buffer local_g1_3 lutff_2/in_2 +buffer local_g1_4 lutff_1/in_2 +buffer local_g1_6 lutff_7/in_2 +buffer local_g2_0 lutff_4/in_2 +buffer local_g2_2 lutff_0/in_2 +buffer local_g2_2 lutff_1/in_3 buffer local_g2_3 lutff_3/in_0 -buffer local_g2_4 lutff_1/in_3 -buffer local_g3_0 lutff_0/in_3 -buffer local_g3_1 lutff_0/in_0 -buffer local_g3_2 lutff_2/in_3 -buffer local_g3_2 lutff_4/in_1 -buffer local_g3_3 lutff_5/in_3 -buffer local_g3_4 lutff_5/in_0 -buffer local_g3_5 lutff_4/in_2 -buffer local_g3_6 lutff_4/in_3 -buffer local_g3_7 lutff_2/in_0 -buffer local_g3_7 lutff_3/in_1 -buffer lutff_0/out local_g1_0 -buffer lutff_2/out local_g1_2 -buffer lutff_3/out local_g3_3 -buffer lutff_4/out local_g3_4 -buffer lutff_5/out sp4_v_b_10 -buffer lutff_6/out sp12_v_b_12 -buffer lutff_6/out sp4_r_v_b_29 -buffer lutff_7/out local_g3_7 -buffer neigh_op_lft_2 local_g0_2 -buffer neigh_op_lft_4 local_g0_4 -buffer neigh_op_lft_7 local_g1_7 -buffer neigh_op_tnr_4 local_g2_4 -buffer neigh_op_top_7 local_g0_7 -buffer sp12_h_r_12 local_g1_4 -buffer sp12_h_r_17 local_g0_1 -buffer sp12_v_b_11 local_g2_3 -buffer sp4_h_r_1 local_g1_1 -buffer sp4_h_r_22 local_g1_6 -buffer sp4_h_r_26 local_g2_2 -buffer sp4_h_r_32 local_g2_0 -buffer sp4_h_r_32 local_g3_0 -buffer sp4_h_r_38 local_g3_6 -buffer sp4_h_r_42 local_g3_2 -buffer sp4_r_v_b_41 local_g3_1 -buffer sp4_v_b_11 local_g0_3 -buffer sp4_v_b_3 local_g1_3 -buffer sp4_v_b_45 local_g3_5 -buffer sp4_v_b_8 local_g0_0 -routing sp4_h_l_37 sp4_v_t_40 +buffer local_g2_4 lutff_3/in_1 +buffer local_g2_5 lutff_5/in_0 +buffer local_g2_6 lutff_6/in_0 +buffer local_g2_7 lutff_7/in_0 +buffer local_g3_0 lutff_6/in_1 +buffer local_g3_1 lutff_5/in_3 +buffer local_g3_5 lutff_7/in_3 +buffer local_g3_6 lutff_0/in_3 +buffer local_g3_7 lutff_5/in_1 +buffer local_g3_7 lutff_6/in_2 +buffer lutff_0/out sp4_r_v_b_17 +buffer lutff_1/out local_g0_1 +buffer lutff_2/out local_g0_2 +buffer lutff_3/out local_g0_3 +buffer lutff_3/out sp4_r_v_b_23 +buffer lutff_4/out local_g1_4 +buffer lutff_6/out local_g1_6 +buffer neigh_op_bnl_0 local_g2_0 +buffer neigh_op_bnl_0 local_g3_0 +buffer neigh_op_bnl_6 local_g2_6 +buffer neigh_op_rgt_7 local_g2_7 +buffer neigh_op_rgt_7 local_g3_7 +buffer neigh_op_tnl_2 local_g2_2 +buffer neigh_op_tnl_5 local_g3_5 +buffer sp12_h_r_19 local_g1_3 +buffer sp4_h_r_12 local_g0_4 +buffer sp4_h_r_17 local_g1_1 +buffer sp4_h_r_23 local_g0_7 +buffer sp4_r_v_b_17 local_g3_1 +buffer sp4_r_v_b_24 local_g0_0 +buffer sp4_r_v_b_26 local_g1_2 +buffer sp4_r_v_b_29 local_g0_5 +buffer sp4_r_v_b_35 local_g2_3 +buffer sp4_r_v_b_37 local_g2_5 +buffer sp4_v_b_28 local_g2_4 +buffer sp4_v_b_38 local_g3_6 routing sp4_h_l_42 sp4_v_t_42 -routing sp4_h_l_45 sp4_h_r_11 -routing sp4_v_b_3 sp4_h_l_38 -routing sp4_v_b_4 sp4_h_r_4 -routing sp4_v_b_6 sp4_h_r_6 -routing sp4_v_b_6 sp4_v_t_39 -routing sp4_v_t_47 sp4_h_r_3 +routing sp4_h_l_45 sp4_v_b_8 +routing sp4_h_l_46 sp4_v_t_41 +routing sp4_h_l_46 sp4_v_t_46 +routing sp4_v_t_43 sp4_h_r_11 .logic_tile 1 12 -ColBufCtrl glb_netwk_0 ColBufCtrl glb_netwk_6 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_6 lutff_global/clk -buffer local_g1_3 lutff_global/cen -buffer local_g1_6 lutff_7/in_0 -buffer lutff_7/out sp4_h_r_30 -buffer lutff_7/out sp4_v_b_30 -buffer lutff_7/out sp4_v_b_46 -buffer neigh_op_bnr_3 local_g1_3 -buffer sp12_h_r_14 local_g1_6 -routing sp4_h_r_10 sp4_h_l_43 -routing sp4_v_b_9 sp4_h_r_9 -routing sp4_v_t_46 sp4_h_l_40 +routing sp4_v_b_7 sp4_h_l_37 +routing sp4_v_b_7 sp4_v_t_47 +routing sp4_v_t_47 sp4_h_r_10 .logic_tile 8 12 -ColBufCtrl glb_netwk_0 ColBufCtrl glb_netwk_3 ColBufCtrl glb_netwk_4 ColBufCtrl glb_netwk_6 -LC_0 1101000000000000 0000 -LC_1 1111001000000000 0000 +ColBufCtrl glb_netwk_7 +LC_0 1000000000000000 0000 +LC_1 0001000000000000 0000 LC_2 0001000000000000 0000 -LC_3 1111001000000000 0000 -LC_4 0000001000000000 0000 -LC_5 1000000000000000 0000 +LC_3 0000000000001101 0000 +LC_4 0000100011111110 0000 +LC_5 0000000011111110 0000 LC_6 0010000000000000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_4/in_2 -buffer local_g0_1 lutff_5/in_0 -buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_6/in_1 -buffer local_g0_4 lutff_3/in_3 -buffer local_g0_5 lutff_4/in_1 -buffer local_g0_6 lutff_5/in_1 -buffer local_g1_0 lutff_0/in_1 -buffer local_g1_0 lutff_3/in_2 -buffer local_g1_1 lutff_6/in_0 -buffer local_g1_4 lutff_0/in_3 -buffer local_g1_5 lutff_4/in_0 -buffer local_g1_6 lutff_3/in_0 -buffer local_g1_7 lutff_2/in_0 -buffer local_g2_2 lutff_0/in_2 -buffer local_g2_3 lutff_2/in_1 -buffer local_g2_6 lutff_0/in_0 -buffer local_g2_6 lutff_3/in_1 -buffer local_g3_0 lutff_1/in_0 -buffer local_g3_0 lutff_7/in_0 -buffer local_g3_6 lutff_1/in_2 -buffer local_g3_7 lutff_1/in_1 -buffer lutff_1/out local_g0_1 -buffer lutff_2/out local_g0_2 -buffer lutff_3/out local_g2_3 -buffer lutff_4/out local_g0_4 -buffer lutff_4/out sp12_h_r_0 +LC_7 0001000000000000 0000 +buffer local_g0_0 lutff_3/in_1 +buffer local_g0_1 lutff_0/in_1 +buffer local_g0_5 lutff_2/in_1 +buffer local_g0_6 lutff_1/in_1 +buffer local_g0_7 lutff_6/in_1 +buffer local_g1_0 lutff_7/in_0 +buffer local_g1_1 lutff_2/in_0 +buffer local_g1_5 lutff_3/in_3 +buffer local_g1_7 lutff_6/in_0 +buffer local_g2_1 lutff_3/in_0 +buffer local_g2_2 lutff_0/in_0 +buffer local_g2_2 lutff_4/in_2 +buffer local_g2_2 lutff_5/in_1 +buffer local_g2_3 lutff_5/in_0 +buffer local_g2_5 lutff_4/in_3 +buffer local_g2_6 lutff_7/in_1 +buffer local_g3_2 lutff_4/in_1 +buffer local_g3_2 lutff_5/in_2 +buffer local_g3_3 lutff_4/in_0 +buffer local_g3_4 lutff_1/in_0 +buffer local_g3_5 lutff_5/in_3 +buffer local_g3_6 lutff_3/in_2 +buffer lutff_0/out local_g0_0 +buffer lutff_0/out local_g1_0 +buffer lutff_0/out sp4_h_r_32 +buffer lutff_2/out sp4_h_r_20 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_4/out sp4_h_r_24 +buffer lutff_4/out sp4_v_b_24 buffer lutff_5/out local_g0_5 -buffer lutff_6/out local_g2_6 -buffer lutff_6/out local_g3_6 -buffer lutff_7/out local_g3_7 -buffer neigh_op_bnl_2 local_g2_2 +buffer lutff_5/out local_g1_5 +buffer lutff_5/out sp12_h_r_2 +buffer lutff_6/out local_g0_6 +buffer lutff_7/out local_g0_7 +buffer neigh_op_rgt_5 local_g2_5 +buffer neigh_op_tnl_1 local_g2_1 +buffer neigh_op_tnl_2 local_g3_2 +buffer neigh_op_tnl_5 local_g3_5 buffer neigh_op_top_1 local_g1_1 -buffer neigh_op_top_6 local_g0_6 -buffer neigh_op_top_6 local_g1_6 -buffer sp12_h_r_12 local_g1_4 -buffer sp12_h_r_16 local_g0_0 -buffer sp12_h_r_16 local_g1_0 -buffer sp4_r_v_b_16 local_g3_0 -buffer sp4_v_b_13 local_g1_5 -buffer sp4_v_b_19 local_g0_3 -buffer sp4_v_b_23 local_g1_7 -routing sp4_h_l_37 sp4_v_t_40 -routing sp4_h_l_38 sp4_v_b_3 -routing sp4_h_l_43 sp4_v_t_46 -routing sp4_h_r_3 sp4_v_t_38 -routing sp4_h_r_7 sp4_v_t_42 -routing sp4_v_b_7 sp4_v_t_47 -routing sp4_v_b_8 sp4_h_r_8 -routing sp4_v_b_9 sp4_h_r_3 -routing sp4_v_t_36 sp4_v_b_1 -routing sp4_v_t_36 sp4_v_b_9 -routing sp4_v_t_40 sp4_h_l_46 +buffer neigh_op_top_7 local_g1_7 +buffer sp12_h_r_12 sp4_h_r_18 +buffer sp4_h_r_30 local_g2_6 +buffer sp4_h_r_30 local_g3_6 +buffer sp4_h_r_36 local_g3_4 +buffer sp4_h_r_43 local_g2_3 +buffer sp4_h_r_43 local_g3_3 +buffer sp4_r_v_b_25 local_g0_1 +buffer sp4_v_b_42 local_g2_2 +routing sp4_h_l_40 sp4_v_t_40 +routing sp4_h_l_40 sp4_v_t_47 +routing sp4_h_r_5 sp4_v_b_10 +routing sp4_h_r_5 sp4_v_b_5 +routing sp4_h_r_7 sp4_h_l_42 +routing sp4_v_t_37 sp4_h_l_37 .logic_tile 11 8 -LC_0 0110100110010110 0000 -LC_1 0011001101010011 0100 DffEnable -LC_2 0100000000000000 0100 DffEnable -LC_3 1101001000000000 0100 DffEnable -LC_4 0011001101010011 0100 DffEnable -LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_1/in_1 -buffer local_g0_1 lutff_1/in_2 -buffer local_g0_1 lutff_3/in_0 -buffer local_g0_2 lutff_global/cen -buffer local_g0_4 lutff_0/in_2 -buffer local_g0_5 lutff_4/in_1 -buffer local_g0_6 lutff_2/in_0 -buffer local_g1_3 lutff_6/in_0 -buffer local_g1_4 lutff_1/in_0 -buffer local_g1_6 lutff_7/in_0 -buffer local_g2_0 lutff_4/in_0 -buffer local_g2_3 lutff_4/in_3 -buffer local_g2_5 lutff_3/in_2 -buffer local_g2_6 lutff_4/in_2 -buffer local_g3_3 lutff_1/in_3 -buffer local_g3_3 lutff_3/in_1 -buffer lutff_0/out local_g0_0 -buffer sp12_h_r_14 local_g1_6 -buffer sp4_h_r_18 local_g0_2 -buffer sp4_h_r_19 local_g1_3 -buffer sp4_h_r_22 local_g0_6 -buffer sp4_h_r_24 local_g2_0 -buffer sp4_h_r_29 local_g2_5 -buffer sp4_r_v_b_28 local_g0_4 -buffer sp4_r_v_b_28 local_g1_4 -buffer sp4_r_v_b_29 local_g0_5 -buffer sp4_r_v_b_38 local_g2_6 -buffer sp4_v_b_17 local_g0_1 -buffer sp4_v_b_43 local_g2_3 -buffer sp4_v_b_43 local_g3_3 -routing sp12_v_b_1 sp12_h_l_22 -routing sp4_v_b_1 sp4_v_t_36 - -.logic_tile 4 15 CarryInSet LC_0 0000000000000000 1000 CarryEnable LC_1 0000000000000000 1000 CarryEnable -LC_2 0110100110010110 1100 CarryEnable DffEnable -LC_3 0110100110010110 1100 CarryEnable DffEnable -LC_4 0110100110010110 1100 CarryEnable DffEnable -LC_5 0110100110010110 1100 CarryEnable DffEnable -LC_6 0110100110010110 1100 CarryEnable DffEnable -LC_7 0110100110010110 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_3/in_2 -buffer local_g0_4 lutff_4/in_2 -buffer local_g0_5 lutff_5/in_2 -buffer local_g0_6 lutff_6/in_2 -buffer local_g0_7 lutff_0/in_1 -buffer local_g1_2 lutff_1/in_2 -buffer local_g2_2 lutff_2/in_2 -buffer local_g2_7 lutff_7/in_2 +LC_2 0110100110010110 1000 CarryEnable +LC_3 0110100110010110 0000 +buffer local_g0_1 lutff_3/in_2 +buffer local_g1_4 lutff_0/in_1 +buffer local_g1_5 lutff_2/in_2 +buffer local_g1_6 lutff_1/in_2 buffer lutff_1/cout lutff_2/in_3 buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out local_g2_2 buffer lutff_2/out sp12_h_r_12 -buffer lutff_2/out sp4_r_v_b_37 -buffer lutff_2/out sp4_v_b_36 -buffer lutff_3/cout lutff_4/in_3 -buffer lutff_3/out local_g0_3 -buffer lutff_3/out sp4_h_r_38 -buffer lutff_3/out sp4_r_v_b_23 buffer lutff_3/out sp4_r_v_b_39 -buffer lutff_4/cout lutff_5/in_3 -buffer lutff_4/out local_g0_4 +buffer neigh_op_bot_1 local_g0_1 +buffer neigh_op_bot_4 local_g1_4 +buffer neigh_op_bot_5 local_g1_5 +buffer neigh_op_bot_6 local_g1_6 +buffer sp12_h_r_12 sp4_h_r_18 +routing sp4_h_l_43 sp4_v_t_46 +routing sp4_h_l_45 sp4_h_r_4 + +.logic_tile 4 15 +LC_0 1001000000000000 0000 +LC_1 0111000000000000 0000 +LC_2 1000000000000000 0000 +LC_3 1001011010010110 0000 +LC_4 1000000000000000 0000 +LC_5 0100000000000000 0101 DffEnable AsyncSetReset +LC_6 0100000000000000 0101 DffEnable AsyncSetReset +LC_7 0111000000000000 0101 DffEnable AsyncSetReset +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_2/in_0 +buffer local_g0_1 lutff_5/in_0 +buffer local_g0_2 lutff_7/in_1 +buffer local_g1_3 lutff_1/in_1 +buffer local_g1_4 lutff_1/in_0 +buffer local_g1_4 lutff_7/in_0 +buffer local_g1_5 lutff_0/in_0 +buffer local_g1_5 lutff_3/in_1 +buffer local_g1_5 lutff_4/in_0 +buffer local_g1_6 lutff_0/in_1 +buffer local_g1_6 lutff_4/in_1 +buffer local_g1_7 lutff_4/in_2 +buffer local_g2_0 lutff_6/in_0 +buffer local_g2_2 lutff_global/cen +buffer lutff_0/out local_g2_0 +buffer lutff_1/out local_g0_1 +buffer lutff_2/out sp4_v_b_20 +buffer lutff_3/out local_g1_3 +buffer lutff_4/out local_g1_4 +buffer lutff_5/out local_g1_5 +buffer lutff_6/out local_g1_6 +buffer lutff_7/out local_g1_7 +buffer neigh_op_bot_2 local_g0_2 +buffer neigh_op_rgt_2 local_g2_2 +buffer sp4_h_r_8 local_g0_0 +routing sp4_v_b_1 sp4_h_l_43 + +.logic_tile 7 13 +ColBufCtrl glb_netwk_6 +ColBufCtrl glb_netwk_7 +LC_1 0000111101110111 0100 DffEnable +LC_2 1000000000000000 0100 DffEnable +LC_4 0100000000000000 0100 DffEnable +LC_5 0010111100000000 0100 DffEnable +LC_6 0001111100000000 0100 DffEnable +LC_7 0001111100000000 0100 DffEnable +NegClk +buffer glb_netwk_6 lutff_global/clk +buffer glb_netwk_7 lutff_global/cen +buffer local_g0_1 lutff_7/in_0 +buffer local_g0_2 lutff_5/in_1 +buffer local_g0_3 lutff_5/in_2 +buffer local_g0_4 lutff_1/in_3 +buffer local_g0_5 lutff_6/in_1 +buffer local_g0_6 lutff_7/in_1 +buffer local_g1_1 lutff_6/in_2 +buffer local_g1_2 lutff_1/in_0 +buffer local_g1_6 lutff_6/in_3 +buffer local_g1_7 lutff_1/in_1 +buffer local_g2_2 lutff_4/in_0 +buffer local_g2_4 lutff_6/in_0 +buffer local_g2_5 lutff_5/in_0 +buffer local_g2_6 lutff_2/in_0 +buffer local_g2_7 lutff_1/in_2 +buffer local_g3_0 lutff_7/in_2 buffer lutff_4/out sp12_h_r_16 -buffer lutff_4/out sp4_r_v_b_41 -buffer lutff_5/cout lutff_6/in_3 -buffer lutff_5/out local_g0_5 -buffer lutff_5/out sp12_h_r_18 -buffer lutff_5/out sp4_h_r_26 -buffer lutff_5/out sp4_h_r_42 -buffer lutff_6/cout lutff_7/in_3 -buffer lutff_6/out local_g0_6 +buffer lutff_5/out sp4_v_b_42 buffer lutff_6/out sp4_h_r_28 -buffer lutff_6/out sp4_h_r_44 -buffer lutff_7/out local_g2_7 +buffer lutff_6/out sp4_v_b_12 buffer lutff_7/out sp12_h_r_22 +buffer lutff_7/out sp12_v_b_14 buffer lutff_7/out sp4_h_r_30 -buffer lutff_7/out sp4_r_v_b_15 -buffer neigh_op_bot_7 local_g0_7 -buffer sp12_h_r_10 local_g1_2 -buffer sp4_r_v_b_33 local_g0_2 -routing sp4_h_l_43 sp4_v_b_6 -routing sp4_v_b_3 sp4_h_l_45 -routing sp4_v_b_6 sp4_v_t_43 +buffer neigh_op_bnr_2 local_g0_2 +buffer neigh_op_bnr_4 local_g0_4 +buffer neigh_op_bnr_6 local_g0_6 +buffer neigh_op_bot_1 local_g1_1 +buffer neigh_op_bot_2 local_g1_2 +buffer neigh_op_bot_3 local_g0_3 +buffer neigh_op_bot_6 local_g1_6 +buffer neigh_op_bot_7 local_g1_7 +buffer neigh_op_rgt_4 local_g2_4 +buffer neigh_op_rgt_7 local_g2_7 +buffer neigh_op_top_5 local_g0_5 +buffer sp12_h_r_22 sp4_h_r_23 +buffer sp12_v_b_10 local_g2_2 +buffer sp4_r_v_b_34 local_g0_1 +buffer sp4_r_v_b_40 local_g3_0 +buffer sp4_v_b_38 local_g2_6 +buffer sp4_v_b_45 local_g2_5 +routing sp4_h_r_3 sp4_v_b_8 -.logic_tile 7 13 -ColBufCtrl glb_netwk_0 -ColBufCtrl glb_netwk_3 -ColBufCtrl glb_netwk_6 -LC_0 0010000000000000 0000 -LC_1 0001000000000000 0000 -LC_2 0010000000000000 0000 +.logic_tile 2 11 +LC_1 0000001000000000 0000 +LC_3 1000000000000000 0000 +LC_5 1000000000000000 0000 +LC_6 0001000000000000 0000 +LC_7 1000000000000000 0000 +buffer local_g0_0 lutff_6/in_0 +buffer local_g0_1 lutff_6/in_1 +buffer local_g0_2 lutff_1/in_1 +buffer local_g0_3 lutff_3/in_0 +buffer local_g0_5 lutff_7/in_0 +buffer local_g1_0 lutff_1/in_2 +buffer local_g1_6 lutff_5/in_0 +buffer local_g2_7 lutff_1/in_0 +buffer lutff_1/out sp4_v_b_34 +buffer lutff_6/out sp4_v_b_44 +buffer neigh_op_lft_6 local_g1_6 +buffer sp12_h_r_0 sp4_h_r_12 +buffer sp12_v_b_7 local_g2_7 +buffer sp4_h_r_1 local_g0_1 +buffer sp4_h_r_16 local_g1_0 +buffer sp4_h_r_18 local_g0_2 +buffer sp4_h_r_8 local_g0_0 +buffer sp4_v_b_19 local_g0_3 +buffer sp4_v_b_5 local_g0_5 +routing sp4_h_r_4 sp4_v_b_9 +routing sp4_h_r_7 sp4_h_l_42 +routing sp4_v_b_5 sp4_h_r_11 +routing sp4_v_t_39 sp4_h_r_7 + +.logic_tile 9 9 +LC_1 1000000000000000 0000 LC_3 0111000000000000 0000 -LC_4 0000000010000010 0000 -LC_5 0001000000000000 0000 -LC_6 1111001000000000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_1 lutff_7/in_0 -buffer local_g0_2 lutff_2/in_0 -buffer local_g0_2 lutff_3/in_1 -buffer local_g0_3 lutff_1/in_0 -buffer local_g0_4 lutff_1/in_1 -buffer local_g0_4 lutff_5/in_1 -buffer local_g0_4 lutff_6/in_0 -buffer local_g0_5 lutff_2/in_1 -buffer local_g0_7 lutff_0/in_1 -buffer local_g1_0 lutff_5/in_0 -buffer local_g1_1 lutff_4/in_0 -buffer local_g1_2 lutff_3/in_2 -buffer local_g1_3 lutff_0/in_0 -buffer local_g1_3 lutff_6/in_2 -buffer local_g1_4 lutff_4/in_3 -buffer local_g1_4 lutff_6/in_1 -buffer local_g1_6 lutff_4/in_1 -buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_3/in_0 -buffer local_g3_7 lutff_4/in_2 -buffer lutff_0/out sp4_v_b_32 -buffer lutff_1/out sp4_h_r_34 -buffer lutff_2/out sp12_h_r_12 +LC_4 0000000010000000 0000 +LC_5 1001011010010110 0000 +LC_7 1000000000000000 0000 +buffer local_g0_0 lutff_4/in_0 +buffer local_g0_2 lutff_1/in_1 +buffer local_g0_2 lutff_4/in_2 +buffer local_g0_7 lutff_3/in_0 +buffer local_g1_0 lutff_1/in_0 +buffer local_g1_1 lutff_5/in_1 +buffer local_g1_3 lutff_3/in_1 +buffer local_g1_4 lutff_7/in_0 +buffer local_g1_6 lutff_4/in_3 +buffer local_g1_7 lutff_7/in_1 +buffer local_g2_0 lutff_3/in_3 +buffer local_g2_5 lutff_4/in_1 +buffer local_g3_4 lutff_3/in_2 +buffer lutff_1/out local_g1_1 +buffer lutff_1/out sp4_r_v_b_19 buffer lutff_3/out sp12_v_b_6 -buffer lutff_4/out local_g0_4 -buffer lutff_5/out local_g0_5 -buffer lutff_6/out sp12_h_r_20 -buffer neigh_op_lft_1 local_g1_1 -buffer neigh_op_lft_2 local_g0_2 -buffer neigh_op_lft_3 local_g0_3 -buffer neigh_op_lft_3 local_g1_3 +buffer lutff_3/out sp4_v_b_38 +buffer lutff_4/out local_g3_4 +buffer lutff_7/out local_g0_7 +buffer neigh_op_lft_0 local_g0_0 +buffer neigh_op_lft_0 local_g1_0 buffer neigh_op_lft_4 local_g1_4 -buffer neigh_op_rgt_7 local_g3_7 -buffer sp12_h_r_10 local_g1_2 -buffer sp12_h_r_22 local_g1_6 -buffer sp12_v_b_11 local_g2_3 -buffer sp12_v_b_11 sp4_v_b_17 -buffer sp4_h_r_17 local_g0_1 -buffer sp4_h_r_23 local_g0_7 -buffer sp4_v_b_34 local_g2_2 -buffer sp4_v_b_8 local_g1_0 -routing sp4_v_b_4 sp4_h_r_10 -routing sp4_v_b_5 sp4_h_l_47 -routing sp4_v_b_6 sp4_h_r_6 - -.logic_tile 2 11 -LC_0 0001000000000000 0000 -LC_1 1111110100000000 0000 -LC_2 0000001000000000 0000 -LC_3 0000000100000000 0000 -LC_4 1000000000000000 0000 -LC_5 0000001000000000 0000 -LC_6 0000101100000000 0000 -LC_7 0100000000000000 0100 DffEnable +buffer neigh_op_lft_6 local_g1_6 +buffer sp12_h_r_18 local_g0_2 +buffer sp4_h_r_24 local_g2_0 +buffer sp4_r_v_b_31 local_g1_7 +buffer sp4_v_b_3 local_g1_3 +buffer sp4_v_b_37 local_g2_5 +routing sp4_h_l_37 sp4_v_t_40 + +.logic_tile 5 14 +LC_1 1100000001010000 0000 +LC_3 0001000000000000 0000 +LC_5 0000000010100011 0000 +LC_7 0010000000000000 0000 +buffer local_g0_0 lutff_5/in_1 +buffer local_g0_1 lutff_1/in_0 +buffer local_g0_2 lutff_1/in_1 +buffer local_g0_4 lutff_1/in_3 +buffer local_g0_7 lutff_3/in_0 +buffer local_g1_0 lutff_1/in_2 +buffer local_g1_4 lutff_5/in_2 +buffer local_g2_2 lutff_5/in_3 +buffer local_g2_4 lutff_3/in_1 +buffer local_g2_5 lutff_5/in_0 +buffer local_g3_4 lutff_7/in_0 +buffer local_g3_5 lutff_7/in_1 +buffer lutff_3/out sp12_v_b_6 +buffer lutff_3/out sp4_v_b_22 +buffer lutff_7/out sp12_h_r_22 +buffer lutff_7/out sp4_r_v_b_15 +buffer lutff_7/out sp4_v_b_30 +buffer neigh_op_rgt_4 local_g2_4 +buffer neigh_op_rgt_4 local_g3_4 +buffer neigh_op_rgt_5 local_g3_5 +buffer sp12_h_r_16 local_g1_0 +buffer sp4_h_r_12 local_g0_4 +buffer sp4_h_r_12 local_g1_4 +buffer sp4_h_r_15 local_g0_7 +buffer sp4_h_r_42 local_g2_2 +buffer sp4_r_v_b_13 local_g2_5 +buffer sp4_r_v_b_34 local_g0_1 +buffer sp4_v_b_10 local_g0_2 +buffer sp4_v_b_8 local_g0_0 + +.logic_tile 8 14 +LC_2 1001000000000000 0000 +LC_3 1001011010010110 0000 +LC_5 1000000000000000 0000 +LC_7 1000000000000000 0000 +buffer local_g0_3 lutff_7/in_0 +buffer local_g0_6 lutff_2/in_0 +buffer local_g0_7 lutff_2/in_1 +buffer local_g1_2 lutff_5/in_0 +buffer local_g1_3 lutff_5/in_1 +buffer local_g1_7 lutff_3/in_1 +buffer local_g2_1 lutff_5/in_2 +buffer local_g2_2 lutff_5/in_3 +buffer lutff_2/out local_g1_2 +buffer lutff_3/out local_g1_3 +buffer lutff_5/out sp4_r_v_b_11 +buffer neigh_op_top_6 local_g0_6 +buffer neigh_op_top_7 local_g0_7 +buffer neigh_op_top_7 local_g1_7 +buffer sp12_h_r_11 local_g0_3 +buffer sp12_v_b_10 local_g2_2 +buffer sp4_r_v_b_33 local_g2_1 +routing sp4_v_b_0 sp4_h_l_40 + +.logic_tile 8 15 +LC_0 0111000000000000 0110 DffEnable Set_NoReset +LC_2 0111000000000000 0110 DffEnable Set_NoReset +LC_6 0100000000000000 0100 DffEnable +LC_7 0111000000000000 0110 DffEnable Set_NoReset +NegClk buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_1/in_1 -buffer local_g0_1 lutff_3/in_2 -buffer local_g0_1 lutff_5/in_2 -buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_1/in_2 +buffer local_g0_2 lutff_6/in_0 buffer local_g0_3 lutff_2/in_1 -buffer local_g0_4 lutff_0/in_0 -buffer local_g0_6 lutff_6/in_2 -buffer local_g0_7 lutff_6/in_1 -buffer local_g1_0 lutff_5/in_0 -buffer local_g1_1 lutff_3/in_1 -buffer local_g1_3 lutff_5/in_1 +buffer local_g0_4 lutff_global/s_r +buffer local_g0_5 lutff_7/in_0 +buffer local_g1_3 lutff_7/in_1 buffer local_g1_4 lutff_0/in_1 -buffer local_g1_4 lutff_1/in_0 +buffer local_g1_5 lutff_0/in_0 buffer local_g1_5 lutff_2/in_0 -buffer local_g1_6 lutff_3/in_0 +buffer local_g2_2 lutff_global/cen +buffer neigh_op_bot_2 local_g0_2 +buffer neigh_op_bot_3 local_g1_3 +buffer neigh_op_bot_5 local_g0_5 +buffer neigh_op_bot_5 local_g1_5 +buffer neigh_op_top_3 local_g0_3 +buffer neigh_op_top_4 local_g1_4 +buffer sp4_h_r_4 local_g0_4 +buffer sp4_r_v_b_10 local_g2_2 +routing sp4_h_l_36 sp4_v_b_1 +routing sp4_h_l_36 sp4_v_b_7 + +.logic_tile 6 13 +ColBufCtrl glb_netwk_6 +ColBufCtrl glb_netwk_7 +LC_0 0010001000101111 0100 DffEnable +LC_1 1111110100000000 0100 DffEnable +LC_2 0001000111110001 0100 DffEnable +LC_5 1000000000000000 0100 DffEnable +LC_6 0001000111110001 0100 DffEnable +LC_7 0010001011110010 0100 DffEnable +NegClk +buffer glb_netwk_6 lutff_global/clk +buffer glb_netwk_7 lutff_global/cen +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_1 lutff_7/in_0 +buffer local_g0_2 lutff_1/in_3 +buffer local_g0_3 lutff_2/in_3 +buffer local_g0_5 lutff_0/in_1 +buffer local_g0_6 lutff_2/in_0 +buffer local_g0_6 lutff_6/in_0 +buffer local_g0_6 lutff_7/in_1 +buffer local_g0_7 lutff_6/in_3 +buffer local_g1_0 lutff_2/in_1 +buffer local_g1_1 lutff_7/in_3 +buffer local_g1_2 lutff_7/in_2 +buffer local_g1_3 lutff_0/in_0 +buffer local_g1_4 lutff_6/in_1 +buffer local_g1_6 lutff_0/in_3 buffer local_g1_7 lutff_2/in_2 -buffer local_g2_1 lutff_7/in_0 -buffer local_g2_2 lutff_6/in_0 -buffer lutff_0/out sp4_h_r_32 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_2/out local_g2_2 -buffer lutff_2/out sp4_h_r_4 -buffer lutff_2/out sp4_v_b_36 -buffer lutff_4/out sp4_v_b_24 -buffer lutff_4/out sp4_v_b_40 +buffer local_g1_7 lutff_6/in_2 +buffer local_g2_1 lutff_5/in_0 +buffer local_g2_3 lutff_1/in_2 +buffer local_g2_5 lutff_1/in_0 +buffer local_g3_1 lutff_0/in_2 +buffer lutff_0/out sp12_v_b_16 +buffer lutff_1/out sp12_v_b_2 buffer lutff_5/out sp12_h_r_18 -buffer lutff_6/out sp4_h_r_12 -buffer lutff_7/out sp4_h_r_14 -buffer lutff_7/out sp4_r_v_b_15 -buffer lutff_7/out sp4_r_v_b_47 -buffer sp12_h_r_12 local_g0_4 -buffer sp12_h_r_14 local_g1_6 -buffer sp12_h_r_14 sp4_h_r_19 -buffer sp12_h_r_18 local_g0_2 -buffer sp12_h_r_6 local_g0_6 -buffer sp4_h_r_1 local_g0_1 -buffer sp4_h_r_11 local_g0_3 -buffer sp4_h_r_19 local_g1_3 -buffer sp4_h_r_21 local_g1_5 -buffer sp4_r_v_b_24 local_g0_0 -buffer sp4_v_b_0 local_g1_0 +buffer neigh_op_bot_5 local_g0_5 +buffer neigh_op_bot_7 local_g1_7 +buffer neigh_op_lft_7 local_g0_7 +buffer neigh_op_top_0 local_g1_0 +buffer neigh_op_top_2 local_g0_2 +buffer neigh_op_top_3 local_g1_3 +buffer neigh_op_top_4 local_g1_4 +buffer sp12_h_r_17 local_g0_1 +buffer sp12_v_b_17 local_g3_1 +buffer sp12_v_b_5 sp4_v_b_14 +buffer sp4_h_r_14 local_g0_6 +buffer sp4_h_r_14 local_g1_6 +buffer sp4_h_r_16 local_g0_0 +buffer sp4_r_v_b_2 local_g1_2 buffer sp4_v_b_1 local_g1_1 -buffer sp4_v_b_15 local_g1_7 -buffer sp4_v_b_20 local_g1_4 -buffer sp4_v_b_23 local_g0_7 -buffer sp4_v_b_25 local_g2_1 -routing sp12_h_l_22 sp12_h_r_1 -routing sp4_h_r_7 sp4_v_b_0 -routing sp4_v_b_1 sp4_h_r_7 -routing sp4_v_t_43 sp4_h_r_6 +buffer sp4_v_b_11 local_g0_3 +buffer sp4_v_b_33 local_g2_1 +buffer sp4_v_b_43 local_g2_3 +buffer sp4_v_b_45 local_g2_5 +routing sp4_h_r_10 sp4_v_b_10 +routing sp4_h_r_10 sp4_v_b_3 +routing sp4_v_b_1 sp4_v_t_36 +routing sp4_v_b_11 sp4_v_t_39 +routing sp4_v_t_40 sp4_v_b_8 -.logic_tile 9 9 -LC_0 1000000000000000 0000 -LC_1 1111111110100011 0000 -LC_2 1000000011111111 0100 DffEnable -LC_3 0000111000000000 0100 DffEnable -LC_4 1000000011111111 0100 DffEnable -LC_5 0000001000000000 0100 DffEnable -LC_6 0000111000000000 0100 DffEnable -LC_7 0001000000000000 0100 DffEnable +.logic_tile 1 7 +LC_3 1000000000000000 0100 DffEnable +buffer glb2local_0 local_g0_4 +buffer glb_netwk_2 glb2local_0 buffer glb_netwk_3 lutff_global/cen buffer glb_netwk_4 lutff_global/s_r buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_5/in_1 -buffer local_g0_0 lutff_6/in_2 -buffer local_g0_0 lutff_7/in_1 -buffer local_g0_1 lutff_4/in_1 -buffer local_g0_3 lutff_1/in_2 buffer local_g0_4 lutff_3/in_1 -buffer local_g0_5 lutff_7/in_0 -buffer local_g0_7 lutff_5/in_2 -buffer local_g1_0 lutff_3/in_2 -buffer local_g1_1 lutff_6/in_0 -buffer local_g1_2 lutff_2/in_1 -buffer local_g1_3 lutff_4/in_2 -buffer local_g1_4 lutff_3/in_0 -buffer local_g1_5 lutff_0/in_0 -buffer local_g1_6 lutff_1/in_0 -buffer local_g2_2 lutff_2/in_2 -buffer local_g2_3 lutff_6/in_1 -buffer local_g2_4 lutff_2/in_0 -buffer local_g2_4 lutff_4/in_0 -buffer local_g2_6 lutff_1/in_1 -buffer local_g3_0 lutff_5/in_0 -buffer local_g3_4 lutff_0/in_1 -buffer local_g3_5 lutff_1/in_3 -buffer local_g3_6 lutff_2/in_3 -buffer local_g3_6 lutff_4/in_3 -buffer lutff_0/out local_g0_0 -buffer lutff_0/out local_g1_0 -buffer lutff_1/out local_g1_1 -buffer lutff_6/out sp4_r_v_b_13 -buffer neigh_op_bnl_0 local_g3_0 -buffer neigh_op_bnl_2 local_g2_2 -buffer neigh_op_bot_1 local_g0_1 -buffer neigh_op_bot_2 local_g1_2 -buffer neigh_op_bot_3 local_g1_3 -buffer neigh_op_bot_4 local_g0_4 -buffer sp12_h_r_14 local_g1_6 -buffer sp12_h_r_20 local_g1_4 -buffer sp12_v_b_5 local_g3_5 -buffer sp4_h_r_19 local_g0_3 -buffer sp4_h_r_36 local_g2_4 -buffer sp4_h_r_36 local_g3_4 -buffer sp4_h_r_43 local_g2_3 -buffer sp4_r_v_b_14 local_g2_6 -buffer sp4_r_v_b_22 local_g3_6 -buffer sp4_v_b_21 local_g1_5 -buffer sp4_v_b_23 local_g0_7 -buffer sp4_v_b_5 local_g0_5 -routing sp4_h_l_42 sp4_v_b_1 -routing sp4_h_l_47 sp4_v_b_4 -routing sp4_h_r_3 sp4_v_t_38 -routing sp4_v_b_2 sp4_h_l_42 -routing sp4_v_t_36 sp4_h_r_6 +buffer local_g2_5 lutff_3/in_0 +buffer lutff_3/out sp4_h_r_22 +buffer lutff_3/out sp4_r_v_b_23 +buffer lutff_3/out sp4_r_v_b_7 +buffer neigh_op_rgt_5 local_g2_5 -.logic_tile 5 14 -LC_0 0010000000000000 0000 -LC_1 0110011001100110 0000 -LC_2 1000000000000000 0000 -LC_3 1000000000000000 0000 -LC_4 0110100110010110 0000 -LC_5 1001100101100110 0000 -LC_7 0010001000001111 0100 DffEnable -buffer glb_netwk_3 lutff_global/cen -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_0/in_0 -buffer local_g0_3 lutff_7/in_0 -buffer local_g0_7 lutff_3/in_0 -buffer local_g1_0 lutff_7/in_2 -buffer local_g1_1 lutff_7/in_1 -buffer local_g1_2 lutff_4/in_1 -buffer local_g1_3 lutff_5/in_3 -buffer local_g1_4 lutff_0/in_1 -buffer local_g1_7 lutff_1/in_1 -buffer local_g2_4 lutff_5/in_1 -buffer local_g3_3 lutff_2/in_0 -buffer local_g3_7 lutff_7/in_3 -buffer lutff_0/out local_g1_0 -buffer lutff_1/out local_g1_1 -buffer lutff_2/out sp12_v_b_4 -buffer lutff_3/out sp4_h_r_6 -buffer lutff_4/out local_g1_4 -buffer lutff_5/out sp4_h_r_10 -buffer lutff_7/out sp4_r_v_b_31 -buffer neigh_op_lft_3 local_g1_3 -buffer neigh_op_lft_7 local_g0_7 -buffer neigh_op_lft_7 local_g1_7 -buffer neigh_op_tnl_4 local_g2_4 -buffer sp12_v_b_7 sp4_v_b_15 -buffer sp4_r_v_b_26 local_g1_2 -buffer sp4_r_v_b_43 local_g3_3 -buffer sp4_v_b_19 local_g0_3 -buffer sp4_v_b_39 local_g3_7 -buffer sp4_v_b_8 local_g0_0 -routing sp4_h_l_37 sp4_h_r_3 -routing sp4_h_r_6 sp4_h_l_44 -routing sp4_v_b_8 sp4_v_t_46 -routing sp4_v_t_37 sp4_h_l_43 -routing sp4_v_t_39 sp4_h_l_45 -routing sp4_v_t_40 sp4_v_b_1 -routing sp4_v_t_41 sp4_h_l_41 - -.logic_tile 8 14 -LC_0 1010110000000000 0000 -LC_1 0101001100000000 0000 -LC_2 0101110000000000 0110 DffEnable Set_NoReset -LC_3 0101110000000000 0110 DffEnable Set_NoReset -LC_4 0101110000000000 0110 DffEnable Set_NoReset -LC_5 0101110000000000 0110 DffEnable Set_NoReset -LC_6 0101110000000000 0110 DffEnable Set_NoReset -LC_7 0101110000000000 0110 DffEnable Set_NoReset -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_0/in_2 -buffer local_g0_1 lutff_6/in_1 -buffer local_g0_2 lutff_6/in_0 -buffer local_g0_3 lutff_4/in_1 -buffer local_g0_4 lutff_5/in_1 -buffer local_g0_7 lutff_3/in_2 -buffer local_g0_7 lutff_5/in_2 -buffer local_g0_7 lutff_7/in_2 -buffer local_g1_0 lutff_1/in_2 -buffer local_g1_1 lutff_4/in_0 -buffer local_g1_2 lutff_0/in_1 -buffer local_g1_3 lutff_1/in_1 -buffer local_g1_6 lutff_7/in_0 -buffer local_g1_7 lutff_2/in_2 -buffer local_g1_7 lutff_4/in_2 -buffer local_g1_7 lutff_6/in_2 -buffer local_g2_0 lutff_7/in_1 -buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_5/in_0 -buffer local_g2_4 lutff_3/in_1 -buffer local_g2_5 lutff_3/in_0 -buffer local_g2_6 lutff_2/in_0 -buffer local_g2_7 lutff_2/in_1 -buffer local_g3_1 lutff_0/in_0 -buffer local_g3_5 lutff_global/s_r -buffer local_g3_6 lutff_1/in_0 -buffer lutff_0/out sp4_v_b_16 -buffer lutff_2/out local_g1_2 -buffer lutff_3/out local_g1_3 -buffer lutff_4/out sp4_r_v_b_41 -buffer lutff_5/out sp4_v_b_26 -buffer lutff_6/out local_g3_6 -buffer neigh_op_top_0 local_g0_0 -buffer neigh_op_top_0 local_g1_0 -buffer sp4_h_r_17 local_g1_1 -buffer sp4_h_r_38 local_g2_6 -buffer sp4_r_v_b_12 local_g2_4 -buffer sp4_r_v_b_26 local_g0_2 -buffer sp4_r_v_b_28 local_g0_4 -buffer sp4_r_v_b_31 local_g0_7 -buffer sp4_r_v_b_31 local_g1_7 -buffer sp4_r_v_b_41 local_g3_1 -buffer sp4_v_b_1 local_g0_1 -buffer sp4_v_b_11 local_g0_3 -buffer sp4_v_b_22 local_g1_6 -buffer sp4_v_b_29 local_g2_5 -buffer sp4_v_b_34 local_g2_2 -buffer sp4_v_b_35 local_g2_3 -buffer sp4_v_b_37 local_g3_5 -buffer sp4_v_b_40 local_g2_0 -buffer sp4_v_b_47 local_g2_7 -routing sp4_h_l_39 sp4_h_r_5 -routing sp4_v_t_39 sp4_h_l_39 - -.logic_tile 8 15 -LC_0 0110000000000000 0000 -LC_1 0101001100000000 0000 -LC_2 1001011010010110 0000 -LC_3 0101000000110000 0000 -LC_4 0010110100000000 0000 -LC_5 0101001100000000 0000 -LC_6 0000000010100011 0000 -LC_7 1111111110000000 0100 DffEnable +.logic_tile 11 15 +LC_0 0100000000000000 0110 DffEnable Set_NoReset +LC_3 0100000000000000 0110 DffEnable Set_NoReset +LC_4 1000000000000000 0100 DffEnable +LC_5 0100000000000000 0100 DffEnable +NegClk buffer glb_netwk_6 lutff_global/clk buffer local_g0_0 lutff_4/in_0 -buffer local_g0_1 lutff_6/in_1 -buffer local_g0_2 lutff_3/in_3 -buffer local_g0_2 lutff_6/in_2 -buffer local_g0_3 lutff_7/in_0 -buffer local_g0_4 lutff_2/in_2 -buffer local_g0_5 lutff_4/in_1 -buffer local_g0_6 lutff_7/in_1 -buffer local_g0_7 lutff_1/in_0 -buffer local_g1_0 lutff_5/in_2 -buffer local_g1_1 lutff_3/in_1 -buffer local_g1_2 lutff_3/in_2 -buffer local_g1_2 lutff_6/in_3 -buffer local_g1_3 lutff_4/in_2 -buffer local_g1_5 lutff_6/in_0 -buffer local_g1_6 lutff_7/in_2 -buffer local_g1_7 lutff_1/in_1 -buffer local_g2_0 lutff_7/in_3 -buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_5/in_0 -buffer local_g3_0 lutff_1/in_2 -buffer local_g3_3 lutff_5/in_1 -buffer local_g3_4 lutff_3/in_0 -buffer local_g3_5 lutff_0/in_0 -buffer local_g3_6 lutff_0/in_1 -buffer lutff_0/out local_g3_0 -buffer lutff_0/out sp4_v_b_0 -buffer lutff_1/out local_g0_1 -buffer lutff_2/out local_g1_2 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_4/out sp4_h_r_40 -buffer lutff_5/out sp4_h_r_42 -buffer lutff_6/out local_g0_6 -buffer lutff_7/out sp12_h_r_22 -buffer neigh_op_bnr_0 local_g0_0 -buffer neigh_op_bnr_0 local_g1_0 -buffer neigh_op_bot_1 local_g1_1 -buffer neigh_op_bot_7 local_g0_7 -buffer neigh_op_rgt_3 local_g2_3 -buffer neigh_op_tnr_5 local_g3_5 -buffer neigh_op_tnr_6 local_g3_6 -buffer sp12_h_r_11 local_g0_3 -buffer sp12_h_r_14 local_g1_6 -buffer sp12_h_r_19 local_g1_3 -buffer sp12_v_b_1 sp4_v_b_12 -buffer sp12_v_b_4 local_g3_4 -buffer sp4_h_r_18 local_g0_2 -buffer sp4_h_r_20 local_g0_4 -buffer sp4_h_r_26 local_g2_2 -buffer sp4_r_v_b_32 local_g2_0 -buffer sp4_v_b_13 local_g0_5 -buffer sp4_v_b_15 local_g1_7 -buffer sp4_v_b_27 local_g3_3 -buffer sp4_v_b_5 local_g1_5 -routing sp12_h_l_22 sp12_v_b_1 -routing sp4_h_l_44 sp4_v_b_3 -routing sp4_v_b_3 sp4_v_t_38 - -.logic_tile 6 13 -ColBufCtrl glb_netwk_3 -ColBufCtrl glb_netwk_6 -LC_0 0000000010000000 0000 -LC_1 0010000000000000 0000 -LC_2 1110000000000000 0000 -LC_3 0000000100000000 0000 -LC_4 1101000000000000 0000 -LC_5 0010111100000000 0000 -LC_6 1000000000000000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_0/in_2 buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_7/in_0 -buffer local_g0_4 lutff_1/in_1 -buffer local_g0_4 lutff_4/in_0 -buffer local_g0_5 lutff_3/in_0 -buffer local_g0_6 lutff_0/in_0 -buffer local_g0_7 lutff_1/in_0 -buffer local_g0_7 lutff_4/in_1 -buffer local_g1_0 lutff_5/in_2 -buffer local_g1_2 lutff_2/in_1 -buffer local_g1_2 lutff_3/in_2 -buffer local_g1_3 lutff_5/in_1 +buffer local_g0_3 lutff_3/in_0 +buffer local_g0_4 lutff_0/in_0 buffer local_g1_4 lutff_5/in_0 -buffer local_g1_5 lutff_2/in_0 -buffer local_g1_6 lutff_0/in_3 -buffer local_g2_0 lutff_3/in_1 -buffer local_g2_1 lutff_0/in_1 -buffer local_g3_7 lutff_6/in_0 +buffer local_g1_5 lutff_global/s_r buffer lutff_0/out sp4_h_r_32 -buffer lutff_0/out sp4_v_b_32 -buffer lutff_1/out sp4_v_b_2 -buffer lutff_1/out sp4_v_b_34 -buffer lutff_2/out sp4_h_r_20 -buffer lutff_2/out sp4_r_v_b_5 -buffer lutff_2/out sp4_v_b_20 -buffer lutff_3/out local_g1_3 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_4/out local_g1_4 +buffer lutff_3/out sp4_h_r_38 buffer lutff_4/out sp12_h_r_16 -buffer lutff_4/out sp4_h_r_24 -buffer lutff_4/out sp4_r_v_b_25 -buffer lutff_5/out sp12_h_r_18 -buffer lutff_6/out sp4_r_v_b_13 -buffer lutff_7/out local_g0_7 -buffer neigh_op_bot_0 local_g1_0 -buffer neigh_op_bot_5 local_g0_5 -buffer neigh_op_bot_5 local_g1_5 -buffer neigh_op_rgt_7 local_g3_7 +buffer lutff_4/out sp4_v_b_8 +buffer neigh_op_bot_3 local_g0_3 +buffer neigh_op_bot_4 local_g1_4 buffer sp12_h_r_18 local_g0_2 -buffer sp12_h_r_22 local_g0_6 -buffer sp12_h_r_6 sp4_h_r_15 -buffer sp4_h_r_14 local_g1_6 -buffer sp4_h_r_18 local_g1_2 -buffer sp4_h_r_33 local_g2_1 -buffer sp4_h_r_8 local_g0_0 -buffer sp4_r_v_b_8 local_g2_0 -buffer sp4_v_b_12 local_g0_4 -buffer sp4_v_b_19 local_g0_3 -routing sp4_h_l_36 sp4_h_r_4 -routing sp4_h_l_43 sp4_h_r_9 -routing sp4_h_r_8 sp4_h_l_46 -routing sp4_v_b_6 sp4_v_t_43 - -.logic_tile 1 7 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0110100110010110 1000 CarryEnable -LC_3 0110100110010110 1000 CarryEnable -LC_4 0110100110010110 1000 CarryEnable -LC_5 0110100110010110 1000 CarryEnable -LC_6 0110100110010110 1000 CarryEnable -LC_7 0110100110010110 1000 CarryEnable -buffer local_g0_4 lutff_4/in_2 -buffer local_g0_5 lutff_7/in_2 -buffer local_g0_7 lutff_1/in_2 -buffer local_g1_3 lutff_2/in_2 -buffer local_g1_4 lutff_0/in_1 -buffer local_g1_6 lutff_3/in_2 -buffer local_g2_2 lutff_6/in_2 -buffer local_g2_7 lutff_5/in_2 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out sp4_v_b_4 -buffer lutff_3/cout lutff_4/in_3 -buffer lutff_4/cout lutff_5/in_3 -buffer lutff_5/cout lutff_6/in_3 -buffer lutff_5/out sp12_h_r_2 -buffer lutff_5/out sp4_v_b_10 -buffer lutff_6/cout lutff_7/in_3 -buffer lutff_6/out sp12_h_r_4 -buffer lutff_7/out sp4_v_b_14 -buffer neigh_op_bot_3 local_g1_3 -buffer neigh_op_bot_4 local_g0_4 -buffer neigh_op_bot_5 local_g0_5 -buffer neigh_op_bot_6 local_g1_6 -buffer neigh_op_bot_7 local_g0_7 -buffer neigh_op_rgt_2 local_g2_2 -buffer neigh_op_rgt_7 local_g2_7 -buffer sp4_v_b_20 local_g1_4 - -.logic_tile 11 15 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000000000000 1000 CarryEnable -LC_3 0000000000000000 1000 CarryEnable -LC_4 0000000011111111 0000 -LC_6 0000110100000000 0100 DffEnable -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_1 lutff_1/in_2 -buffer local_g0_2 lutff_6/in_0 -buffer local_g0_3 lutff_0/in_1 -buffer local_g0_6 lutff_2/in_2 -buffer local_g1_6 lutff_3/in_2 -buffer local_g2_0 lutff_6/in_2 -buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_6/in_1 -buffer lutff_3/cout lutff_4/in_3 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_6/out sp4_h_r_12 -buffer neigh_op_top_3 local_g0_3 -buffer sp12_h_r_22 local_g1_6 -buffer sp4_h_r_14 local_g0_6 -buffer sp4_h_r_24 local_g2_0 -buffer sp4_h_r_34 local_g2_2 -buffer sp4_r_v_b_25 local_g0_1 -buffer sp4_v_b_18 local_g0_2 -buffer sp4_v_b_43 local_g2_3 -routing sp4_v_t_36 sp4_h_l_42 -routing sp4_v_t_38 sp4_h_l_44 +buffer sp12_h_r_20 local_g0_4 +buffer sp12_h_r_8 local_g0_0 +buffer sp4_r_v_b_5 local_g1_5 +routing sp4_v_b_3 sp4_h_l_38 .logic_tile 7 8 -LC_0 0001000000000000 0000 -LC_1 0000111000000000 0000 -LC_2 1111111110101100 0000 -LC_3 1001000000001001 0000 -LC_4 0000000000001000 0000 -LC_5 1110111011100000 0000 -LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r +LC_0 0010000000000000 0000 +LC_1 0000000010000000 0000 +LC_2 0101001100110011 0000 +LC_3 0001000000000000 0000 +LC_4 1000000000000000 0000 +LC_5 0111000000000000 0100 DffEnable +LC_6 0111000000000000 0100 DffEnable +LC_7 0111000000000000 0100 DffEnable +buffer glb_netwk_2 lutff_global/s_r buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_2/in_2 -buffer local_g0_1 lutff_5/in_0 -buffer local_g0_2 lutff_4/in_2 -buffer local_g0_3 lutff_3/in_2 -buffer local_g0_4 lutff_3/in_1 -buffer local_g0_5 lutff_4/in_3 -buffer local_g0_6 lutff_4/in_0 -buffer local_g0_7 lutff_2/in_3 -buffer local_g1_0 lutff_4/in_1 -buffer local_g1_1 lutff_6/in_0 -buffer local_g1_4 lutff_0/in_1 -buffer local_g1_5 lutff_5/in_3 -buffer local_g1_6 lutff_1/in_0 -buffer local_g1_7 lutff_5/in_1 -buffer local_g2_1 lutff_7/in_0 -buffer local_g2_4 lutff_3/in_3 -buffer local_g2_6 lutff_2/in_0 -buffer local_g2_7 lutff_5/in_2 -buffer local_g3_0 lutff_3/in_0 -buffer local_g3_1 lutff_0/in_0 -buffer local_g3_3 lutff_global/cen -buffer local_g3_4 lutff_2/in_1 -buffer local_g3_6 lutff_1/in_2 -buffer local_g3_7 lutff_1/in_1 -buffer lutff_0/out local_g1_0 -buffer lutff_1/out sp4_r_v_b_3 -buffer lutff_2/out local_g0_2 -buffer lutff_3/out sp4_v_b_6 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_5/out local_g0_5 -buffer lutff_6/out local_g1_6 -buffer lutff_6/out sp12_h_r_20 -buffer lutff_6/out sp4_r_v_b_13 -buffer lutff_7/out sp12_v_b_14 -buffer lutff_7/out sp4_h_r_46 -buffer neigh_op_bnl_6 local_g3_6 -buffer neigh_op_tnl_1 local_g3_1 -buffer neigh_op_tnl_7 local_g2_7 -buffer neigh_op_tnl_7 local_g3_7 -buffer neigh_op_top_1 local_g0_1 -buffer neigh_op_top_7 local_g0_7 -buffer sp12_h_r_14 local_g0_6 -buffer sp12_h_r_17 local_g1_1 -buffer sp12_h_r_20 local_g0_4 -buffer sp12_v_b_3 local_g3_3 -buffer sp4_h_r_23 local_g1_7 -buffer sp4_h_r_36 local_g2_4 -buffer sp4_h_r_41 local_g2_1 -buffer sp4_h_r_44 local_g3_4 -buffer sp4_r_v_b_14 local_g2_6 -buffer sp4_r_v_b_5 local_g1_5 -buffer sp4_v_b_16 local_g0_0 -buffer sp4_v_b_19 local_g0_3 -buffer sp4_v_b_4 local_g1_4 -buffer sp4_v_b_40 local_g3_0 -routing sp12_v_t_22 sp12_h_r_1 -routing sp4_h_l_38 sp4_h_r_11 -routing sp4_h_l_39 sp4_v_b_8 -routing sp4_h_r_11 sp4_v_b_4 -routing sp4_v_b_2 sp4_h_l_42 -routing sp4_v_b_3 sp4_h_r_3 -routing sp4_v_b_7 sp4_v_t_42 -routing sp4_v_t_43 sp4_h_l_37 - -.logic_tile 2 4 -ColBufCtrl glb_netwk_0 -ColBufCtrl glb_netwk_6 -LC_0 0001000000000000 0000 -LC_1 0001000000000000 0000 -LC_2 1000000000000000 0000 -LC_3 0000000000000001 0000 -LC_4 0001000000000000 0000 -LC_5 1000000000000000 0000 +buffer local_g0_0 lutff_6/in_0 buffer local_g0_1 lutff_3/in_0 -buffer local_g0_2 lutff_0/in_0 -buffer local_g0_2 lutff_4/in_0 -buffer local_g0_4 lutff_2/in_0 +buffer local_g0_3 lutff_5/in_2 +buffer local_g0_4 lutff_6/in_2 buffer local_g0_5 lutff_1/in_0 -buffer local_g0_6 lutff_3/in_1 -buffer local_g0_7 lutff_3/in_2 -buffer local_g1_0 lutff_5/in_0 -buffer local_g1_2 lutff_0/in_1 -buffer local_g1_6 lutff_4/in_1 -buffer local_g1_7 lutff_3/in_3 -buffer local_g2_4 lutff_1/in_1 -buffer lutff_0/out sp12_h_r_8 -buffer lutff_0/out sp4_h_r_0 -buffer lutff_0/out sp4_h_r_16 -buffer lutff_1/out sp4_h_r_18 -buffer lutff_1/out sp4_h_r_34 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_4/out local_g0_4 -buffer lutff_4/out sp4_h_r_24 -buffer lutff_4/out sp4_r_v_b_25 -buffer sp12_h_r_10 local_g1_2 -buffer sp12_h_r_2 local_g0_2 -buffer sp12_h_r_2 sp4_h_r_13 -buffer sp4_h_r_13 local_g0_5 -buffer sp4_h_r_15 local_g1_7 -buffer sp4_h_r_22 local_g0_6 -buffer sp4_h_r_22 local_g1_6 -buffer sp4_h_r_23 local_g0_7 -buffer sp4_r_v_b_36 local_g2_4 -buffer sp4_v_b_1 local_g0_1 -buffer sp4_v_b_8 local_g1_0 -routing sp4_h_r_2 sp4_v_t_45 -routing sp4_h_r_6 sp4_v_t_37 -routing sp4_v_b_8 sp4_h_r_8 -routing sp4_v_t_43 sp4_h_r_11 -routing sp4_v_t_47 sp4_v_b_1 - -.logic_tile 9 12 -ColBufCtrl glb_netwk_0 -ColBufCtrl glb_netwk_3 -ColBufCtrl glb_netwk_4 -ColBufCtrl glb_netwk_6 -LC_0 1101011100000000 0000 -LC_1 0001000000000000 0000 -LC_3 1111011100000000 0000 -LC_4 1111011100000000 0000 -LC_5 1101011100000000 0000 -LC_6 0000000000001000 0000 -LC_7 0001000000000000 0000 -buffer local_g0_1 lutff_6/in_1 -buffer local_g0_3 lutff_1/in_0 -buffer local_g0_4 lutff_0/in_0 -buffer local_g0_4 lutff_4/in_0 -buffer local_g0_5 lutff_0/in_3 -buffer local_g0_5 lutff_4/in_3 -buffer local_g0_7 lutff_3/in_2 -buffer local_g0_7 lutff_5/in_2 -buffer local_g1_0 lutff_7/in_0 -buffer local_g1_1 lutff_3/in_3 -buffer local_g1_1 lutff_5/in_3 -buffer local_g1_4 lutff_3/in_0 -buffer local_g1_4 lutff_5/in_0 -buffer local_g1_7 lutff_6/in_0 -buffer local_g2_0 lutff_0/in_2 -buffer local_g2_0 lutff_4/in_2 -buffer local_g2_1 lutff_0/in_1 -buffer local_g2_1 lutff_4/in_1 -buffer local_g2_2 lutff_6/in_2 -buffer local_g2_2 lutff_7/in_1 -buffer local_g3_1 lutff_1/in_1 -buffer local_g3_4 lutff_6/in_3 -buffer local_g3_7 lutff_3/in_1 -buffer local_g3_7 lutff_5/in_1 -buffer lutff_0/out local_g1_0 -buffer lutff_0/out sp4_h_r_32 -buffer lutff_1/out local_g0_1 -buffer lutff_3/out sp4_r_v_b_23 -buffer lutff_4/out local_g3_4 -buffer lutff_5/out sp4_r_v_b_11 -buffer lutff_5/out sp4_v_b_42 -buffer lutff_6/out sp4_v_b_12 -buffer lutff_6/out sp4_v_b_44 -buffer lutff_7/out sp12_h_r_6 -buffer neigh_op_tnl_1 local_g3_1 -buffer neigh_op_tnl_2 local_g2_2 -buffer sp12_h_r_1 local_g1_1 -buffer sp12_h_r_19 local_g0_3 -buffer sp4_h_r_21 local_g0_5 -buffer sp4_h_r_25 local_g2_1 -buffer sp4_h_r_31 local_g3_7 -buffer sp4_r_v_b_31 local_g0_7 -buffer sp4_r_v_b_32 local_g2_0 -buffer sp4_v_b_20 local_g0_4 -buffer sp4_v_b_20 local_g1_4 -buffer sp4_v_b_23 local_g1_7 -routing sp12_h_l_22 sp12_h_r_1 -routing sp4_h_l_39 sp4_v_t_39 -routing sp4_h_l_42 sp4_v_b_1 -routing sp4_h_l_42 sp4_v_b_7 -routing sp4_h_l_44 sp4_h_r_5 -routing sp4_h_l_47 sp4_v_b_10 -routing sp4_h_l_47 sp4_v_b_4 -routing sp4_h_r_0 sp4_v_b_5 -routing sp4_v_b_2 sp4_v_t_40 -routing sp4_v_b_4 sp4_v_t_42 -routing sp4_v_b_6 sp4_h_l_43 -routing sp4_v_t_40 sp4_h_l_40 - -.logic_tile 12 8 -LC_0 0000000000000110 0000 -LC_1 0000000000101000 0000 -LC_2 0000100010000000 0000 -LC_4 0000000010000010 0000 -LC_6 1000000000000000 0000 -LC_7 1000000000001000 0000 -buffer local_g0_3 lutff_0/in_3 -buffer local_g0_3 lutff_1/in_0 -buffer local_g0_3 lutff_2/in_1 -buffer local_g0_3 lutff_4/in_3 -buffer local_g0_6 lutff_0/in_2 -buffer local_g0_6 lutff_1/in_3 -buffer local_g0_6 lutff_2/in_0 -buffer local_g0_6 lutff_4/in_0 -buffer local_g0_7 lutff_4/in_1 -buffer local_g0_7 lutff_7/in_2 -buffer local_g1_1 lutff_6/in_0 -buffer local_g1_3 lutff_7/in_1 -buffer local_g1_6 lutff_7/in_0 -buffer local_g1_7 lutff_0/in_0 -buffer local_g1_7 lutff_1/in_1 -buffer local_g1_7 lutff_2/in_2 -buffer local_g2_3 lutff_0/in_1 -buffer local_g2_3 lutff_1/in_2 -buffer local_g2_3 lutff_2/in_3 -buffer local_g3_3 lutff_4/in_2 -buffer local_g3_3 lutff_7/in_3 -buffer lutff_0/out sp12_v_b_0 -buffer lutff_0/out sp12_v_b_16 -buffer lutff_1/out sp12_v_b_18 -buffer lutff_1/out sp4_v_b_2 -buffer lutff_2/out sp12_v_b_20 -buffer lutff_2/out sp12_v_b_4 -buffer lutff_4/out sp4_v_b_8 -buffer lutff_7/out sp12_v_b_14 -buffer sp12_h_r_6 sp4_h_r_15 -buffer sp4_h_r_15 local_g0_7 -buffer sp4_h_r_15 local_g1_7 -buffer sp4_v_b_1 local_g1_1 -buffer sp4_v_b_22 local_g0_6 -buffer sp4_v_b_22 local_g1_6 -buffer sp4_v_b_3 local_g0_3 -buffer sp4_v_b_3 local_g1_3 -buffer sp4_v_b_43 local_g2_3 -buffer sp4_v_b_43 local_g3_3 -routing sp4_v_b_5 sp4_v_t_36 -routing sp4_v_b_8 sp4_v_t_41 - -.logic_tile 11 16 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0110100110010110 0000 -LC_3 1000000000000000 0000 -LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_6 lutff_global/clk -buffer glb_netwk_7 lutff_global/cen -buffer local_g0_2 lutff_2/in_2 -buffer local_g0_3 lutff_0/in_1 -buffer local_g0_4 lutff_global/s_r -buffer local_g1_0 lutff_3/in_0 -buffer local_g1_3 lutff_6/in_0 -buffer local_g1_6 lutff_1/in_2 -buffer local_g2_3 lutff_7/in_0 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/out sp4_v_b_36 -buffer lutff_3/out local_g0_3 -buffer lutff_3/out sp4_v_b_38 -buffer sp12_h_r_10 local_g0_2 -buffer sp12_h_r_22 local_g1_6 -buffer sp12_h_r_8 local_g1_0 -buffer sp12_v_b_3 local_g2_3 -buffer sp4_r_v_b_3 local_g1_3 -buffer sp4_v_b_4 local_g0_4 -routing sp4_v_b_1 sp4_h_r_1 - -.logic_tile 5 9 -LC_0 1110111011100000 0000 -LC_1 0001000100001111 0000 -LC_2 0000111111101100 0000 -LC_3 1110111011100000 0000 -LC_4 0011001101010011 0000 -LC_5 1000000000000000 0000 -LC_6 0011001101010011 0000 -LC_7 0000000010000000 0000 -buffer local_g0_0 lutff_1/in_1 -buffer local_g0_1 lutff_1/in_0 -buffer local_g0_2 lutff_2/in_0 -buffer local_g0_3 lutff_5/in_2 -buffer local_g0_4 lutff_7/in_1 -buffer local_g0_5 lutff_4/in_3 -buffer local_g0_5 lutff_6/in_3 -buffer local_g0_7 lutff_3/in_0 -buffer local_g1_0 lutff_6/in_1 -buffer local_g1_1 lutff_4/in_0 -buffer local_g1_2 lutff_1/in_2 -buffer local_g1_3 lutff_6/in_0 -buffer local_g1_4 lutff_0/in_3 -buffer local_g1_5 lutff_7/in_3 -buffer local_g1_6 lutff_2/in_1 -buffer local_g1_7 lutff_1/in_3 -buffer local_g1_7 lutff_2/in_2 -buffer local_g1_7 lutff_4/in_2 -buffer local_g1_7 lutff_6/in_2 -buffer local_g2_0 lutff_0/in_0 -buffer local_g2_1 lutff_3/in_2 -buffer local_g2_3 lutff_7/in_0 -buffer local_g2_4 lutff_5/in_1 -buffer local_g2_5 lutff_0/in_1 -buffer local_g2_6 lutff_5/in_3 -buffer local_g2_7 lutff_5/in_0 -buffer local_g3_0 lutff_7/in_2 -buffer local_g3_1 lutff_0/in_2 -buffer local_g3_2 lutff_2/in_3 -buffer local_g3_3 lutff_3/in_3 -buffer local_g3_5 lutff_3/in_1 -buffer local_g3_6 lutff_4/in_1 -buffer lutff_0/out local_g0_0 -buffer lutff_1/out sp4_h_r_34 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_5/out sp4_v_b_26 -buffer lutff_6/out local_g1_6 -buffer lutff_7/out local_g1_7 -buffer lutff_7/out sp4_h_r_14 -buffer lutff_7/out sp4_r_v_b_47 -buffer neigh_op_bnl_3 local_g3_3 -buffer neigh_op_bnr_1 local_g0_1 -buffer neigh_op_rgt_1 local_g2_1 -buffer neigh_op_rgt_1 local_g3_1 -buffer neigh_op_rgt_5 local_g2_5 -buffer neigh_op_rgt_5 local_g3_5 -buffer sp12_h_r_10 local_g1_2 -buffer sp12_h_r_18 local_g0_2 -buffer sp12_h_r_3 local_g0_3 -buffer sp12_v_b_0 local_g3_0 -buffer sp12_v_b_9 sp4_v_b_16 -buffer sp4_h_r_12 local_g1_4 -buffer sp4_h_r_15 local_g0_7 -buffer sp4_h_r_17 local_g1_1 -buffer sp4_h_r_19 local_g1_3 -buffer sp4_h_r_34 local_g3_2 -buffer sp4_h_r_5 local_g1_5 -buffer sp4_r_v_b_39 local_g2_7 -buffer sp4_r_v_b_8 local_g2_0 -buffer sp4_v_b_20 local_g0_4 -buffer sp4_v_b_38 local_g2_6 -buffer sp4_v_b_43 local_g2_3 -buffer sp4_v_b_44 local_g2_4 -buffer sp4_v_b_46 local_g3_6 -buffer sp4_v_b_5 local_g0_5 -buffer sp4_v_b_8 local_g1_0 -routing sp12_v_t_22 sp12_h_r_1 -routing sp12_v_t_23 sp12_h_r_0 -routing sp12_v_t_23 sp12_v_b_0 -routing sp4_h_l_36 sp4_h_r_4 -routing sp4_h_l_37 sp4_h_r_0 -routing sp4_h_l_40 sp4_h_r_1 -routing sp4_h_r_4 sp4_h_l_37 -routing sp4_h_r_5 sp4_h_l_36 -routing sp4_v_b_5 sp4_h_r_11 -routing sp4_v_t_40 sp4_h_r_5 -routing sp4_v_t_43 sp4_h_r_6 - -.logic_tile 4 7 -LC_0 0100000000000000 0100 DffEnable -LC_1 0100000000000000 0100 DffEnable -LC_2 0100000000000000 0100 DffEnable -LC_3 0100000000000000 0100 DffEnable -LC_4 0100000000000000 0100 DffEnable -LC_5 0100000000000000 0100 DffEnable -LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_0/in_0 -buffer local_g0_1 lutff_3/in_0 -buffer local_g0_2 lutff_6/in_0 -buffer local_g0_7 lutff_7/in_0 -buffer local_g1_2 lutff_1/in_0 -buffer local_g1_5 lutff_2/in_0 -buffer local_g2_1 lutff_5/in_0 -buffer local_g2_2 lutff_global/cen -buffer local_g3_3 lutff_4/in_0 -buffer lutff_0/out sp12_h_r_8 -buffer lutff_0/out sp4_r_v_b_33 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_1/out sp4_v_b_34 -buffer lutff_2/out sp4_h_r_4 -buffer lutff_3/out sp4_h_r_22 -buffer lutff_3/out sp4_r_v_b_39 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_4/out sp4_v_b_24 -buffer lutff_5/out sp12_h_r_18 -buffer lutff_6/out sp4_h_r_12 -buffer lutff_7/out sp12_h_r_22 -buffer lutff_7/out sp4_r_v_b_47 -buffer lutff_7/out sp4_v_b_14 -buffer neigh_op_bot_2 local_g0_2 -buffer neigh_op_tnr_3 local_g3_3 -buffer neigh_op_top_0 local_g0_0 -buffer sp12_h_r_18 sp4_h_r_21 -buffer sp12_h_r_2 sp4_h_r_13 -buffer sp12_h_r_21 local_g1_5 -buffer sp12_h_r_22 sp4_h_r_23 -buffer sp4_h_r_34 local_g2_2 -buffer sp4_r_v_b_2 local_g1_2 -buffer sp4_r_v_b_34 local_g0_1 -buffer sp4_r_v_b_9 local_g2_1 -buffer sp4_v_b_7 local_g0_7 -routing sp4_h_l_42 sp4_h_r_3 -routing sp4_h_l_42 sp4_v_t_37 -routing sp4_h_r_11 sp4_v_b_11 -routing sp4_h_r_8 sp4_v_b_1 -routing sp4_v_b_3 sp4_v_t_38 -routing sp4_v_b_6 sp4_h_r_6 - -.logic_tile 9 1 -LC_0 0000101100000000 0000 -LC_3 1010010101011010 0000 -LC_4 0101001100000000 0100 DffEnable -LC_6 0101001100000000 0100 DffEnable -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_2 lutff_global/cen -buffer local_g0_4 lutff_4/in_0 -buffer local_g0_6 lutff_6/in_0 -buffer local_g1_0 lutff_6/in_1 -buffer local_g1_1 lutff_0/in_0 -buffer local_g1_2 lutff_4/in_1 -buffer local_g1_3 lutff_0/in_2 -buffer local_g2_3 lutff_3/in_2 -buffer local_g3_1 lutff_4/in_2 -buffer local_g3_1 lutff_6/in_2 -buffer local_g3_2 lutff_0/in_1 -buffer lutff_0/out sp12_v_b_16 -buffer lutff_0/out sp4_h_r_16 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_3/out sp4_h_r_22 -buffer lutff_4/out local_g0_4 -buffer lutff_4/out sp12_v_b_8 -buffer lutff_4/out sp4_r_v_b_41 -buffer lutff_6/out local_g0_6 -buffer lutff_6/out sp12_v_b_12 -buffer neigh_op_bot_0 local_g1_0 -buffer neigh_op_bot_2 local_g1_2 -buffer sp12_h_r_19 local_g1_3 -buffer sp12_v_b_10 local_g3_2 -buffer sp4_h_r_10 local_g0_2 -buffer sp4_r_v_b_17 local_g3_1 -buffer sp4_r_v_b_25 local_g1_1 -buffer sp4_r_v_b_35 local_g2_3 -routing sp12_h_l_23 sp12_v_t_23 -routing sp4_h_l_37 sp4_v_t_37 -routing sp4_h_l_38 sp4_v_t_45 -routing sp4_h_l_42 sp4_h_r_10 -routing sp4_h_l_44 sp4_v_t_39 -routing sp4_h_r_11 sp4_v_t_46 -routing sp4_h_r_7 sp4_v_t_36 -routing sp4_v_t_38 sp4_h_r_8 -routing sp4_v_t_46 sp4_h_l_46 - -.logic_tile 6 6 -LC_0 0000000011111110 0000 -LC_1 1000000000000000 0000 -LC_2 1000100000001111 0000 -LC_3 0000000000000010 0000 -LC_4 0010000000000000 0000 -LC_5 0000000011100000 0000 -LC_6 1000000000000000 0000 -LC_7 1000000000000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_3/in_1 -buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_4/in_1 -buffer local_g0_4 lutff_5/in_3 -buffer local_g0_5 lutff_3/in_0 -buffer local_g0_5 lutff_7/in_0 -buffer local_g0_6 lutff_0/in_0 -buffer local_g0_7 lutff_6/in_1 -buffer local_g1_0 lutff_3/in_2 -buffer local_g1_1 lutff_0/in_2 -buffer local_g1_3 lutff_7/in_1 -buffer local_g1_4 lutff_5/in_0 -buffer local_g1_5 lutff_5/in_1 -buffer local_g1_6 lutff_1/in_0 -buffer local_g1_7 lutff_1/in_1 -buffer local_g1_7 lutff_2/in_2 -buffer local_g2_0 lutff_1/in_3 -buffer local_g2_1 lutff_2/in_3 -buffer local_g2_1 lutff_5/in_2 -buffer local_g2_2 lutff_3/in_3 -buffer local_g2_3 lutff_0/in_3 -buffer local_g2_4 lutff_2/in_0 -buffer local_g2_5 lutff_0/in_1 -buffer local_g2_6 lutff_4/in_0 -buffer local_g2_7 lutff_6/in_3 -buffer local_g3_2 lutff_1/in_2 -buffer local_g3_3 lutff_6/in_2 -buffer local_g3_6 lutff_2/in_1 -buffer local_g3_7 lutff_6/in_0 -buffer lutff_0/out sp4_r_v_b_33 -buffer lutff_1/out local_g1_1 -buffer lutff_2/out local_g2_2 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_4/out local_g0_4 -buffer lutff_5/out local_g0_5 -buffer lutff_6/out sp12_h_r_20 -buffer lutff_7/out sp12_v_b_14 -buffer lutff_7/out sp4_h_r_46 -buffer lutff_7/out sp4_r_v_b_31 -buffer neigh_op_bnr_0 local_g1_0 -buffer neigh_op_bnr_3 local_g0_3 -buffer neigh_op_rgt_0 local_g2_0 -buffer neigh_op_tnl_6 local_g2_6 -buffer neigh_op_tnl_6 local_g3_6 -buffer sp12_h_r_10 sp4_h_r_17 -buffer sp12_h_r_20 local_g1_4 -buffer sp12_h_r_6 local_g0_6 -buffer sp12_h_r_8 local_g0_0 -buffer sp12_v_b_15 sp4_v_b_19 -buffer sp4_h_r_15 local_g1_7 -buffer sp4_h_r_19 local_g1_3 -buffer sp4_h_r_21 local_g1_5 -buffer sp4_h_r_23 local_g0_7 -buffer sp4_h_r_35 local_g3_3 -buffer sp4_h_r_47 local_g3_7 -buffer sp4_r_v_b_33 local_g0_2 -buffer sp4_r_v_b_36 local_g2_4 -buffer sp4_r_v_b_37 local_g2_5 -buffer sp4_v_b_22 local_g1_6 -buffer sp4_v_b_25 local_g2_1 -buffer sp4_v_b_31 local_g2_7 -buffer sp4_v_b_34 local_g3_2 -buffer sp4_v_b_43 local_g2_3 -routing sp4_h_l_41 sp4_v_t_44 -routing sp4_h_l_43 sp4_v_b_0 -routing sp4_h_r_10 sp4_h_l_36 -routing sp4_h_r_11 sp4_h_l_46 -routing sp4_h_r_11 sp4_v_b_4 -routing sp4_v_b_0 sp4_v_t_37 -routing sp4_v_b_7 sp4_v_t_47 -routing sp4_v_b_8 sp4_h_r_8 -routing sp4_v_t_43 sp4_v_b_2 -routing sp4_v_t_44 sp4_h_r_9 - -.logic_tile 5 6 -LC_0 1001000000001001 0000 -LC_1 0000000000000001 0000 -LC_2 1001000000001001 0000 -LC_3 1001000000001001 0000 -LC_4 1000000000000000 0000 -LC_5 0100000000000000 0100 DffEnable -LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_1/in_1 -buffer local_g0_2 lutff_1/in_3 -buffer local_g0_3 lutff_2/in_3 -buffer local_g0_4 lutff_4/in_0 -buffer local_g0_5 lutff_2/in_1 -buffer local_g0_6 lutff_0/in_2 -buffer local_g1_0 lutff_1/in_2 -buffer local_g1_3 lutff_4/in_2 -buffer local_g1_4 lutff_0/in_1 -buffer local_g1_5 lutff_global/s_r -buffer local_g1_6 lutff_0/in_3 -buffer local_g1_6 lutff_4/in_1 -buffer local_g1_7 lutff_3/in_1 -buffer local_g2_0 lutff_3/in_3 -buffer local_g2_3 lutff_1/in_0 -buffer local_g2_4 lutff_2/in_2 -buffer local_g2_5 lutff_3/in_2 -buffer local_g2_6 lutff_2/in_0 -buffer local_g2_7 lutff_3/in_0 -buffer local_g2_7 lutff_7/in_0 -buffer local_g3_1 lutff_6/in_0 -buffer local_g3_3 lutff_global/cen -buffer local_g3_4 lutff_4/in_3 -buffer local_g3_5 lutff_0/in_0 -buffer local_g3_6 lutff_5/in_0 -buffer lutff_0/out local_g1_0 -buffer lutff_1/out sp4_h_r_2 -buffer lutff_2/out local_g0_2 -buffer lutff_3/out local_g2_3 -buffer lutff_4/out sp4_h_r_8 -buffer lutff_5/out local_g0_5 -buffer lutff_5/out sp4_h_r_10 -buffer lutff_6/out sp4_h_r_44 -buffer lutff_6/out sp4_r_v_b_45 -buffer lutff_7/out local_g1_7 -buffer lutff_7/out sp4_r_v_b_31 -buffer neigh_op_lft_0 local_g0_0 -buffer neigh_op_lft_3 local_g0_3 -buffer neigh_op_lft_4 local_g0_4 -buffer neigh_op_lft_4 local_g1_4 -buffer neigh_op_lft_6 local_g1_6 -buffer neigh_op_rgt_3 local_g3_3 -buffer sp12_h_r_14 local_g0_6 -buffer sp12_h_r_6 sp4_h_r_15 -buffer sp12_v_b_14 local_g2_6 -buffer sp12_v_b_14 local_g3_6 -buffer sp12_v_b_17 sp4_v_b_20 -buffer sp4_h_r_40 local_g2_0 -buffer sp4_h_r_44 local_g3_4 -buffer sp4_r_v_b_13 local_g2_5 -buffer sp4_r_v_b_21 local_g3_5 -buffer sp4_r_v_b_41 local_g3_1 -buffer sp4_v_b_11 local_g1_3 -buffer sp4_v_b_13 local_g1_5 -buffer sp4_v_b_36 local_g2_4 -buffer sp4_v_b_39 local_g2_7 -routing sp12_v_t_22 sp12_v_b_1 -routing sp4_h_l_36 sp4_v_t_36 -routing sp4_v_b_3 sp4_v_t_38 -routing sp4_v_b_9 sp4_v_t_37 -routing sp4_v_t_40 sp4_h_r_5 - -.logic_tile 2 16 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000000000000 1000 CarryEnable -LC_3 0000000000000000 1000 CarryEnable -LC_4 0000000000000000 1000 CarryEnable -LC_5 0000000000000000 1000 CarryEnable -LC_6 0000000000000000 1000 CarryEnable -LC_7 0000000011111111 0000 -buffer local_g0_0 lutff_1/in_1 -buffer local_g0_1 lutff_1/in_2 -buffer local_g0_4 lutff_2/in_2 -buffer local_g0_5 lutff_5/in_2 -buffer local_g1_1 lutff_4/in_2 -buffer local_g1_2 lutff_3/in_2 -buffer local_g1_3 lutff_6/in_2 -buffer local_g3_2 lutff_0/in_1 -buffer lutff_6/cout lutff_7/in_3 -buffer lutff_7/out sp12_v_b_14 -buffer neigh_op_bnl_2 local_g3_2 -buffer neigh_op_bot_1 local_g1_1 -buffer neigh_op_bot_2 local_g1_2 -buffer neigh_op_bot_4 local_g0_4 -buffer neigh_op_bot_5 local_g0_5 -buffer sp12_h_r_19 local_g1_3 -buffer sp4_v_b_1 local_g0_1 -buffer sp4_v_b_16 local_g0_0 -routing sp12_h_r_0 sp12_v_b_0 -routing sp4_v_b_1 sp4_h_l_43 - -.logic_tile 11 2 -LC_0 0111000000000000 0110 DffEnable Set_NoReset -LC_1 0111000000000000 0110 DffEnable Set_NoReset -LC_2 0111000000000000 0110 DffEnable Set_NoReset -LC_3 0111000000000000 0110 DffEnable Set_NoReset -LC_4 0111000000000000 0110 DffEnable Set_NoReset -LC_5 0111000000000000 0110 DffEnable Set_NoReset -LC_6 0100000000000000 0110 DffEnable Set_NoReset -LC_7 0111000000000000 0110 DffEnable Set_NoReset -buffer glb_netwk_5 lutff_global/cen -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_4 lutff_1/in_1 -buffer local_g0_6 lutff_0/in_0 -buffer local_g0_6 lutff_2/in_0 -buffer local_g0_6 lutff_4/in_0 -buffer local_g0_6 lutff_6/in_0 -buffer local_g0_7 lutff_1/in_0 -buffer local_g0_7 lutff_3/in_0 -buffer local_g0_7 lutff_5/in_0 -buffer local_g0_7 lutff_7/in_0 -buffer local_g1_3 lutff_7/in_1 -buffer local_g1_4 lutff_0/in_1 -buffer local_g1_5 lutff_global/s_r -buffer local_g1_6 lutff_4/in_1 -buffer local_g1_7 lutff_5/in_1 -buffer local_g2_0 lutff_3/in_1 -buffer local_g2_3 lutff_2/in_1 -buffer lutff_5/out sp4_v_b_26 -buffer neigh_op_bot_4 local_g1_4 -buffer neigh_op_top_3 local_g1_3 -buffer neigh_op_top_4 local_g0_4 -buffer neigh_op_top_6 local_g1_6 -buffer neigh_op_top_7 local_g1_7 -buffer sp12_h_r_22 local_g0_6 -buffer sp12_h_r_22 sp4_h_r_23 -buffer sp12_v_b_11 local_g2_3 -buffer sp4_h_r_23 local_g0_7 -buffer sp4_v_b_13 local_g1_5 -buffer sp4_v_b_24 local_g2_0 -routing sp4_v_b_10 sp4_v_t_36 -routing sp4_v_b_4 sp4_h_l_44 - -.logic_tile 7 7 -LC_0 0001000000000000 0000 -LC_1 0000000000001110 0000 -LC_2 1001000000001001 0000 -LC_3 1000000000000000 0000 -LC_4 1000000000000000 0000 -LC_5 0100000000000000 0100 DffEnable -LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_3/in_1 -buffer local_g0_1 lutff_1/in_2 -buffer local_g0_2 lutff_6/in_0 -buffer local_g0_3 lutff_0/in_1 -buffer local_g0_4 lutff_0/in_0 -buffer local_g0_5 lutff_2/in_1 -buffer local_g0_5 lutff_3/in_2 -buffer local_g0_6 lutff_2/in_2 -buffer local_g1_0 lutff_4/in_1 -buffer local_g1_1 lutff_1/in_3 -buffer local_g1_3 lutff_3/in_3 -buffer local_g1_7 lutff_4/in_0 -buffer local_g2_0 lutff_1/in_1 -buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_7/in_0 -buffer local_g2_4 lutff_4/in_2 -buffer local_g3_0 lutff_1/in_0 -buffer local_g3_2 lutff_5/in_0 -buffer local_g3_3 lutff_2/in_0 -buffer local_g3_4 lutff_2/in_3 -buffer local_g3_4 lutff_4/in_3 -buffer local_g3_6 lutff_3/in_0 -buffer lutff_0/out sp4_r_v_b_1 -buffer lutff_0/out sp4_v_b_0 -buffer lutff_1/out sp4_r_v_b_19 -buffer lutff_2/out sp12_v_b_20 -buffer lutff_3/out local_g0_3 -buffer lutff_4/out local_g0_4 -buffer lutff_5/out sp4_h_r_10 -buffer lutff_5/out sp4_v_b_42 -buffer lutff_6/out sp4_v_b_12 -buffer lutff_7/out sp4_r_v_b_15 -buffer lutff_7/out sp4_v_b_14 -buffer neigh_op_lft_1 local_g0_1 -buffer neigh_op_top_7 local_g1_7 -buffer sp12_h_r_2 local_g0_2 -buffer sp12_v_b_6 local_g3_6 -buffer sp4_h_r_14 local_g0_6 -buffer sp4_h_r_26 local_g3_2 -buffer sp4_h_r_27 local_g2_3 -buffer sp4_h_r_40 local_g2_0 -buffer sp4_h_r_43 local_g3_3 -buffer sp4_r_v_b_12 local_g2_4 -buffer sp4_r_v_b_20 local_g3_4 -buffer sp4_r_v_b_24 local_g0_0 -buffer sp4_v_b_1 local_g1_1 -buffer sp4_v_b_11 local_g1_3 -buffer sp4_v_b_21 local_g0_5 -buffer sp4_v_b_32 local_g3_0 -buffer sp4_v_b_34 local_g2_2 -buffer sp4_v_b_8 local_g1_0 -routing sp4_h_r_10 sp4_v_t_47 -routing sp4_h_r_4 sp4_v_b_9 -routing sp4_h_r_4 sp4_v_t_41 -routing sp4_h_r_6 sp4_v_b_11 -routing sp4_v_b_5 sp4_v_t_45 -routing sp4_v_b_6 sp4_h_r_6 -routing sp4_v_b_9 sp4_v_t_40 -routing sp4_v_t_37 sp4_h_r_5 -routing sp4_v_t_42 sp4_h_r_7 -routing sp4_v_t_45 sp4_h_l_39 - -.logic_tile 2 1 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0110100110010110 1100 CarryEnable DffEnable -LC_3 0110100110010110 0100 DffEnable -LC_4 1010010101011010 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_2 lutff_2/in_2 -buffer local_g0_3 lutff_3/in_2 -buffer local_g0_4 lutff_4/in_2 -buffer local_g1_2 lutff_1/in_2 -buffer local_g1_3 lutff_global/cen -buffer local_g1_4 lutff_0/in_1 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out local_g0_2 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_3/out local_g0_3 -buffer lutff_3/out sp4_h_r_22 -buffer lutff_4/out local_g0_4 -buffer lutff_4/out local_g1_4 -buffer lutff_4/out sp12_h_r_16 -buffer sp12_h_r_18 local_g1_2 -buffer sp4_h_r_3 local_g1_3 - -.logic_tile 1 15 -LC_0 0000000011110111 0000 -LC_2 1000000000000000 0000 -LC_3 1000000000000000 0000 -LC_6 1000000000000000 0000 -buffer carry_in carry_in_mux -buffer carry_in_mux lutff_0/in_3 -buffer local_g0_1 lutff_3/in_0 -buffer local_g0_5 lutff_0/in_1 -buffer local_g1_1 lutff_0/in_0 -buffer local_g1_3 lutff_2/in_0 -buffer local_g1_5 lutff_6/in_0 -buffer local_g2_0 lutff_0/in_2 -buffer lutff_0/out sp4_h_r_0 -buffer lutff_0/out sp4_h_r_32 -buffer lutff_0/out sp4_r_v_b_1 -buffer lutff_2/out sp4_v_b_20 -buffer lutff_3/out sp4_v_b_22 -buffer neigh_op_rgt_0 local_g2_0 -buffer sp12_h_r_13 local_g0_5 -buffer sp12_h_r_13 local_g1_5 -buffer sp12_h_r_17 local_g1_1 -buffer sp12_h_r_9 local_g0_1 -buffer sp4_v_b_11 local_g1_3 - -.logic_tile 12 5 -ColBufCtrl glb_netwk_6 -ColBufCtrl glb_netwk_7 -buffer sp12_h_r_16 sp4_h_r_20 -routing sp4_v_b_11 sp4_v_t_39 -routing sp4_v_b_11 sp4_v_t_46 -routing sp4_v_t_36 sp4_h_r_1 - -.logic_tile 4 12 -ColBufCtrl glb_netwk_6 -LC_0 0001000000000000 0000 -LC_1 0000001000000000 0000 -LC_2 0000000000001001 0000 -LC_3 0000000000001000 0000 -LC_4 1000000000000000 0000 -LC_5 0000100000000000 0000 -LC_6 0000100000000000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_1 lutff_3/in_0 -buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_1/in_0 -buffer local_g0_3 lutff_3/in_2 -buffer local_g0_4 lutff_0/in_0 -buffer local_g0_4 lutff_6/in_2 -buffer local_g0_5 lutff_7/in_0 -buffer local_g0_6 lutff_4/in_0 -buffer local_g0_7 lutff_6/in_1 -buffer local_g1_1 lutff_1/in_1 -buffer local_g1_1 lutff_2/in_0 -buffer local_g1_2 lutff_4/in_1 -buffer local_g1_3 lutff_2/in_2 -buffer local_g1_4 lutff_0/in_1 -buffer local_g1_4 lutff_5/in_2 -buffer local_g1_5 lutff_3/in_1 -buffer local_g1_5 lutff_6/in_0 -buffer local_g1_6 lutff_1/in_2 -buffer local_g1_6 lutff_2/in_3 -buffer local_g1_7 lutff_3/in_3 -buffer local_g2_0 lutff_4/in_2 -buffer local_g2_7 lutff_4/in_3 -buffer local_g3_0 lutff_5/in_0 -buffer local_g3_6 lutff_2/in_1 -buffer local_g3_7 lutff_5/in_1 -buffer lutff_0/out sp4_r_v_b_1 -buffer lutff_1/out sp4_h_r_34 -buffer lutff_2/out sp4_v_b_4 -buffer lutff_4/out local_g0_4 -buffer lutff_4/out sp4_v_b_8 -buffer lutff_6/out local_g1_6 -buffer lutff_7/out local_g2_7 -buffer lutff_7/out local_g3_7 -buffer lutff_7/out sp4_h_r_30 -buffer lutff_7/out sp4_h_r_46 -buffer neigh_op_bot_2 local_g0_2 -buffer neigh_op_bot_7 local_g0_7 -buffer neigh_op_bot_7 local_g1_7 -buffer neigh_op_rgt_6 local_g3_6 -buffer sp12_h_r_12 local_g1_4 -buffer sp12_h_r_18 local_g1_2 -buffer sp12_h_r_21 local_g0_5 -buffer sp4_h_r_19 local_g0_3 -buffer sp4_h_r_19 local_g1_3 -buffer sp4_h_r_21 local_g1_5 -buffer sp4_h_r_32 local_g2_0 -buffer sp4_h_r_32 local_g3_0 -buffer sp4_v_b_6 local_g0_6 -buffer sp4_v_b_9 local_g0_1 -buffer sp4_v_b_9 local_g1_1 -routing sp4_h_r_10 sp4_v_b_10 -routing sp4_h_r_10 sp4_v_b_3 -routing sp4_h_r_11 sp4_h_l_42 -routing sp4_v_b_6 sp4_h_r_0 -routing sp4_v_b_9 sp4_h_l_39 -routing sp4_v_b_9 sp4_h_r_3 - -.logic_tile 7 16 -LC_0 0000110001010111 0000 -LC_1 1110000000000000 0000 -LC_2 0010000000000000 0000 -LC_3 0000000100000000 0000 -LC_4 0001000000000000 0000 -LC_5 0110000000000000 0000 -LC_6 0010100011010111 0000 -LC_7 1000001000101000 0000 -buffer local_g0_0 lutff_4/in_0 -buffer local_g0_1 lutff_0/in_1 -buffer local_g0_2 lutff_5/in_1 -buffer local_g0_3 lutff_2/in_1 -buffer local_g0_4 lutff_6/in_2 -buffer local_g0_5 lutff_0/in_3 -buffer local_g0_5 lutff_3/in_0 -buffer local_g0_5 lutff_7/in_2 -buffer local_g0_6 lutff_0/in_2 -buffer local_g0_6 lutff_7/in_3 -buffer local_g0_7 lutff_1/in_2 -buffer local_g1_0 lutff_5/in_0 -buffer local_g1_1 lutff_7/in_1 -buffer local_g1_2 lutff_4/in_1 -buffer local_g1_3 lutff_6/in_0 -buffer local_g1_4 lutff_6/in_1 -buffer local_g1_5 lutff_2/in_0 -buffer local_g1_7 lutff_0/in_0 -buffer local_g2_5 lutff_1/in_0 -buffer local_g2_5 lutff_3/in_2 -buffer local_g2_6 lutff_1/in_1 -buffer local_g2_6 lutff_3/in_1 -buffer local_g2_7 lutff_6/in_3 -buffer local_g3_4 lutff_7/in_0 -buffer lutff_1/out local_g0_1 -buffer lutff_1/out local_g1_1 -buffer lutff_3/out local_g0_3 -buffer lutff_3/out local_g1_3 -buffer lutff_4/out local_g1_4 -buffer lutff_5/out local_g0_5 -buffer neigh_op_bot_4 local_g0_4 -buffer neigh_op_bot_5 local_g1_5 -buffer neigh_op_bot_6 local_g0_6 -buffer sp12_v_b_4 local_g3_4 -buffer sp4_h_r_16 local_g0_0 -buffer sp4_h_r_16 local_g1_0 -buffer sp4_r_v_b_26 local_g0_2 -buffer sp4_r_v_b_26 local_g1_2 -buffer sp4_r_v_b_38 local_g2_6 -buffer sp4_v_b_23 local_g0_7 -buffer sp4_v_b_23 local_g1_7 -buffer sp4_v_b_29 local_g2_5 -buffer sp4_v_b_31 local_g2_7 -routing sp4_h_l_38 sp4_v_b_3 - -.logic_tile 2 12 -ColBufCtrl glb_netwk_0 -ColBufCtrl glb_netwk_6 -LC_0 1000000000000000 0000 -LC_1 0000101100000000 0000 -LC_2 0000000000001011 0000 -LC_3 0100000000000000 0100 DffEnable -LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_2/in_0 -buffer local_g0_1 lutff_0/in_1 -buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_1/in_2 -buffer local_g0_7 lutff_7/in_0 -buffer local_g1_0 lutff_1/in_0 -buffer local_g1_2 lutff_2/in_1 -buffer local_g1_7 lutff_0/in_2 -buffer local_g2_1 lutff_2/in_3 -buffer local_g2_2 lutff_0/in_0 -buffer local_g2_2 lutff_6/in_0 -buffer local_g2_6 lutff_2/in_2 -buffer local_g3_3 lutff_1/in_1 -buffer local_g3_4 lutff_0/in_3 -buffer local_g3_4 lutff_3/in_0 -buffer lutff_0/out sp12_h_r_8 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_1/out sp12_v_b_2 -buffer lutff_2/out sp4_h_r_4 -buffer neigh_op_lft_7 local_g0_7 -buffer neigh_op_lft_7 local_g1_7 -buffer sp12_v_b_22 local_g2_6 -buffer sp4_h_r_10 local_g1_2 -buffer sp4_h_r_18 local_g0_2 -buffer sp4_h_r_26 local_g2_2 -buffer sp4_r_v_b_0 local_g1_0 -buffer sp4_r_v_b_34 local_g0_1 -buffer sp4_r_v_b_44 local_g3_4 -buffer sp4_v_b_16 local_g0_0 -buffer sp4_v_b_19 local_g0_3 -buffer sp4_v_b_33 local_g2_1 -buffer sp4_v_b_43 local_g3_3 -routing sp4_h_l_42 sp4_v_t_42 -routing sp4_h_r_10 sp4_v_b_10 -routing sp4_h_r_11 sp4_v_b_11 -routing sp4_h_r_6 sp4_v_t_43 -routing sp4_h_r_9 sp4_v_b_2 -routing sp4_h_r_9 sp4_v_b_9 -routing sp4_v_b_6 sp4_h_r_0 - -.logic_tile 9 4 -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_5 -ColBufCtrl glb_netwk_6 -LC_0 1010110000000000 0000 -LC_1 0101000000110000 0000 -LC_2 0101000000110000 0000 -LC_3 1110111011100000 0000 -LC_4 0000000010000000 0000 -LC_5 0101000000110000 0000 -LC_6 0101000000110000 0000 -LC_7 1100010100000000 0110 DffEnable Set_NoReset -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_7/in_1 -buffer local_g0_1 lutff_5/in_0 -buffer local_g0_2 lutff_4/in_0 -buffer local_g0_3 lutff_4/in_3 -buffer local_g0_4 lutff_0/in_2 -buffer local_g0_4 lutff_1/in_3 -buffer local_g0_4 lutff_5/in_3 -buffer local_g0_5 lutff_2/in_1 -buffer local_g0_6 lutff_3/in_3 -buffer local_g1_0 lutff_1/in_2 -buffer local_g1_1 lutff_4/in_2 -buffer local_g1_2 lutff_7/in_2 -buffer local_g1_3 lutff_global/cen -buffer local_g1_4 lutff_2/in_3 -buffer local_g1_4 lutff_3/in_2 -buffer local_g1_4 lutff_6/in_3 -buffer local_g1_5 lutff_2/in_0 -buffer local_g1_6 lutff_6/in_1 -buffer local_g1_7 lutff_6/in_2 -buffer local_g2_1 lutff_1/in_0 -buffer local_g2_2 lutff_2/in_2 -buffer local_g2_3 lutff_4/in_1 -buffer local_g2_4 lutff_5/in_1 -buffer local_g2_5 lutff_3/in_0 -buffer local_g2_6 lutff_6/in_0 -buffer local_g2_7 lutff_7/in_0 -buffer local_g3_1 lutff_1/in_1 -buffer local_g3_3 lutff_3/in_1 -buffer local_g3_4 lutff_0/in_1 -buffer local_g3_6 lutff_5/in_2 -buffer local_g3_7 lutff_0/in_0 -buffer lutff_0/out local_g0_0 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_3/out local_g0_3 -buffer lutff_4/out sp4_h_r_24 -buffer lutff_5/out sp12_h_r_18 -buffer lutff_6/out sp4_h_r_12 -buffer lutff_7/out local_g3_7 -buffer lutff_7/out sp12_h_r_6 -buffer lutff_7/out sp4_h_r_30 -buffer neigh_op_bnl_2 local_g2_2 -buffer neigh_op_bnl_3 local_g2_3 -buffer neigh_op_bnl_6 local_g3_6 -buffer neigh_op_bnr_6 local_g1_6 -buffer neigh_op_lft_0 local_g1_0 -buffer neigh_op_lft_2 local_g0_2 -buffer neigh_op_rgt_4 local_g3_4 -buffer sp12_v_b_15 sp4_v_b_19 -buffer sp12_v_b_3 local_g3_3 -buffer sp12_v_b_5 sp4_v_b_14 -buffer sp12_v_b_7 local_g2_7 -buffer sp4_h_r_13 local_g1_5 -buffer sp4_h_r_17 local_g0_1 -buffer sp4_h_r_22 local_g0_6 -buffer sp4_h_r_25 local_g3_1 -buffer sp4_h_r_38 local_g2_6 -buffer sp4_h_r_41 local_g2_1 -buffer sp4_h_r_44 local_g2_4 -buffer sp4_r_v_b_26 local_g1_2 -buffer sp4_r_v_b_28 local_g0_4 -buffer sp4_r_v_b_28 local_g1_4 -buffer sp4_r_v_b_29 local_g0_5 -buffer sp4_r_v_b_37 local_g2_5 -buffer sp4_v_b_19 local_g1_3 -buffer sp4_v_b_7 local_g1_7 -buffer sp4_v_b_9 local_g1_1 -routing sp4_h_l_36 sp4_v_t_36 -routing sp4_h_l_41 sp4_h_r_0 -routing sp4_h_l_41 sp4_v_b_4 -routing sp4_h_l_44 sp4_v_b_3 -routing sp4_h_l_46 sp4_v_b_11 -routing sp4_v_b_5 sp4_h_r_11 -routing sp4_v_b_9 sp4_h_r_3 - -.logic_tile 12 16 -LC_0 0100000000000000 0100 DffEnable -LC_2 0100000000000000 0100 DffEnable -LC_3 0100000000000000 0100 DffEnable -buffer glb_netwk_6 lutff_global/clk -buffer glb_netwk_7 lutff_global/cen -buffer local_g0_0 lutff_0/in_0 -buffer local_g0_1 lutff_3/in_0 -buffer local_g0_4 lutff_global/s_r -buffer local_g2_4 lutff_2/in_0 -buffer lutff_2/out sp4_v_b_36 -buffer lutff_3/out sp4_h_r_38 -buffer sp12_v_b_1 sp4_v_b_12 -buffer sp12_v_b_4 local_g2_4 -buffer sp4_h_r_12 local_g0_4 -buffer sp4_v_b_0 local_g0_0 -buffer sp4_v_b_1 local_g0_1 -routing sp12_h_l_22 sp12_v_b_1 - -.logic_tile 5 1 -LC_0 0000000000000000 1000 CarryEnable -LC_1 0110100110010110 1000 CarryEnable -LC_2 0110100110010110 1000 CarryEnable -LC_3 0110100110010110 0000 -LC_4 0000000000000001 0000 -LC_5 0010000000000000 0100 DffEnable -LC_7 0010000000000000 0100 DffEnable -buffer glb2local_1 local_g0_5 -buffer glb_netwk_0 glb2local_1 -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_4 lutff_2/in_2 -buffer local_g0_5 lutff_0/in_1 -buffer local_g0_7 lutff_4/in_3 -buffer local_g1_1 lutff_5/in_1 -buffer local_g1_3 lutff_7/in_1 -buffer local_g1_4 lutff_4/in_1 -buffer local_g1_5 lutff_0/in_2 -buffer local_g1_7 lutff_4/in_0 -buffer local_g2_5 lutff_1/in_2 -buffer local_g2_7 lutff_3/in_2 -buffer local_g3_2 lutff_5/in_0 -buffer local_g3_2 lutff_7/in_0 -buffer local_g3_5 lutff_4/in_2 -buffer lutff_0/cout lutff_1/in_3 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_1/out local_g1_1 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_3/out local_g1_3 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_4/out sp12_v_b_8 -buffer lutff_4/out sp4_r_v_b_9 -buffer lutff_5/out local_g2_5 -buffer lutff_5/out local_g3_5 -buffer lutff_7/out local_g1_7 -buffer lutff_7/out local_g2_7 -buffer neigh_op_top_4 local_g0_4 -buffer neigh_op_top_4 local_g1_4 -buffer neigh_op_top_5 local_g1_5 -buffer sp12_v_b_10 local_g3_2 -buffer sp4_v_b_23 local_g0_7 -routing sp12_h_r_1 sp12_v_t_22 -routing sp4_h_r_1 sp4_v_t_42 -routing sp4_h_r_11 sp4_v_t_46 -routing sp4_h_r_3 sp4_v_t_38 -routing sp4_v_t_37 sp4_h_r_0 - -.logic_tile 12 10 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0110100110010110 1000 CarryEnable -LC_3 0110100110010110 1000 CarryEnable -LC_4 0110100110010110 1000 CarryEnable -LC_5 0110100110010110 1000 CarryEnable -LC_6 0110100110010110 1000 CarryEnable -LC_7 0110100110010110 0000 -buffer local_g0_1 lutff_3/in_2 -buffer local_g0_2 lutff_4/in_2 -buffer local_g0_3 lutff_7/in_2 -buffer local_g0_5 lutff_0/in_1 -buffer local_g0_6 lutff_6/in_2 -buffer local_g1_0 lutff_5/in_2 -buffer local_g1_7 lutff_2/in_2 -buffer local_g2_7 lutff_1/in_2 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_3/cout lutff_4/in_3 -buffer lutff_4/cout lutff_5/in_3 -buffer lutff_5/cout lutff_6/in_3 -buffer lutff_5/out sp4_v_b_26 -buffer lutff_6/cout lutff_7/in_3 -buffer neigh_op_bnl_7 local_g2_7 -buffer neigh_op_top_0 local_g1_0 -buffer neigh_op_top_1 local_g0_1 -buffer neigh_op_top_2 local_g0_2 -buffer neigh_op_top_3 local_g0_3 -buffer neigh_op_top_6 local_g0_6 -buffer neigh_op_top_7 local_g1_7 -buffer sp12_h_r_13 local_g0_5 -routing sp4_h_l_41 sp4_v_b_4 -routing sp4_h_l_42 sp4_v_t_42 -routing sp4_v_b_0 sp4_h_l_37 -routing sp4_v_b_11 sp4_v_t_46 -routing sp4_v_t_36 sp4_h_r_1 -routing sp4_v_t_38 sp4_h_l_44 -routing sp4_v_t_47 sp4_h_l_47 - -.logic_tile 7 2 -LC_0 1000000000000000 0000 -LC_1 0001000000000000 0000 -LC_2 0001000000000000 0000 -LC_3 0000000001110000 0000 -LC_4 0000000001010011 0000 -LC_5 1001011010010110 0000 -LC_6 0001000111110001 0100 DffEnable -LC_7 0001000111110001 0100 DffEnable -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_7/in_1 -buffer local_g0_1 lutff_3/in_2 -buffer local_g0_2 lutff_0/in_0 -buffer local_g0_3 lutff_4/in_3 -buffer local_g0_4 lutff_6/in_0 -buffer local_g0_5 lutff_7/in_2 -buffer local_g0_6 lutff_1/in_1 -buffer local_g0_7 lutff_3/in_0 -buffer local_g0_7 lutff_4/in_1 -buffer local_g1_1 lutff_5/in_1 -buffer local_g1_3 lutff_4/in_0 -buffer local_g1_4 lutff_7/in_0 -buffer local_g1_5 lutff_6/in_2 -buffer local_g1_7 lutff_3/in_3 -buffer local_g1_7 lutff_4/in_2 -buffer local_g2_1 lutff_6/in_3 -buffer local_g2_2 lutff_7/in_3 -buffer local_g2_3 lutff_1/in_0 -buffer local_g2_4 lutff_3/in_1 -buffer local_g2_5 lutff_6/in_1 -buffer local_g2_7 lutff_2/in_1 -buffer local_g3_3 lutff_2/in_0 -buffer lutff_1/out local_g1_1 -buffer lutff_1/out local_g2_1 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_2/out local_g2_2 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_4/out local_g0_4 -buffer lutff_4/out local_g1_4 -buffer lutff_5/out local_g2_5 -buffer lutff_5/out sp12_h_r_2 -buffer lutff_5/out sp4_h_r_42 -buffer lutff_6/out local_g0_6 -buffer lutff_7/out local_g2_7 -buffer neigh_op_lft_1 local_g0_1 -buffer neigh_op_lft_5 local_g0_5 -buffer neigh_op_lft_5 local_g1_5 -buffer neigh_op_lft_7 local_g0_7 -buffer neigh_op_top_2 local_g0_2 -buffer sp12_h_r_11 local_g1_3 -buffer sp12_v_b_19 sp4_v_b_21 -buffer sp4_h_r_19 local_g0_3 -buffer sp4_r_v_b_24 local_g0_0 -buffer sp4_r_v_b_31 local_g1_7 -buffer sp4_r_v_b_36 local_g2_4 -buffer sp4_v_b_27 local_g2_3 -buffer sp4_v_b_27 local_g3_3 -routing sp4_h_r_9 sp4_v_t_38 - -.logic_tile 6 14 -LC_0 0111110100000000 0000 -LC_1 1111111101010011 0000 -LC_2 1111111101010011 0000 -LC_3 0000000000101111 0100 DffEnable -LC_4 0000000000101111 0100 DffEnable -LC_6 0000000000101111 0100 DffEnable -LC_7 0010001011110000 0100 DffEnable -buffer glb_netwk_3 lutff_global/cen -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_3/in_1 -buffer local_g0_1 lutff_2/in_1 -buffer local_g0_2 lutff_0/in_0 -buffer local_g0_2 lutff_4/in_0 -buffer local_g0_2 lutff_6/in_0 -buffer local_g0_3 lutff_0/in_3 -buffer local_g0_3 lutff_2/in_3 -buffer local_g0_3 lutff_3/in_2 -buffer local_g0_4 lutff_2/in_2 -buffer local_g0_5 lutff_6/in_3 -buffer local_g0_6 lutff_1/in_3 -buffer local_g0_6 lutff_4/in_2 -buffer local_g0_6 lutff_6/in_2 -buffer local_g0_6 lutff_7/in_3 -buffer local_g0_7 lutff_1/in_0 -buffer local_g1_0 lutff_6/in_1 -buffer local_g1_1 lutff_3/in_3 -buffer local_g1_2 lutff_3/in_0 -buffer local_g1_2 lutff_7/in_0 -buffer local_g1_3 lutff_1/in_1 -buffer local_g1_4 lutff_4/in_1 -buffer local_g1_5 lutff_2/in_0 -buffer local_g1_6 lutff_7/in_2 -buffer local_g1_7 lutff_7/in_1 -buffer local_g2_1 lutff_1/in_2 -buffer local_g2_6 lutff_0/in_2 -buffer local_g2_7 lutff_0/in_1 -buffer local_g3_2 lutff_4/in_3 -buffer lutff_0/out sp4_r_v_b_1 -buffer lutff_1/out local_g1_1 -buffer lutff_2/out local_g3_2 -buffer lutff_3/out sp4_h_r_6 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_6/out sp4_v_b_28 -buffer lutff_7/out sp4_r_v_b_15 -buffer neigh_op_top_3 local_g1_3 -buffer neigh_op_top_5 local_g0_5 -buffer neigh_op_top_6 local_g1_6 -buffer sp12_h_r_20 local_g1_4 -buffer sp12_h_r_8 local_g0_0 -buffer sp12_v_b_1 sp4_v_b_12 -buffer sp4_h_r_12 local_g0_4 -buffer sp4_h_r_23 local_g1_7 -buffer sp4_h_r_30 local_g2_6 -buffer sp4_h_r_41 local_g2_1 -buffer sp4_h_r_47 local_g2_7 -buffer sp4_r_v_b_27 local_g0_3 -buffer sp4_r_v_b_29 local_g1_5 -buffer sp4_r_v_b_30 local_g0_6 -buffer sp4_r_v_b_31 local_g0_7 -buffer sp4_v_b_0 local_g1_0 -buffer sp4_v_b_17 local_g0_1 -buffer sp4_v_b_18 local_g0_2 -buffer sp4_v_b_18 local_g1_2 -routing sp4_h_l_43 sp4_v_b_0 -routing sp4_h_r_0 sp4_h_l_37 -routing sp4_v_b_9 sp4_h_l_39 - -.logic_tile 1 2 -LC_0 1111111101111111 0000 -LC_1 1001011010010110 0000 -LC_2 1000000000000000 0000 -LC_3 1000000011111111 0000 -LC_4 1001000000000000 0000 -LC_5 1000000011111111 0101 DffEnable AsyncSetReset -LC_6 0100000000000000 0101 DffEnable AsyncSetReset -LC_7 0100000000000000 0101 DffEnable AsyncSetReset -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_2 lutff_global/cen -buffer local_g0_4 lutff_2/in_2 -buffer local_g0_5 lutff_3/in_2 -buffer local_g0_5 lutff_5/in_2 -buffer local_g0_6 lutff_0/in_0 -buffer local_g0_6 lutff_1/in_1 -buffer local_g0_6 lutff_4/in_0 -buffer local_g0_7 lutff_0/in_1 -buffer local_g0_7 lutff_4/in_1 -buffer local_g1_1 lutff_3/in_3 -buffer local_g1_2 lutff_2/in_3 -buffer local_g1_3 lutff_2/in_0 -buffer local_g1_3 lutff_6/in_0 -buffer local_g1_4 lutff_7/in_0 -buffer local_g1_5 lutff_0/in_2 -buffer local_g1_6 lutff_0/in_3 -buffer local_g1_6 lutff_2/in_1 -buffer local_g1_7 lutff_3/in_1 -buffer local_g1_7 lutff_5/in_1 -buffer local_g3_1 lutff_5/in_3 -buffer local_g3_6 lutff_3/in_0 -buffer local_g3_6 lutff_5/in_0 -buffer lutff_0/out sp4_r_v_b_33 -buffer lutff_1/out local_g1_1 -buffer lutff_3/out local_g1_3 -buffer lutff_4/out local_g0_4 -buffer lutff_4/out local_g1_4 -buffer lutff_5/out local_g0_5 -buffer lutff_5/out local_g1_5 -buffer lutff_6/out local_g0_6 -buffer lutff_6/out local_g3_6 -buffer lutff_7/out local_g0_7 -buffer lutff_7/out local_g1_7 -buffer neigh_op_top_2 local_g1_2 -buffer neigh_op_top_6 local_g1_6 -buffer sp4_r_v_b_33 local_g0_2 -buffer sp4_v_b_33 local_g3_1 - -.logic_tile 12 2 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0110100110010110 1100 CarryEnable DffEnable -LC_3 0110100110010110 1100 CarryEnable DffEnable -LC_4 0110100110010110 1100 CarryEnable DffEnable -LC_5 0110100110010110 1100 CarryEnable DffEnable -LC_6 0110100110010110 1100 CarryEnable DffEnable -LC_7 0110100110010110 1100 CarryEnable DffEnable -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_2 lutff_2/in_2 -buffer local_g0_3 lutff_3/in_2 -buffer local_g0_4 lutff_4/in_2 -buffer local_g0_5 lutff_5/in_2 -buffer local_g0_6 lutff_6/in_2 -buffer local_g0_7 lutff_0/in_1 -buffer local_g1_6 lutff_1/in_2 -buffer local_g2_2 lutff_global/cen -buffer local_g2_7 lutff_7/in_2 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out local_g0_2 -buffer lutff_3/cout lutff_4/in_3 -buffer lutff_3/out local_g0_3 -buffer lutff_3/out sp4_h_r_38 -buffer lutff_4/cout lutff_5/in_3 -buffer lutff_4/out local_g0_4 -buffer lutff_4/out sp4_v_b_40 -buffer lutff_5/cout lutff_6/in_3 -buffer lutff_5/out local_g0_5 -buffer lutff_5/out sp12_v_b_10 -buffer lutff_6/cout lutff_7/in_3 -buffer lutff_6/out local_g0_6 -buffer lutff_6/out sp4_v_b_28 -buffer lutff_7/out local_g2_7 -buffer lutff_7/out sp4_v_b_30 -buffer neigh_op_tnl_2 local_g2_2 -buffer neigh_op_top_7 local_g0_7 -buffer sp12_h_r_14 local_g1_6 -routing sp4_h_l_41 sp4_v_t_44 -routing sp4_v_b_10 sp4_h_l_38 -routing sp4_v_b_7 sp4_h_l_37 -routing sp4_v_t_42 sp4_h_l_42 -routing sp4_v_t_46 sp4_v_b_7 - -.logic_tile 11 10 -LC_0 0101001100000000 0000 -LC_1 0000000100000000 0000 -LC_2 0101001100000000 0000 -LC_3 1010110000000000 0000 -LC_4 0100000000000000 0110 DffEnable Set_NoReset -LC_5 0100000000000000 0110 DffEnable Set_NoReset -LC_6 0100000000000000 0110 DffEnable Set_NoReset -LC_7 0100000000000000 0110 DffEnable Set_NoReset -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_6/in_0 -buffer local_g0_2 lutff_4/in_0 -buffer local_g0_4 lutff_3/in_1 -buffer local_g0_5 lutff_5/in_0 -buffer local_g0_6 lutff_0/in_2 -buffer local_g0_6 lutff_2/in_2 -buffer local_g0_7 lutff_3/in_2 -buffer local_g1_1 lutff_2/in_0 -buffer local_g1_2 lutff_7/in_0 -buffer local_g1_3 lutff_0/in_0 -buffer local_g1_4 lutff_1/in_2 -buffer local_g1_6 lutff_0/in_1 -buffer local_g2_2 lutff_global/cen -buffer local_g2_6 lutff_1/in_1 -buffer local_g3_0 lutff_3/in_0 -buffer local_g3_2 lutff_1/in_0 -buffer local_g3_4 lutff_2/in_1 -buffer lutff_0/out local_g0_0 -buffer lutff_0/out sp4_v_b_32 -buffer lutff_1/out sp4_h_r_34 -buffer lutff_2/out local_g0_2 -buffer lutff_2/out sp4_v_b_36 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_3/out sp4_r_v_b_23 -buffer lutff_4/out local_g3_4 -buffer lutff_4/out sp12_v_b_8 -buffer lutff_4/out sp4_v_b_24 -buffer lutff_5/out sp4_r_v_b_11 -buffer lutff_5/out sp4_v_b_42 -buffer lutff_6/out local_g1_6 -buffer lutff_6/out sp12_h_r_4 -buffer lutff_7/out sp12_v_b_14 -buffer neigh_op_bnl_0 local_g3_0 -buffer neigh_op_bot_3 local_g1_3 -buffer neigh_op_top_2 local_g1_2 -buffer neigh_op_top_5 local_g0_5 -buffer sp12_h_r_20 local_g1_4 -buffer sp4_h_r_14 local_g0_6 -buffer sp4_h_r_34 local_g2_2 -buffer sp4_h_r_46 local_g2_6 -buffer sp4_r_v_b_31 local_g0_7 -buffer sp4_v_b_12 local_g0_4 -buffer sp4_v_b_26 local_g3_2 -buffer sp4_v_b_9 local_g1_1 -routing sp12_h_l_23 sp12_v_b_0 - -.logic_tile 4 9 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 1001100101100110 0000 -LC_4 1000000000000000 0000 -LC_5 1001000000000000 0000 -LC_6 0100000000000000 0100 DffEnable -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_2 lutff_4/in_0 -buffer local_g0_6 lutff_1/in_1 -buffer local_g2_1 lutff_2/in_1 -buffer local_g2_3 lutff_5/in_0 -buffer local_g2_5 lutff_0/in_1 -buffer local_g3_1 lutff_5/in_1 -buffer local_g3_3 lutff_global/cen -buffer local_g3_7 lutff_6/in_0 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/out sp4_h_r_20 -buffer lutff_2/out sp4_r_v_b_5 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_5/out sp12_v_b_10 -buffer lutff_5/out sp4_h_r_42 -buffer lutff_6/out sp4_r_v_b_29 -buffer lutff_6/out sp4_v_b_44 -buffer sp12_h_r_18 local_g0_2 -buffer sp12_v_b_1 local_g3_1 -buffer sp12_v_b_3 local_g2_3 -buffer sp4_h_r_31 local_g3_7 -buffer sp4_r_v_b_19 local_g3_3 -buffer sp4_r_v_b_30 local_g0_6 -buffer sp4_r_v_b_37 local_g2_5 -buffer sp4_v_b_33 local_g2_1 -routing sp12_v_b_0 sp12_h_r_0 -routing sp4_h_l_37 sp4_v_t_40 -routing sp4_h_l_38 sp4_h_r_11 -routing sp4_h_l_39 sp4_h_r_2 -routing sp4_v_b_0 sp4_h_r_6 -routing sp4_v_b_10 sp4_h_r_4 -routing sp4_v_b_3 sp4_h_r_9 -routing sp4_v_b_7 sp4_h_r_1 -routing sp4_v_b_9 sp4_h_l_39 - -.logic_tile 7 15 -LC_0 1110101100000000 0000 -LC_1 0000000000000110 0000 -LC_2 0001000000000000 0000 -LC_3 0100000000000000 0100 DffEnable -LC_4 0100000000000000 0100 DffEnable -LC_5 0100000000000000 0100 DffEnable -LC_6 0100000000000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_2 lutff_0/in_2 -buffer local_g0_2 lutff_2/in_0 -buffer local_g0_4 lutff_1/in_1 -buffer local_g0_6 lutff_1/in_3 -buffer local_g0_7 lutff_0/in_3 -buffer local_g1_2 lutff_3/in_0 -buffer local_g1_4 lutff_1/in_0 -buffer local_g1_6 lutff_1/in_2 -buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_0/in_1 -buffer local_g2_3 lutff_2/in_1 -buffer local_g2_4 lutff_4/in_0 -buffer local_g2_5 lutff_5/in_0 -buffer local_g3_3 lutff_6/in_0 -buffer local_g3_7 lutff_0/in_0 -buffer lutff_0/out sp4_v_b_0 -buffer lutff_1/out sp4_v_b_34 -buffer lutff_3/out sp4_h_r_22 -buffer lutff_3/out sp4_r_v_b_39 -buffer lutff_4/out local_g0_4 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_4/out sp4_v_b_40 -buffer lutff_5/out sp12_h_r_2 -buffer lutff_5/out sp4_r_v_b_27 -buffer lutff_5/out sp4_v_b_42 -buffer lutff_6/out local_g1_6 -buffer lutff_6/out sp4_h_r_12 -buffer lutff_6/out sp4_r_v_b_13 -buffer lutff_6/out sp4_v_b_12 -buffer neigh_op_rgt_4 local_g2_4 -buffer neigh_op_rgt_5 local_g2_5 -buffer neigh_op_top_7 local_g0_7 -buffer sp12_h_r_18 local_g0_2 -buffer sp12_h_r_18 local_g1_2 -buffer sp12_h_r_20 local_g1_4 -buffer sp12_h_r_22 local_g0_6 -buffer sp12_v_b_2 local_g2_2 -buffer sp12_v_b_7 local_g3_7 -buffer sp12_v_b_7 sp4_v_b_15 -buffer sp4_v_b_43 local_g2_3 -buffer sp4_v_b_43 local_g3_3 -routing sp4_h_l_43 sp4_v_b_6 -routing sp4_h_l_46 sp4_v_b_11 -routing sp4_h_l_46 sp4_v_b_5 -routing sp4_v_b_8 sp4_v_t_41 -routing sp4_v_t_41 sp4_h_l_47 - -.logic_tile 2 9 -LC_0 1000000000000000 0000 -LC_1 1000000000000000 0000 -LC_2 1000000000000000 0000 -LC_3 1001100101100110 0100 DffEnable -LC_4 0100000000000000 0100 DffEnable -LC_5 1001100101100110 0100 DffEnable -LC_6 1001100101100110 0100 DffEnable -LC_7 1001100101100110 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_3/in_1 -buffer local_g0_2 lutff_4/in_0 -buffer local_g0_3 lutff_2/in_3 -buffer local_g0_4 lutff_7/in_3 -buffer local_g0_5 lutff_2/in_1 -buffer local_g0_6 lutff_0/in_0 -buffer local_g0_6 lutff_2/in_2 -buffer local_g0_7 lutff_1/in_0 -buffer local_g1_3 lutff_global/cen -buffer local_g1_5 lutff_5/in_3 -buffer local_g1_6 lutff_6/in_3 -buffer local_g1_7 lutff_5/in_1 -buffer local_g2_1 lutff_6/in_1 -buffer local_g2_2 lutff_3/in_3 -buffer local_g2_6 lutff_7/in_1 -buffer local_g3_7 lutff_2/in_0 -buffer lutff_2/out sp4_r_v_b_21 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_3/out sp4_v_b_22 -buffer lutff_4/out sp4_h_r_24 -buffer lutff_4/out sp4_v_b_40 -buffer lutff_5/out local_g0_5 -buffer lutff_6/out local_g0_6 -buffer lutff_7/out local_g0_7 -buffer lutff_7/out local_g3_7 -buffer neigh_op_bot_4 local_g0_4 -buffer neigh_op_bot_5 local_g1_5 -buffer neigh_op_bot_6 local_g1_6 -buffer sp4_h_r_11 local_g1_3 -buffer sp4_h_r_18 local_g0_2 -buffer sp4_r_v_b_10 local_g2_2 -buffer sp4_r_v_b_31 local_g1_7 -buffer sp4_r_v_b_33 local_g2_1 -buffer sp4_v_b_16 local_g0_0 -buffer sp4_v_b_3 local_g0_3 -buffer sp4_v_b_38 local_g2_6 -routing sp4_h_r_0 sp4_v_t_43 -routing sp4_h_r_1 sp4_h_l_36 -routing sp4_h_r_7 sp4_v_t_36 -routing sp4_v_t_36 sp4_v_b_4 -routing sp4_v_t_37 sp4_v_b_0 - -.logic_tile 9 15 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0110100110010110 1000 CarryEnable -LC_3 0110100110010110 1000 CarryEnable -LC_4 0110100110010110 0000 -LC_5 1000000000000000 0000 -LC_7 0101001100000000 0000 -buffer local_g0_3 lutff_5/in_0 -buffer local_g1_0 lutff_7/in_2 -buffer local_g1_2 lutff_7/in_0 -buffer local_g1_4 lutff_1/in_2 -buffer local_g1_6 lutff_3/in_2 -buffer local_g2_6 lutff_2/in_2 -buffer local_g2_6 lutff_7/in_1 -buffer local_g3_4 lutff_0/in_1 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out local_g1_2 -buffer lutff_2/out sp4_v_b_4 -buffer lutff_3/cout lutff_4/in_3 -buffer lutff_5/out sp12_h_r_18 -buffer lutff_7/out sp12_h_r_22 -buffer neigh_op_bot_0 local_g1_0 -buffer neigh_op_top_3 local_g0_3 -buffer sp12_h_r_20 local_g1_4 -buffer sp12_h_r_6 local_g1_6 -buffer sp12_v_b_11 sp4_v_b_17 -buffer sp4_h_r_36 local_g3_4 -buffer sp4_h_r_46 local_g2_6 -routing sp4_v_b_0 sp4_h_r_0 -routing sp4_v_b_10 sp4_h_r_10 -routing sp4_v_b_8 sp4_v_t_45 - -.logic_tile 8 1 -LC_0 0101001100000000 0000 -LC_4 0100000000000000 0100 DffEnable -LC_5 0101001100000000 0100 DffEnable -LC_6 0101001100000000 0100 DffEnable -LC_7 0101001100000000 0100 DffEnable -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_4/in_0 -buffer local_g0_1 lutff_0/in_1 -buffer local_g0_2 lutff_0/in_2 -buffer local_g0_2 lutff_6/in_2 -buffer local_g0_4 lutff_0/in_0 -buffer local_g0_5 lutff_5/in_0 -buffer local_g0_6 lutff_6/in_0 -buffer local_g0_7 lutff_7/in_0 -buffer local_g1_2 lutff_5/in_2 -buffer local_g1_2 lutff_7/in_2 -buffer local_g1_5 lutff_7/in_1 -buffer local_g1_6 lutff_6/in_1 -buffer local_g2_2 lutff_global/cen -buffer local_g2_6 lutff_5/in_1 -buffer lutff_0/out local_g0_0 -buffer lutff_0/out sp4_v_b_32 -buffer lutff_4/out local_g0_4 -buffer lutff_5/out local_g0_5 -buffer lutff_5/out sp4_h_r_10 -buffer lutff_6/out local_g0_6 -buffer lutff_6/out sp4_v_b_44 -buffer lutff_7/out local_g0_7 -buffer lutff_7/out sp12_v_b_14 -buffer neigh_op_bnl_6 local_g2_6 -buffer neigh_op_bot_6 local_g1_6 -buffer sp4_h_r_42 local_g2_2 -buffer sp4_v_b_1 local_g0_1 -buffer sp4_v_b_13 local_g1_5 -buffer sp4_v_b_18 local_g0_2 -buffer sp4_v_b_18 local_g1_2 -routing sp12_h_r_0 sp12_v_t_23 -routing sp4_h_r_0 sp4_v_t_43 -routing sp4_h_r_2 sp4_v_t_45 - -.logic_tile 12 9 -LC_0 0100000000000000 0100 DffEnable -LC_2 0100000000000000 0100 DffEnable -LC_3 0100000000000000 0100 DffEnable -LC_5 0100000000000000 0100 DffEnable -LC_6 0100000000000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk -buffer local_g2_2 lutff_0/in_0 -buffer local_g2_2 lutff_2/in_0 -buffer local_g2_2 lutff_6/in_0 -buffer local_g3_2 lutff_3/in_0 -buffer local_g3_2 lutff_5/in_0 -buffer local_g3_3 lutff_global/cen -buffer lutff_3/out sp4_h_r_38 -buffer lutff_5/out sp4_v_b_42 -buffer sp4_h_r_26 local_g2_2 -buffer sp4_h_r_26 local_g3_2 -buffer sp4_h_r_43 local_g3_3 -routing sp4_h_l_36 sp4_v_t_43 - -.logic_tile 5 12 -ColBufCtrl glb_netwk_6 -LC_0 0010001011110000 0000 -LC_1 1110000000000000 0000 -LC_2 0000011000000000 0000 -LC_3 1101110111010000 0000 -LC_4 0111000000000000 0000 -LC_5 0010001011110000 0100 DffEnable -LC_6 0010001011110000 0100 DffEnable -LC_7 0010001011110000 0100 DffEnable -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_2/in_2 -buffer local_g0_0 lutff_3/in_1 -buffer local_g0_1 lutff_7/in_2 -buffer local_g0_2 lutff_0/in_2 -buffer local_g0_3 lutff_0/in_1 -buffer local_g0_4 lutff_4/in_2 -buffer local_g0_4 lutff_5/in_1 -buffer local_g0_5 lutff_4/in_3 -buffer local_g0_6 lutff_3/in_3 -buffer local_g0_7 lutff_5/in_0 -buffer local_g0_7 lutff_7/in_0 -buffer local_g1_0 lutff_5/in_2 +buffer local_g0_6 lutff_0/in_0 +buffer local_g0_7 lutff_2/in_1 +buffer local_g1_0 lutff_2/in_3 +buffer local_g1_0 lutff_4/in_1 buffer local_g1_2 lutff_1/in_2 -buffer local_g1_3 lutff_6/in_2 -buffer local_g1_5 lutff_2/in_0 -buffer local_g1_6 lutff_3/in_0 -buffer local_g1_7 lutff_6/in_0 -buffer local_g2_0 lutff_4/in_0 +buffer local_g1_4 lutff_7/in_2 +buffer local_g1_5 lutff_2/in_2 +buffer local_g1_5 lutff_4/in_0 +buffer local_g1_7 lutff_3/in_1 +buffer local_g2_0 lutff_5/in_1 buffer local_g2_0 lutff_7/in_1 -buffer local_g2_1 lutff_0/in_3 -buffer local_g2_1 lutff_3/in_2 -buffer local_g2_2 lutff_0/in_0 -buffer local_g2_3 lutff_4/in_1 -buffer local_g2_3 lutff_6/in_1 -buffer local_g2_5 lutff_2/in_1 -buffer local_g2_7 lutff_6/in_3 -buffer local_g3_1 lutff_1/in_1 -buffer local_g3_3 lutff_global/cen -buffer local_g3_6 lutff_1/in_0 -buffer local_g3_7 lutff_5/in_3 -buffer local_g3_7 lutff_7/in_3 -buffer lutff_0/out local_g1_0 -buffer lutff_1/out local_g0_1 -buffer lutff_2/out local_g1_2 -buffer lutff_2/out local_g2_2 -buffer lutff_3/out local_g0_3 -buffer lutff_3/out local_g1_3 -buffer lutff_4/out sp4_v_b_40 -buffer lutff_5/out sp4_r_v_b_27 -buffer lutff_5/out sp4_v_b_42 -buffer lutff_6/out sp4_h_r_44 -buffer lutff_6/out sp4_r_v_b_29 -buffer lutff_6/out sp4_v_b_12 -buffer lutff_7/out sp4_h_r_46 -buffer lutff_7/out sp4_r_v_b_31 -buffer lutff_7/out sp4_v_b_46 -buffer neigh_op_bot_0 local_g0_0 -buffer neigh_op_bot_4 local_g0_4 -buffer neigh_op_rgt_3 local_g3_3 -buffer sp12_h_r_22 sp4_h_r_23 -buffer sp12_v_b_11 sp4_v_b_17 -buffer sp4_h_r_13 local_g1_5 -buffer sp4_h_r_14 local_g0_6 -buffer sp4_h_r_22 local_g1_6 -buffer sp4_h_r_29 local_g2_5 -buffer sp4_h_r_30 local_g3_6 -buffer sp4_h_r_41 local_g2_1 -buffer sp4_h_r_41 local_g3_1 -buffer sp4_r_v_b_15 local_g2_7 -buffer sp4_r_v_b_47 local_g3_7 -buffer sp4_r_v_b_8 local_g2_0 -buffer sp4_v_b_13 local_g0_5 -buffer sp4_v_b_15 local_g0_7 -buffer sp4_v_b_15 local_g1_7 -buffer sp4_v_b_18 local_g0_2 -buffer sp4_v_b_27 local_g2_3 -routing sp4_h_l_44 sp4_h_r_9 -routing sp4_h_l_46 sp4_h_r_2 -routing sp4_v_b_10 sp4_h_l_47 -routing sp4_v_b_10 sp4_h_r_10 -routing sp4_v_b_2 sp4_h_l_42 -routing sp4_v_t_38 sp4_v_b_6 - -.logic_tile 4 4 -ColBufCtrl glb_netwk_0 -ColBufCtrl glb_netwk_6 -LC_0 0000000100000000 0000 -LC_1 0010000000000000 0000 -LC_2 1010010101011010 0000 -LC_3 0001000000000000 0000 -LC_4 0000000100000000 0000 -LC_5 0101110000000000 0100 DffEnable -LC_6 0000111101110111 0100 DffEnable -LC_7 0000111101110111 0100 DffEnable -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_4/in_2 -buffer local_g0_2 lutff_0/in_0 -buffer local_g0_3 lutff_7/in_2 -buffer local_g0_4 lutff_6/in_0 -buffer local_g0_6 lutff_3/in_1 -buffer local_g1_1 lutff_5/in_1 -buffer local_g1_2 lutff_6/in_1 -buffer local_g1_3 lutff_2/in_2 -buffer local_g1_3 lutff_6/in_2 -buffer local_g1_4 lutff_1/in_0 -buffer local_g1_4 lutff_7/in_0 -buffer local_g1_5 lutff_0/in_2 -buffer local_g1_6 lutff_3/in_0 -buffer local_g1_7 lutff_4/in_0 -buffer local_g2_4 lutff_7/in_3 -buffer local_g3_0 lutff_5/in_0 -buffer local_g3_1 lutff_7/in_1 -buffer local_g3_2 lutff_4/in_1 -buffer local_g3_4 lutff_5/in_2 -buffer local_g3_4 lutff_6/in_3 -buffer local_g3_5 lutff_1/in_1 -buffer local_g3_6 lutff_0/in_1 -buffer lutff_0/out local_g0_0 -buffer lutff_1/out local_g1_1 -buffer lutff_2/out local_g1_2 -buffer lutff_3/out local_g1_3 -buffer lutff_3/out sp4_r_v_b_7 -buffer lutff_4/out local_g0_4 -buffer lutff_4/out local_g1_4 -buffer lutff_5/out local_g1_5 -buffer lutff_5/out sp12_v_b_10 -buffer lutff_5/out sp4_h_r_26 -buffer lutff_5/out sp4_r_v_b_27 -buffer lutff_6/out local_g0_6 -buffer lutff_6/out local_g3_6 -buffer lutff_6/out sp12_h_r_20 -buffer lutff_6/out sp12_v_b_12 -buffer lutff_6/out sp4_h_r_44 -buffer lutff_7/out sp4_h_r_30 -buffer lutff_7/out sp4_h_r_46 -buffer neigh_op_rgt_4 local_g2_4 -buffer neigh_op_rgt_4 local_g3_4 -buffer sp12_h_r_18 local_g0_2 -buffer sp12_h_r_6 local_g1_6 -buffer sp12_v_b_21 local_g3_5 -buffer sp4_h_r_3 local_g0_3 -buffer sp4_h_r_32 local_g3_0 -buffer sp4_h_r_42 local_g3_2 -buffer sp4_r_v_b_17 local_g3_1 -buffer sp4_v_b_7 local_g1_7 -routing sp4_h_l_37 sp4_h_r_3 -routing sp4_h_l_47 sp4_v_b_10 -routing sp4_v_b_5 sp4_h_r_11 -routing sp4_v_t_37 sp4_h_r_0 - -.logic_tile 6 3 -LC_0 0000000000110101 0000 -LC_1 1111000100000000 0000 -LC_2 0101000000110000 0000 -LC_4 0000110100000000 0000 -LC_5 1111100000000000 0000 -LC_6 0000000000001101 0000 -LC_7 1110000000000000 0000 -buffer local_g0_0 lutff_0/in_0 -buffer local_g0_0 lutff_2/in_2 -buffer local_g0_0 lutff_6/in_2 -buffer local_g0_1 lutff_2/in_1 -buffer local_g0_2 lutff_0/in_2 -buffer local_g0_3 lutff_6/in_3 -buffer local_g0_4 lutff_5/in_3 -buffer local_g0_5 lutff_0/in_1 -buffer local_g0_6 lutff_5/in_1 -buffer local_g0_7 lutff_4/in_1 -buffer local_g1_1 lutff_7/in_1 -buffer local_g1_2 lutff_5/in_0 -buffer local_g1_3 lutff_2/in_0 -buffer local_g1_4 lutff_7/in_0 -buffer local_g1_5 lutff_1/in_1 -buffer local_g1_6 lutff_1/in_0 -buffer local_g1_7 lutff_4/in_0 -buffer local_g2_0 lutff_4/in_2 -buffer local_g2_1 lutff_2/in_3 -buffer local_g2_1 lutff_6/in_1 -buffer local_g2_3 lutff_0/in_3 -buffer local_g2_3 lutff_5/in_2 -buffer local_g2_5 lutff_7/in_2 -buffer local_g3_0 lutff_1/in_2 -buffer local_g3_3 lutff_6/in_0 -buffer lutff_1/out sp4_v_b_34 -buffer lutff_2/out local_g1_2 -buffer lutff_6/out local_g0_6 -buffer lutff_7/out local_g1_7 -buffer neigh_op_bot_2 local_g0_2 -buffer neigh_op_bot_4 local_g1_4 -buffer neigh_op_lft_1 local_g1_1 -buffer neigh_op_lft_4 local_g0_4 -buffer neigh_op_tnr_5 local_g2_5 -buffer sp12_h_r_13 local_g0_5 -buffer sp12_h_r_20 sp4_h_r_22 -buffer sp12_v_b_11 local_g2_3 -buffer sp4_h_r_14 local_g1_6 -buffer sp4_h_r_17 local_g0_1 -buffer sp4_h_r_27 local_g3_3 -buffer sp4_r_v_b_24 local_g0_0 -buffer sp4_r_v_b_32 local_g2_0 -buffer sp4_v_b_15 local_g0_7 -buffer sp4_v_b_19 local_g0_3 -buffer sp4_v_b_19 local_g1_3 -buffer sp4_v_b_24 local_g3_0 -buffer sp4_v_b_25 local_g2_1 -buffer sp4_v_b_5 local_g1_5 -routing sp4_h_l_46 sp4_v_b_5 -routing sp4_h_r_11 sp4_h_l_46 +buffer local_g2_2 lutff_1/in_1 +buffer local_g2_3 lutff_5/in_0 +buffer local_g2_3 lutff_7/in_0 +buffer local_g2_6 lutff_1/in_3 +buffer local_g2_7 lutff_0/in_1 +buffer local_g3_0 lutff_6/in_1 +buffer local_g3_3 lutff_global/cen +buffer local_g3_7 lutff_2/in_0 +buffer lutff_0/out local_g1_0 +buffer lutff_1/out local_g0_1 +buffer lutff_3/out sp4_h_r_6 +buffer lutff_3/out sp4_r_v_b_23 +buffer lutff_4/out local_g1_4 +buffer lutff_4/out sp12_v_b_8 +buffer lutff_4/out sp4_h_r_24 +buffer lutff_5/out sp4_v_b_26 +buffer lutff_6/out local_g2_6 +buffer lutff_6/out sp12_h_r_20 +buffer lutff_6/out sp4_v_b_28 +buffer lutff_7/out local_g2_7 +buffer lutff_7/out sp4_v_b_46 +buffer neigh_op_lft_5 local_g1_5 +buffer neigh_op_lft_7 local_g1_7 +buffer neigh_op_top_3 local_g0_3 +buffer neigh_op_top_4 local_g0_4 +buffer sp12_v_b_16 local_g2_0 +buffer sp12_v_b_16 local_g3_0 +buffer sp12_v_b_18 local_g2_2 +buffer sp12_v_b_7 local_g3_7 +buffer sp4_h_r_22 local_g0_6 +buffer sp4_h_r_35 local_g3_3 +buffer sp4_r_v_b_35 local_g0_0 +buffer sp4_r_v_b_35 local_g2_3 +buffer sp4_v_b_10 local_g1_2 +buffer sp4_v_b_13 local_g0_5 +buffer sp4_v_b_7 local_g0_7 +routing sp4_h_l_38 sp4_h_r_11 +routing sp4_h_l_38 sp4_v_b_9 +routing sp4_h_l_47 sp4_v_b_10 routing sp4_h_r_11 sp4_v_b_4 -routing sp4_h_r_2 sp4_v_t_45 -routing sp4_h_r_5 sp4_v_t_40 -routing sp4_v_b_6 sp4_h_r_0 +routing sp4_v_t_38 sp4_h_r_8 -.logic_tile 1 5 +.logic_tile 2 4 +CarryInSet ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 ColBufCtrl glb_netwk_6 -LC_1 1001000000000000 0000 -LC_5 1010010101011010 0000 -LC_6 0100000000000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk -buffer local_g1_3 lutff_global/cen -buffer local_g1_5 lutff_6/in_0 -buffer local_g1_6 lutff_5/in_2 -buffer local_g2_5 lutff_1/in_0 -buffer local_g3_5 lutff_1/in_1 -buffer lutff_1/out sp12_v_b_18 -buffer lutff_1/out sp4_r_v_b_19 -buffer lutff_5/out local_g1_5 -buffer lutff_6/out local_g1_6 -buffer lutff_6/out sp4_v_b_44 -buffer neigh_op_rgt_5 local_g2_5 -buffer sp12_v_b_21 local_g3_5 -buffer sp4_r_v_b_3 local_g1_3 -routing sp4_h_r_1 sp4_v_t_42 -routing sp4_h_r_5 sp4_v_t_40 - -.logic_tile 11 1 -CarryInSet LC_0 0000000000000000 1000 CarryEnable LC_1 0000000000000000 1000 CarryEnable LC_2 0110100110010110 1000 CarryEnable LC_3 0110100110010110 0000 -LC_4 0101001100000000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_5 lutff_global/cen -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_1 lutff_1/in_2 -buffer local_g0_3 lutff_3/in_2 -buffer local_g1_2 lutff_4/in_1 -buffer local_g1_4 lutff_7/in_0 -buffer local_g1_7 lutff_2/in_2 -buffer local_g1_7 lutff_4/in_0 -buffer local_g2_0 lutff_4/in_2 -buffer local_g3_0 lutff_0/in_1 +LC_4 0110000000000000 0000 +LC_5 1010010101011010 0000 +buffer local_g0_2 lutff_4/in_0 +buffer local_g0_4 lutff_2/in_2 +buffer local_g0_7 lutff_0/in_1 +buffer local_g0_7 lutff_4/in_1 +buffer local_g0_7 lutff_5/in_2 +buffer local_g1_2 lutff_1/in_2 +buffer local_g1_4 lutff_3/in_2 buffer lutff_1/cout lutff_2/in_3 buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out local_g1_2 -buffer lutff_2/out sp12_v_b_20 +buffer lutff_2/out sp12_h_r_12 buffer lutff_2/out sp4_r_v_b_37 -buffer lutff_3/out sp4_r_v_b_23 -buffer lutff_3/out sp4_r_v_b_39 -buffer lutff_3/out sp4_v_b_38 -buffer lutff_4/out local_g1_4 -buffer lutff_7/out local_g1_7 -buffer sp4_h_r_19 local_g0_3 -buffer sp4_h_r_32 local_g2_0 -buffer sp4_r_v_b_34 local_g0_1 -buffer sp4_v_b_32 local_g3_0 -routing sp4_h_r_1 sp4_v_t_42 -routing sp4_h_r_9 sp4_v_t_38 +buffer lutff_3/out sp12_v_b_22 +buffer lutff_4/out sp12_h_r_0 +buffer lutff_4/out sp4_h_r_24 +buffer lutff_5/out sp12_h_r_2 +buffer lutff_5/out sp4_h_r_26 +buffer neigh_op_bot_4 local_g1_4 +buffer neigh_op_bot_7 local_g0_7 +buffer neigh_op_lft_2 local_g0_2 +buffer neigh_op_lft_2 local_g1_2 +buffer sp4_h_r_20 local_g0_4 +routing sp4_h_r_2 sp4_v_b_7 routing sp4_h_r_9 sp4_v_t_44 -routing sp4_v_t_37 sp4_h_l_37 -.logic_tile 7 10 -LC_0 0010000000000000 0000 -LC_1 0110011001100110 0000 -LC_4 0000001000000000 0000 -LC_5 1001011010010110 0000 -LC_6 1011111000000000 0000 -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r +.logic_tile 9 12 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_6 +ColBufCtrl glb_netwk_7 +LC_3 1110000000000000 0100 DffEnable +LC_5 0000000011001010 0100 DffEnable +NegClk +buffer glb_netwk_4 lutff_global/s_r buffer glb_netwk_6 lutff_global/clk -buffer local_g0_3 lutff_0/in_1 -buffer local_g0_3 lutff_6/in_1 -buffer local_g0_3 lutff_7/in_0 -buffer local_g0_4 lutff_1/in_1 -buffer local_g0_4 lutff_5/in_1 -buffer local_g1_3 lutff_global/cen -buffer local_g1_5 lutff_4/in_0 -buffer local_g1_7 lutff_0/in_0 -buffer local_g1_7 lutff_6/in_2 -buffer local_g2_1 lutff_4/in_1 +buffer local_g0_4 lutff_5/in_3 +buffer local_g1_0 lutff_5/in_2 +buffer local_g1_1 lutff_5/in_1 +buffer local_g1_2 lutff_5/in_0 +buffer local_g2_1 lutff_3/in_0 +buffer local_g3_3 lutff_3/in_1 +buffer local_g3_6 lutff_3/in_2 +buffer lutff_3/out sp4_h_r_22 +buffer lutff_3/out sp4_v_b_38 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_5/out sp4_h_r_26 +buffer neigh_op_lft_1 local_g1_1 +buffer neigh_op_lft_4 local_g0_4 +buffer neigh_op_tnl_6 local_g3_6 +buffer sp12_h_r_16 local_g1_0 +buffer sp12_v_b_1 sp4_v_b_12 +buffer sp4_h_r_10 local_g1_2 +buffer sp4_v_b_35 local_g3_3 +buffer sp4_v_b_41 local_g2_1 +routing sp4_h_l_37 sp4_v_t_37 +routing sp4_h_l_39 sp4_v_t_42 +routing sp4_h_l_43 sp4_h_r_9 +routing sp4_h_l_43 sp4_v_t_46 +routing sp4_h_r_10 sp4_h_l_47 +routing sp4_h_r_4 sp4_h_l_42 +routing sp4_v_t_41 sp4_h_r_4 + +.logic_tile 12 8 +LC_2 0000100000000000 0000 +LC_3 0000000100000000 0000 +LC_5 0000100000000000 0000 +buffer local_g2_1 lutff_3/in_0 +buffer local_g2_1 lutff_5/in_0 +buffer local_g2_4 lutff_2/in_0 +buffer local_g2_4 lutff_3/in_1 +buffer local_g2_4 lutff_5/in_1 +buffer local_g2_7 lutff_2/in_1 +buffer local_g2_7 lutff_3/in_2 +buffer local_g2_7 lutff_5/in_2 +buffer local_g3_1 lutff_2/in_2 +buffer lutff_2/out sp12_v_b_20 +buffer lutff_2/out sp4_v_b_20 +buffer lutff_3/out sp12_v_b_22 +buffer lutff_3/out sp12_v_b_6 +buffer lutff_5/out sp4_v_b_10 +buffer sp4_h_r_41 local_g2_1 +buffer sp4_h_r_41 local_g3_1 +buffer sp4_h_r_44 local_g2_4 +buffer sp4_h_r_47 local_g2_7 +routing sp4_h_r_0 sp4_h_l_37 +routing sp4_v_b_6 sp4_h_l_46 +routing sp4_v_t_37 sp4_h_r_5 + +.logic_tile 11 16 +LC_2 1000000000000000 0000 +LC_5 1000000000000000 0000 +buffer local_g0_4 lutff_2/in_0 +buffer local_g2_7 lutff_5/in_0 +buffer sp12_v_b_7 local_g2_7 +buffer sp4_h_r_20 local_g0_4 + +.logic_tile 5 9 +LC_0 0000000000001000 0000 +LC_1 0000001000000000 0000 +LC_2 0010000000000000 0000 +LC_3 0010000000001000 0000 +LC_4 0000000000000010 0000 +LC_5 0010000000000000 0000 +LC_6 0000100000000000 0000 +LC_7 0000000011111101 0000 +buffer local_g0_0 lutff_6/in_2 +buffer local_g0_5 lutff_0/in_1 +buffer local_g0_5 lutff_6/in_1 +buffer local_g0_7 lutff_4/in_3 +buffer local_g1_1 lutff_5/in_1 +buffer local_g1_3 lutff_7/in_1 +buffer local_g1_4 lutff_0/in_3 +buffer local_g1_5 lutff_1/in_1 +buffer local_g1_6 lutff_4/in_1 +buffer local_g1_6 lutff_7/in_2 +buffer local_g1_7 lutff_3/in_3 +buffer local_g2_0 lutff_7/in_3 +buffer local_g2_1 lutff_5/in_0 +buffer local_g2_4 lutff_4/in_0 +buffer local_g2_5 lutff_7/in_0 +buffer local_g2_6 lutff_2/in_0 +buffer local_g2_6 lutff_3/in_1 +buffer local_g2_6 lutff_4/in_2 +buffer local_g2_7 lutff_3/in_2 +buffer local_g3_0 lutff_3/in_0 +buffer local_g3_1 lutff_0/in_2 +buffer local_g3_4 lutff_1/in_0 +buffer local_g3_5 lutff_0/in_0 +buffer local_g3_5 lutff_6/in_0 +buffer local_g3_6 lutff_1/in_2 +buffer local_g3_6 lutff_2/in_1 +buffer lutff_0/out local_g2_0 +buffer lutff_1/out sp4_h_r_18 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_3/out local_g1_3 +buffer lutff_4/out local_g3_4 +buffer lutff_5/out local_g1_5 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_5/out sp4_r_v_b_27 +buffer lutff_5/out sp4_r_v_b_43 +buffer lutff_6/out local_g3_6 +buffer neigh_op_rgt_1 local_g3_1 +buffer neigh_op_top_6 local_g1_6 +buffer sp12_h_r_13 local_g0_5 +buffer sp12_h_r_17 local_g1_1 +buffer sp4_h_r_24 local_g3_0 +buffer sp4_h_r_30 local_g2_6 +buffer sp4_h_r_33 local_g2_1 +buffer sp4_r_v_b_31 local_g1_7 +buffer sp4_v_b_15 local_g0_7 +buffer sp4_v_b_36 local_g2_4 +buffer sp4_v_b_37 local_g2_5 +buffer sp4_v_b_4 local_g1_4 +buffer sp4_v_b_45 local_g3_5 +buffer sp4_v_b_47 local_g2_7 +buffer sp4_v_b_8 local_g0_0 +routing sp4_h_r_6 sp4_v_b_11 +routing sp4_v_b_7 sp4_h_r_7 +routing sp4_v_b_8 sp4_h_r_8 + +.logic_tile 4 7 +LC_1 1010110000000000 0000 +LC_2 1010110000000000 0000 +LC_3 1010110000000000 0000 +LC_4 1010110000000000 0000 +LC_5 1000000000000000 0100 DffEnable +LC_6 1000000000000000 0100 DffEnable +LC_7 1000000000000000 0100 DffEnable +buffer glb2local_2 local_g0_6 +buffer glb2local_3 local_g0_7 +buffer glb_netwk_2 glb2local_2 +buffer glb_netwk_2 glb2local_3 +buffer glb_netwk_3 lutff_global/cen +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_2 lutff_1/in_1 +buffer local_g0_3 lutff_4/in_1 +buffer local_g0_4 lutff_3/in_1 +buffer local_g0_5 lutff_2/in_1 +buffer local_g0_6 lutff_5/in_1 +buffer local_g0_6 lutff_7/in_1 +buffer local_g0_7 lutff_6/in_1 +buffer local_g1_2 lutff_5/in_0 +buffer local_g1_5 lutff_2/in_0 +buffer local_g2_2 lutff_2/in_2 +buffer local_g2_2 lutff_4/in_2 +buffer local_g2_3 lutff_7/in_0 buffer local_g2_4 lutff_6/in_0 -buffer local_g2_6 lutff_4/in_2 -buffer local_g3_6 lutff_6/in_3 -buffer lutff_0/out sp12_v_b_16 -buffer lutff_0/out sp4_h_r_16 -buffer lutff_0/out sp4_h_r_32 -buffer lutff_1/out sp4_h_r_18 -buffer lutff_1/out sp4_r_v_b_19 +buffer local_g2_6 lutff_4/in_0 +buffer local_g2_7 lutff_3/in_0 +buffer local_g3_2 lutff_1/in_2 +buffer local_g3_2 lutff_3/in_2 +buffer local_g3_6 lutff_1/in_0 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_2/out local_g1_2 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_3/out local_g2_3 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_4/out local_g2_4 buffer lutff_4/out sp12_h_r_16 -buffer lutff_6/out sp4_h_r_44 -buffer lutff_7/out local_g1_7 -buffer lutff_7/out sp4_h_r_14 -buffer lutff_7/out sp4_v_b_46 -buffer neigh_op_rgt_1 local_g2_1 -buffer neigh_op_tnl_6 local_g2_6 -buffer sp4_r_v_b_29 local_g1_5 -buffer sp4_r_v_b_3 local_g1_3 -buffer sp4_r_v_b_36 local_g2_4 -buffer sp4_r_v_b_46 local_g3_6 -buffer sp4_v_b_11 local_g0_3 -buffer sp4_v_b_20 local_g0_4 -routing sp12_v_t_23 sp12_h_l_23 -routing sp4_v_b_11 sp4_v_t_46 - -.logic_tile 2 2 -LC_0 0001000000000000 0000 -LC_2 1000000000000000 0000 -LC_3 1000000000000000 0000 -LC_5 1000000000000000 0000 -LC_6 0001000000000000 0000 -LC_7 0001000000000000 0000 -buffer local_g0_0 lutff_0/in_0 -buffer local_g0_0 lutff_6/in_0 -buffer local_g0_5 lutff_6/in_1 -buffer local_g0_6 lutff_2/in_0 -buffer local_g0_7 lutff_3/in_0 -buffer local_g1_0 lutff_7/in_0 -buffer local_g1_1 lutff_7/in_1 -buffer local_g1_4 lutff_0/in_1 -buffer local_g2_7 lutff_5/in_0 -buffer lutff_0/out sp12_h_r_8 -buffer lutff_0/out sp4_v_b_32 -buffer lutff_6/out local_g0_6 -buffer lutff_6/out sp12_h_r_4 +buffer lutff_5/out local_g1_5 +buffer lutff_5/out sp4_r_v_b_27 +buffer lutff_6/out local_g2_6 +buffer lutff_6/out sp4_h_r_12 buffer lutff_6/out sp4_r_v_b_13 buffer lutff_7/out local_g2_7 buffer lutff_7/out sp12_h_r_6 -buffer lutff_7/out sp4_r_v_b_15 -buffer sp4_h_r_16 local_g0_0 -buffer sp4_h_r_16 local_g1_0 -buffer sp4_h_r_17 local_g1_1 -buffer sp4_h_r_20 local_g1_4 -buffer sp4_h_r_21 local_g0_5 -buffer sp4_v_b_23 local_g0_7 -routing sp4_v_t_36 sp4_v_b_4 -routing sp4_v_t_47 sp4_h_r_10 +buffer neigh_op_bot_2 local_g0_2 +buffer neigh_op_bot_3 local_g0_3 +buffer neigh_op_bot_4 local_g0_4 +buffer neigh_op_bot_5 local_g0_5 +buffer neigh_op_rgt_2 local_g2_2 +buffer neigh_op_rgt_2 local_g3_2 +buffer sp4_h_r_38 local_g3_6 +routing sp4_h_l_39 sp4_h_r_5 +routing sp4_h_l_42 sp4_v_b_7 +routing sp4_h_l_46 sp4_v_b_5 +routing sp4_h_r_1 sp4_v_t_42 +routing sp4_h_r_11 sp4_v_b_4 +routing sp4_v_b_4 sp4_v_t_41 +routing sp4_v_t_39 sp4_h_l_45 -.logic_tile 1 10 -LC_1 1000000000000000 0000 -LC_6 1000000000000000 0000 -buffer local_g1_4 lutff_1/in_0 -buffer local_g3_7 lutff_6/in_0 -buffer neigh_op_bnr_4 local_g1_4 -buffer neigh_op_rgt_7 local_g3_7 +.logic_tile 9 1 +LC_1 0100000000000000 0100 DffEnable +LC_2 0100000000000000 0100 DffEnable +LC_4 0100000000000000 0100 DffEnable +NegClk +buffer glb_netwk_5 lutff_global/cen +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_3 lutff_1/in_0 +buffer local_g0_4 lutff_global/s_r +buffer local_g3_3 lutff_4/in_0 +buffer local_g3_5 lutff_2/in_0 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_2/out sp4_h_r_4 +buffer lutff_4/out sp12_h_r_16 +buffer sp12_h_r_10 sp4_h_r_17 +buffer sp12_v_b_19 local_g3_3 +buffer sp12_v_b_5 local_g3_5 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_h_r_20 local_g0_4 +routing sp4_h_l_47 sp4_v_t_38 +routing sp4_h_r_4 sp4_v_b_9 +routing sp4_v_b_0 sp4_h_l_40 +routing sp4_v_b_9 sp4_h_l_39 -.logic_tile 8 6 -LC_1 0000000000001110 0000 -LC_2 0010000000000000 0000 -LC_3 0000000000001001 0000 +.logic_tile 6 6 +LC_0 1001000000001001 0000 +LC_1 1001000000001001 0000 +LC_3 0000001000000000 0000 LC_4 1001000000001001 0000 -LC_5 1001000000001001 0000 +LC_5 0000000000000001 0000 LC_6 1001000000001001 0000 -LC_7 0000100100000000 0000 +LC_7 0000111000000000 0110 DffEnable Set_NoReset buffer glb2local_1 local_g0_5 -buffer glb_netwk_5 glb2local_1 -buffer local_g0_1 lutff_5/in_0 -buffer local_g0_2 lutff_5/in_3 -buffer local_g0_3 lutff_6/in_3 -buffer local_g0_5 lutff_2/in_1 -buffer local_g0_6 lutff_7/in_1 -buffer local_g0_7 lutff_1/in_0 -buffer local_g1_0 lutff_4/in_1 -buffer local_g1_2 lutff_1/in_2 -buffer local_g1_3 lutff_1/in_1 -buffer local_g1_4 lutff_7/in_2 -buffer local_g1_5 lutff_3/in_3 -buffer local_g1_6 lutff_3/in_2 -buffer local_g1_7 lutff_3/in_1 -buffer local_g2_0 lutff_4/in_2 -buffer local_g2_1 lutff_5/in_2 -buffer local_g2_2 lutff_6/in_2 -buffer local_g2_3 lutff_7/in_0 -buffer local_g2_4 lutff_1/in_3 -buffer local_g2_6 lutff_2/in_0 -buffer local_g2_7 lutff_3/in_0 -buffer local_g3_2 lutff_6/in_1 -buffer local_g3_3 lutff_4/in_0 -buffer local_g3_5 lutff_5/in_1 -buffer local_g3_6 lutff_4/in_3 -buffer local_g3_7 lutff_6/in_0 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_2/out local_g1_2 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_2/out sp12_v_b_4 -buffer lutff_2/out sp4_h_r_20 -buffer lutff_3/out local_g1_3 -buffer lutff_4/out local_g1_4 -buffer lutff_5/out local_g1_5 -buffer lutff_6/out local_g1_6 -buffer lutff_7/out local_g0_7 -buffer neigh_op_lft_7 local_g1_7 -buffer neigh_op_tnl_5 local_g3_5 -buffer neigh_op_tnl_6 local_g3_6 -buffer sp12_h_r_14 local_g0_6 -buffer sp12_v_b_15 local_g3_7 -buffer sp4_h_r_33 local_g2_1 -buffer sp4_h_r_40 local_g2_0 -buffer sp4_r_v_b_12 local_g2_4 -buffer sp4_r_v_b_38 local_g2_6 -buffer sp4_r_v_b_39 local_g2_7 -buffer sp4_r_v_b_43 local_g3_3 -buffer sp4_v_b_10 local_g0_2 -buffer sp4_v_b_16 local_g1_0 -buffer sp4_v_b_17 local_g0_1 -buffer sp4_v_b_26 local_g3_2 -buffer sp4_v_b_3 local_g0_3 -buffer sp4_v_b_34 local_g2_2 -buffer sp4_v_b_43 local_g2_3 -routing sp4_h_l_41 sp4_v_b_10 -routing sp4_h_l_42 sp4_v_t_42 -routing sp4_h_l_43 sp4_v_t_43 -routing sp4_h_l_44 sp4_v_b_3 -routing sp4_h_r_3 sp4_h_l_43 -routing sp4_h_r_3 sp4_v_t_44 -routing sp4_v_b_10 sp4_v_t_47 - -.logic_tile 8 9 -LC_0 0011001101010011 0000 -LC_1 0000000011100000 0000 -LC_2 0001000000000000 0000 -LC_3 1111111101010011 0000 -LC_4 0001000000000000 0000 -LC_5 0000111000110011 0000 -LC_6 0000000011100000 0100 DffEnable -LC_7 0000000011100011 0100 DffEnable -buffer glb_netwk_3 lutff_global/cen +buffer glb_netwk_0 glb2local_1 buffer glb_netwk_4 lutff_global/s_r buffer glb_netwk_6 lutff_global/clk buffer local_g0_0 lutff_5/in_1 -buffer local_g0_1 lutff_7/in_2 -buffer local_g0_2 lutff_5/in_3 -buffer local_g0_3 lutff_2/in_1 -buffer local_g0_4 lutff_0/in_0 -buffer local_g0_5 lutff_5/in_0 -buffer local_g0_6 lutff_6/in_0 -buffer local_g0_7 lutff_0/in_3 -buffer local_g1_0 lutff_0/in_1 -buffer local_g1_1 lutff_2/in_0 -buffer local_g1_1 lutff_4/in_0 -buffer local_g1_2 lutff_1/in_2 -buffer local_g1_3 lutff_6/in_2 -buffer local_g1_4 lutff_1/in_0 -buffer local_g1_5 lutff_1/in_3 -buffer local_g1_6 lutff_6/in_1 -buffer local_g1_7 lutff_1/in_1 -buffer local_g2_0 lutff_7/in_3 -buffer local_g2_1 lutff_7/in_0 -buffer local_g2_4 lutff_3/in_1 -buffer local_g2_6 lutff_0/in_2 -buffer local_g2_7 lutff_5/in_2 -buffer local_g3_0 lutff_6/in_3 -buffer local_g3_1 lutff_3/in_3 -buffer local_g3_2 lutff_3/in_0 -buffer local_g3_2 lutff_4/in_1 -buffer local_g3_5 lutff_7/in_1 -buffer local_g3_6 lutff_3/in_2 +buffer local_g0_1 lutff_3/in_2 +buffer local_g0_2 lutff_1/in_3 +buffer local_g0_4 lutff_4/in_2 +buffer local_g0_5 lutff_3/in_0 +buffer local_g0_6 lutff_5/in_3 +buffer local_g0_7 lutff_7/in_2 +buffer local_g1_0 lutff_6/in_1 +buffer local_g1_1 lutff_1/in_1 +buffer local_g1_3 lutff_7/in_1 +buffer local_g1_4 lutff_5/in_0 +buffer local_g1_5 lutff_0/in_2 +buffer local_g1_6 lutff_0/in_3 +buffer local_g1_7 lutff_3/in_1 +buffer local_g2_0 lutff_6/in_0 +buffer local_g2_1 lutff_5/in_2 +buffer local_g2_3 lutff_0/in_1 +buffer local_g2_4 lutff_6/in_2 +buffer local_g2_5 lutff_7/in_0 +buffer local_g2_7 lutff_1/in_2 +buffer local_g3_0 lutff_4/in_1 +buffer local_g3_1 lutff_0/in_0 +buffer local_g3_2 lutff_6/in_3 +buffer local_g3_4 lutff_4/in_3 +buffer local_g3_5 lutff_4/in_0 +buffer local_g3_6 lutff_1/in_0 buffer lutff_0/out local_g0_0 buffer lutff_1/out local_g2_1 -buffer lutff_2/out local_g1_2 buffer lutff_3/out sp4_r_v_b_23 -buffer lutff_4/out sp4_v_b_8 -buffer lutff_5/out local_g3_5 -buffer lutff_6/out sp12_h_r_20 +buffer lutff_3/out sp4_v_b_6 +buffer lutff_4/out local_g1_4 +buffer lutff_5/out sp4_h_r_10 +buffer lutff_6/out local_g0_6 +buffer lutff_7/out local_g0_7 +buffer lutff_7/out sp12_h_r_6 buffer lutff_7/out sp4_h_r_14 -buffer neigh_op_bot_3 local_g1_3 -buffer neigh_op_bot_6 local_g1_6 -buffer neigh_op_lft_1 local_g1_1 -buffer neigh_op_lft_2 local_g0_2 -buffer neigh_op_lft_4 local_g1_4 -buffer neigh_op_lft_5 local_g0_5 -buffer neigh_op_rgt_0 local_g2_0 -buffer neigh_op_rgt_0 local_g3_0 -buffer sp12_h_r_15 local_g0_7 -buffer sp12_h_r_21 local_g1_5 -buffer sp4_h_r_16 local_g1_0 -buffer sp4_h_r_36 local_g2_4 -buffer sp4_h_r_6 local_g0_6 +buffer neigh_op_lft_3 local_g1_3 +buffer sp12_h_r_0 local_g1_0 +buffer sp12_h_r_0 sp4_h_r_12 +buffer sp12_h_r_12 local_g0_4 +buffer sp12_v_b_23 local_g2_7 +buffer sp4_h_r_1 local_g1_1 +buffer sp4_h_r_24 local_g3_0 +buffer sp4_h_r_28 local_g3_4 +buffer sp4_h_r_35 local_g2_3 +buffer sp4_h_r_37 local_g2_5 +buffer sp4_h_r_38 local_g3_6 +buffer sp4_h_r_40 local_g2_0 +buffer sp4_h_r_41 local_g3_1 buffer sp4_r_v_b_18 local_g3_2 -buffer sp4_v_b_19 local_g0_3 -buffer sp4_v_b_20 local_g0_4 -buffer sp4_v_b_23 local_g1_7 -buffer sp4_v_b_30 local_g2_6 -buffer sp4_v_b_30 local_g3_6 -buffer sp4_v_b_31 local_g2_7 +buffer sp4_r_v_b_36 local_g2_4 +buffer sp4_r_v_b_45 local_g3_5 +buffer sp4_r_v_b_5 local_g1_5 +buffer sp4_r_v_b_7 local_g1_7 +buffer sp4_v_b_1 local_g0_1 +buffer sp4_v_b_14 local_g1_6 +buffer sp4_v_b_18 local_g0_2 +routing sp12_h_r_0 sp12_h_l_23 +routing sp4_h_l_41 sp4_h_r_7 +routing sp4_h_l_44 sp4_v_t_39 +routing sp4_h_l_45 sp4_v_b_8 +routing sp4_h_r_1 sp4_h_l_41 +routing sp4_v_b_8 sp4_v_t_41 + +.logic_tile 5 6 +LC_0 0111000000000000 0000 +LC_1 0000100000000000 0000 +LC_2 0000000011010000 0000 +LC_3 0000100100000000 0000 +LC_5 0000000000000010 0000 +LC_7 0011001100001011 0100 DffEnable +buffer glb2local_1 local_g0_5 +buffer glb_netwk_3 glb2local_1 +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_1 lutff_5/in_2 +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_7/in_2 +buffer local_g0_5 lutff_0/in_1 +buffer local_g0_6 lutff_3/in_1 +buffer local_g0_6 lutff_5/in_1 +buffer local_g0_7 lutff_1/in_2 +buffer local_g0_7 lutff_2/in_1 +buffer local_g1_1 lutff_7/in_1 +buffer local_g1_2 lutff_3/in_2 +buffer local_g1_3 lutff_0/in_0 +buffer local_g1_4 lutff_5/in_0 +buffer local_g1_5 lutff_2/in_2 +buffer local_g1_6 lutff_7/in_0 +buffer local_g2_2 lutff_0/in_2 +buffer local_g2_3 lutff_2/in_3 +buffer local_g2_7 lutff_1/in_0 +buffer local_g3_1 lutff_5/in_3 +buffer local_g3_3 lutff_1/in_1 +buffer local_g3_3 lutff_2/in_0 +buffer local_g3_7 lutff_7/in_3 +buffer lutff_0/out sp4_r_v_b_33 +buffer lutff_1/out local_g1_1 +buffer lutff_2/out local_g2_2 +buffer lutff_3/out local_g0_3 +buffer lutff_3/out local_g1_3 +buffer lutff_5/out local_g1_5 +buffer lutff_7/out sp12_h_r_6 +buffer lutff_7/out sp4_h_r_30 +buffer neigh_op_bot_4 local_g1_4 +buffer neigh_op_lft_7 local_g0_7 +buffer neigh_op_rgt_7 local_g2_7 +buffer neigh_op_rgt_7 local_g3_7 +buffer sp12_h_r_6 local_g1_6 +buffer sp4_r_v_b_19 local_g3_3 +buffer sp4_r_v_b_26 local_g1_2 +buffer sp4_r_v_b_30 local_g0_6 +buffer sp4_r_v_b_33 local_g0_2 +buffer sp4_r_v_b_34 local_g0_1 buffer sp4_v_b_33 local_g3_1 -buffer sp4_v_b_9 local_g0_1 -routing sp4_h_l_38 sp4_h_r_6 -routing sp4_h_l_38 sp4_v_b_9 -routing sp4_h_l_46 sp4_v_t_41 -routing sp4_h_l_47 sp4_v_b_4 -routing sp4_h_r_5 sp4_v_t_40 -routing sp4_h_r_7 sp4_v_t_42 -routing sp4_v_t_36 sp4_h_r_1 +buffer sp4_v_b_35 local_g2_3 +routing sp4_h_r_3 sp4_v_t_38 +routing sp4_v_t_36 sp4_h_l_36 -.logic_tile 4 1 -LC_0 0000000000000001 0000 -LC_5 1111001000000000 0000 -LC_7 1000000000000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r +.logic_tile 2 16 +LC_0 0100000000000000 0100 DffEnable +NegClk buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_0/in_2 buffer local_g0_2 lutff_global/cen -buffer local_g0_4 lutff_0/in_0 +buffer local_g1_1 lutff_0/in_0 +buffer lutff_0/out sp12_v_b_16 +buffer sp4_h_r_17 local_g1_1 +buffer sp4_r_v_b_26 local_g0_2 + +.logic_tile 11 2 +LC_6 0011101000000000 0100 DffEnable +NegClk +buffer glb2local_0 local_g0_4 +buffer glb_netwk_0 glb2local_0 +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_4 lutff_6/in_2 +buffer local_g2_2 lutff_6/in_0 +buffer local_g2_3 lutff_6/in_1 +buffer local_g3_3 lutff_global/cen +buffer lutff_6/out sp4_h_r_12 +buffer lutff_6/out sp4_h_r_44 +buffer lutff_6/out sp4_r_v_b_45 +buffer sp12_v_b_18 local_g2_2 +buffer sp4_h_r_27 local_g3_3 +buffer sp4_h_r_43 local_g2_3 +routing sp4_v_b_6 sp4_h_l_43 + +.logic_tile 7 7 +LC_0 0000000011111110 0000 +LC_1 0000000000000001 0000 +LC_2 0000000010000011 0000 +LC_3 0000100000000000 0000 +LC_4 0000000000000010 0000 +LC_5 0010000000000000 0000 +LC_6 0010000000000000 0000 +LC_7 0010000000000000 0100 DffEnable +buffer glb2local_1 local_g0_5 +buffer glb_netwk_2 glb2local_1 +buffer glb_netwk_3 lutff_global/cen +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_2 lutff_1/in_1 +buffer local_g0_4 lutff_0/in_2 buffer local_g0_4 lutff_5/in_1 +buffer local_g0_4 lutff_6/in_0 +buffer local_g0_5 lutff_7/in_0 +buffer local_g0_6 lutff_1/in_3 +buffer local_g0_6 lutff_2/in_2 +buffer local_g0_6 lutff_3/in_1 +buffer local_g0_6 lutff_4/in_0 +buffer local_g0_6 lutff_7/in_1 buffer local_g0_7 lutff_0/in_1 -buffer local_g0_7 lutff_7/in_0 -buffer local_g1_0 lutff_5/in_0 -buffer local_g3_4 lutff_5/in_2 -buffer local_g3_6 lutff_0/in_3 -buffer lutff_0/out local_g1_0 -buffer lutff_0/out sp4_h_r_16 -buffer lutff_0/out sp4_r_v_b_17 -buffer lutff_0/out sp4_r_v_b_33 +buffer local_g0_7 lutff_5/in_0 +buffer local_g0_7 lutff_6/in_1 +buffer local_g1_1 lutff_4/in_2 +buffer local_g1_3 lutff_0/in_0 +buffer local_g1_4 lutff_1/in_2 +buffer local_g1_4 lutff_2/in_1 +buffer local_g1_4 lutff_3/in_0 +buffer local_g1_6 lutff_4/in_1 +buffer local_g2_3 lutff_1/in_0 +buffer local_g2_3 lutff_2/in_3 +buffer local_g2_3 lutff_3/in_2 +buffer local_g2_3 lutff_4/in_3 +buffer local_g2_5 lutff_0/in_3 +buffer local_g3_5 lutff_2/in_0 +buffer lutff_0/out sp4_r_v_b_1 +buffer lutff_1/out sp4_v_b_18 +buffer lutff_3/out local_g1_3 +buffer lutff_4/out sp12_v_b_8 buffer lutff_5/out sp12_h_r_18 -buffer lutff_7/out local_g0_7 -buffer lutff_7/out sp12_h_r_22 -buffer neigh_op_rgt_4 local_g3_4 -buffer sp12_h_r_16 local_g0_0 +buffer lutff_6/out local_g1_6 +buffer lutff_7/out sp4_h_r_46 +buffer lutff_7/out sp4_v_b_30 +buffer lutff_7/out sp4_v_b_46 buffer sp12_h_r_18 local_g0_2 -buffer sp12_h_r_20 local_g0_4 -buffer sp4_h_r_46 local_g3_6 -routing sp12_h_r_0 sp12_v_t_23 -routing sp4_v_b_4 sp4_h_r_10 +buffer sp12_h_r_22 local_g0_6 +buffer sp12_h_r_23 local_g0_7 +buffer sp4_h_r_12 local_g0_4 +buffer sp4_h_r_43 local_g2_3 +buffer sp4_v_b_17 local_g1_1 +buffer sp4_v_b_20 local_g1_4 +buffer sp4_v_b_45 local_g2_5 +buffer sp4_v_b_45 local_g3_5 +routing sp12_v_b_1 sp12_h_r_1 +routing sp4_h_l_36 sp4_v_t_36 +routing sp4_v_b_10 sp4_h_r_4 -.logic_tile 8 11 -LC_0 1110110000001100 0000 -LC_1 0000000100000000 0000 -LC_2 1110000000000000 0000 -LC_3 1111111101111100 0000 -LC_4 0000000000001101 0000 -LC_5 0101110000000000 0000 -LC_6 0101110000000000 0110 DffEnable Set_NoReset -LC_7 0101110000000000 0110 DffEnable Set_NoReset +.logic_tile 2 1 +LC_0 1000000000000000 0000 +buffer local_g0_4 lutff_0/in_0 +buffer sp12_h_r_4 local_g0_4 +buffer sp12_h_r_4 sp4_h_r_14 +buffer sp12_h_r_8 sp4_h_r_16 + +.logic_tile 1 15 +LC_1 0100000000000000 0100 DffEnable +NegClk +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_7 lutff_1/in_0 +buffer local_g1_3 lutff_global/cen +buffer lutff_1/out sp4_r_v_b_19 +buffer sp4_h_r_19 local_g1_3 +buffer sp4_v_b_23 local_g0_7 + +.logic_tile 12 5 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +LC_0 0110100110010110 0000 +LC_1 1000000000000000 0000 +LC_2 0000100000000000 0000 +LC_3 1000000000000000 0000 +LC_4 1000000000000000 0000 +LC_7 1000000000000000 0000 +buffer carry_in carry_in_mux +buffer carry_in_mux lutff_0/in_3 +buffer local_g0_1 lutff_2/in_1 +buffer local_g0_2 lutff_4/in_0 +buffer local_g0_6 lutff_0/in_2 +buffer local_g0_7 lutff_3/in_0 +buffer local_g1_0 lutff_1/in_0 +buffer local_g2_0 lutff_2/in_2 +buffer local_g2_3 lutff_7/in_0 +buffer local_g2_4 lutff_2/in_0 +buffer lutff_0/out sp4_v_b_16 +buffer lutff_2/out sp4_v_b_20 +buffer lutff_7/out sp12_v_b_14 +buffer neigh_op_top_0 local_g1_0 +buffer neigh_op_top_2 local_g0_2 +buffer neigh_op_top_7 local_g0_7 +buffer sp4_v_b_14 local_g0_6 +buffer sp4_v_b_17 local_g0_1 +buffer sp4_v_b_35 local_g2_3 +buffer sp4_v_b_36 local_g2_4 +buffer sp4_v_b_40 local_g2_0 +routing sp4_v_b_8 sp4_h_l_45 +routing sp4_v_t_36 sp4_h_l_36 +routing sp4_v_t_44 sp4_v_b_0 + +.logic_tile 4 12 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_6 +LC_0 0010000000000000 0000 +LC_1 0010000000000000 0000 +LC_3 1010010101011010 0000 +LC_4 0101001100000000 0100 DffEnable +LC_6 0010000011010000 0100 DffEnable +buffer glb_netwk_3 lutff_global/cen +buffer glb_netwk_4 lutff_global/s_r buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_1/in_1 -buffer local_g0_1 lutff_4/in_1 -buffer local_g0_2 lutff_7/in_1 +buffer local_g0_0 lutff_4/in_2 +buffer local_g0_1 lutff_3/in_2 buffer local_g0_3 lutff_0/in_1 -buffer local_g0_3 lutff_2/in_1 -buffer local_g0_4 lutff_global/s_r -buffer local_g0_5 lutff_3/in_2 -buffer local_g0_6 lutff_0/in_0 -buffer local_g0_6 lutff_2/in_0 -buffer local_g0_7 lutff_0/in_3 -buffer local_g1_0 lutff_4/in_3 -buffer local_g1_2 lutff_5/in_0 -buffer local_g1_3 lutff_4/in_2 -buffer local_g1_4 lutff_1/in_2 -buffer local_g1_5 lutff_5/in_1 -buffer local_g1_6 lutff_7/in_0 -buffer local_g1_7 lutff_4/in_0 -buffer local_g2_0 lutff_0/in_2 -buffer local_g2_2 lutff_6/in_0 -buffer local_g2_3 lutff_6/in_1 -buffer local_g2_4 lutff_3/in_3 -buffer local_g2_5 lutff_3/in_0 -buffer local_g2_6 lutff_3/in_1 -buffer local_g2_7 lutff_7/in_2 -buffer local_g3_0 lutff_5/in_2 -buffer local_g3_1 lutff_6/in_2 -buffer local_g3_2 lutff_1/in_0 -buffer local_g3_3 lutff_global/cen -buffer local_g3_5 lutff_2/in_2 -buffer lutff_0/out sp12_h_r_8 +buffer local_g0_4 lutff_0/in_0 +buffer local_g0_4 lutff_6/in_2 +buffer local_g0_6 lutff_6/in_0 +buffer local_g1_3 lutff_4/in_0 +buffer local_g1_4 lutff_1/in_0 +buffer local_g1_6 lutff_6/in_3 +buffer local_g2_4 lutff_1/in_1 +buffer local_g3_4 lutff_4/in_1 +buffer local_g3_4 lutff_6/in_1 +buffer lutff_0/out local_g0_0 +buffer lutff_0/out sp4_h_r_32 +buffer lutff_0/out sp4_v_b_32 buffer lutff_1/out local_g0_1 -buffer lutff_1/out sp12_h_r_10 buffer lutff_1/out sp4_r_v_b_19 -buffer lutff_2/out local_g1_2 buffer lutff_3/out local_g1_3 -buffer lutff_4/out sp4_r_v_b_41 +buffer lutff_4/out local_g2_4 +buffer lutff_4/out local_g3_4 +buffer lutff_6/out local_g1_6 buffer lutff_6/out sp4_h_r_44 -buffer lutff_7/out sp12_v_b_14 -buffer neigh_op_bot_7 local_g1_7 -buffer neigh_op_tnl_3 local_g3_3 -buffer neigh_op_tnl_6 local_g2_6 -buffer neigh_op_top_0 local_g1_0 -buffer neigh_op_top_5 local_g0_5 -buffer sp12_h_r_10 sp4_h_r_17 -buffer sp12_h_r_15 local_g0_7 -buffer sp12_h_r_19 local_g0_3 -buffer sp12_h_r_20 sp4_h_r_22 -buffer sp12_h_r_21 local_g1_5 -buffer sp4_h_r_10 local_g0_2 -buffer sp4_h_r_12 local_g0_4 -buffer sp4_h_r_12 local_g1_4 -buffer sp4_h_r_22 local_g0_6 -buffer sp4_h_r_29 local_g3_5 -buffer sp4_h_r_40 local_g2_0 -buffer sp4_h_r_40 local_g3_0 -buffer sp4_r_v_b_10 local_g2_2 -buffer sp4_r_v_b_12 local_g2_4 -buffer sp4_r_v_b_15 local_g2_7 -buffer sp4_r_v_b_17 local_g3_1 -buffer sp4_r_v_b_18 local_g3_2 -buffer sp4_r_v_b_37 local_g2_5 -buffer sp4_v_b_0 local_g0_0 -buffer sp4_v_b_14 local_g1_6 -buffer sp4_v_b_43 local_g2_3 -routing sp4_h_l_41 sp4_v_b_4 -routing sp4_h_l_43 sp4_v_t_46 -routing sp4_v_b_0 sp4_h_r_0 -routing sp4_v_b_11 sp4_h_l_41 -routing sp4_v_t_38 sp4_h_l_44 -routing sp4_v_t_38 sp4_v_b_11 -routing sp4_v_t_41 sp4_v_b_0 +buffer sp12_h_r_8 sp4_h_r_16 +buffer sp4_h_r_3 local_g0_3 +buffer sp4_r_v_b_28 local_g0_4 +buffer sp4_r_v_b_28 local_g1_4 +buffer sp4_v_b_6 local_g0_6 +routing sp4_h_l_37 sp4_h_r_3 +routing sp4_h_l_37 sp4_v_b_6 +routing sp4_h_l_39 sp4_v_t_42 +routing sp4_h_r_7 sp4_h_l_42 +routing sp4_v_t_44 sp4_h_l_44 -.logic_tile 9 7 -LC_0 0000100000000000 0000 -LC_1 0000000100000000 0000 -LC_2 0000001000000000 0000 -LC_3 0101110000000000 0100 DffEnable -LC_4 0101110000000000 0100 DffEnable -LC_5 0101110000000000 0100 DffEnable -LC_6 0101110000000000 0100 DffEnable -LC_7 0101110000000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r +.logic_tile 2 12 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_6 +ColBufCtrl glb_netwk_7 +LC_1 0010000000000000 0000 +LC_3 0010000000000000 0000 +LC_4 0111000000000000 0100 DffEnable +LC_5 0101001100000000 0100 DffEnable +LC_7 0101001100000000 0100 DffEnable +buffer glb_netwk_3 lutff_global/cen +buffer glb_netwk_4 lutff_global/s_r buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_4/in_2 -buffer local_g0_1 lutff_7/in_2 +buffer local_g0_0 lutff_4/in_0 +buffer local_g0_3 lutff_1/in_0 buffer local_g0_3 lutff_3/in_0 -buffer local_g0_4 lutff_6/in_2 -buffer local_g0_5 lutff_5/in_0 -buffer local_g0_6 lutff_6/in_0 -buffer local_g0_7 lutff_1/in_0 -buffer local_g1_0 lutff_3/in_2 -buffer local_g1_2 lutff_5/in_2 +buffer local_g0_4 lutff_1/in_1 +buffer local_g0_5 lutff_7/in_0 +buffer local_g1_0 lutff_5/in_2 +buffer local_g1_0 lutff_7/in_2 +buffer local_g1_4 lutff_5/in_0 +buffer local_g1_5 lutff_5/in_1 buffer local_g1_7 lutff_3/in_1 -buffer local_g1_7 lutff_5/in_1 buffer local_g1_7 lutff_7/in_1 -buffer local_g2_0 lutff_2/in_2 -buffer local_g2_1 lutff_2/in_1 -buffer local_g2_2 lutff_global/cen -buffer local_g2_4 lutff_4/in_0 -buffer local_g2_7 lutff_7/in_0 -buffer local_g3_0 lutff_0/in_1 -buffer local_g3_0 lutff_1/in_2 -buffer local_g3_1 lutff_0/in_0 -buffer local_g3_1 lutff_1/in_1 -buffer local_g3_2 lutff_4/in_1 -buffer local_g3_2 lutff_6/in_1 -buffer local_g3_5 lutff_0/in_2 -buffer local_g3_5 lutff_2/in_0 -buffer lutff_0/out local_g0_0 -buffer lutff_1/out local_g0_1 -buffer lutff_2/out local_g1_2 -buffer lutff_3/out local_g0_3 -buffer lutff_4/out local_g2_4 -buffer lutff_4/out sp4_v_b_40 -buffer lutff_5/out local_g0_5 -buffer lutff_5/out sp4_v_b_42 -buffer lutff_6/out local_g0_6 -buffer lutff_6/out sp4_v_b_44 -buffer lutff_7/out local_g2_7 -buffer lutff_7/out sp4_r_v_b_15 -buffer neigh_op_bot_4 local_g0_4 -buffer neigh_op_lft_0 local_g1_0 -buffer sp12_h_r_18 sp4_h_r_21 -buffer sp12_v_b_10 local_g3_2 -buffer sp4_h_r_37 local_g3_5 -buffer sp4_r_v_b_31 local_g0_7 -buffer sp4_v_b_32 local_g2_0 -buffer sp4_v_b_32 local_g3_0 -buffer sp4_v_b_33 local_g2_1 -buffer sp4_v_b_33 local_g3_1 -buffer sp4_v_b_34 local_g2_2 -buffer sp4_v_b_7 local_g1_7 +buffer local_g2_5 lutff_4/in_1 +buffer lutff_3/out sp4_r_v_b_39 +buffer lutff_4/out sp4_h_r_24 +buffer lutff_5/out local_g1_5 +buffer lutff_5/out sp4_h_r_26 +buffer lutff_7/out local_g1_7 +buffer neigh_op_top_4 local_g1_4 +buffer neigh_op_top_5 local_g0_5 +buffer sp12_h_r_0 sp4_h_r_12 +buffer sp4_h_r_20 local_g0_4 +buffer sp4_h_r_8 local_g0_0 +buffer sp4_h_r_8 local_g1_0 +buffer sp4_r_v_b_32 local_g0_3 +buffer sp4_r_v_b_37 local_g2_5 routing sp12_v_t_23 sp12_h_r_0 -routing sp4_h_l_40 sp4_v_t_40 -routing sp4_h_r_1 sp4_v_b_1 -routing sp4_h_r_6 sp4_v_t_37 -routing sp4_v_b_7 sp4_h_l_37 -routing sp4_v_b_7 sp4_h_r_1 -routing sp4_v_t_45 sp4_v_b_4 +routing sp4_h_r_8 sp4_v_t_39 +routing sp4_v_t_43 sp4_v_b_6 + +.logic_tile 9 4 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +LC_0 1000000011101111 0000 +LC_1 1010110000000000 0000 +LC_2 0000010111110011 0000 +LC_3 0000111100100000 0000 +LC_4 0101000000110000 0000 +LC_5 0101000000110000 0000 +LC_6 0000001000000000 0000 +LC_7 0000101011110011 0000 +buffer local_g0_0 lutff_4/in_2 +buffer local_g0_1 lutff_6/in_1 +buffer local_g0_2 lutff_1/in_1 +buffer local_g0_3 lutff_3/in_2 +buffer local_g0_4 lutff_5/in_3 +buffer local_g0_5 lutff_5/in_2 +buffer local_g0_6 lutff_2/in_2 +buffer local_g0_7 lutff_0/in_3 +buffer local_g1_1 lutff_5/in_1 +buffer local_g1_3 lutff_6/in_2 +buffer local_g1_4 lutff_1/in_2 +buffer local_g1_4 lutff_4/in_3 +buffer local_g1_5 lutff_3/in_3 +buffer local_g1_6 lutff_1/in_0 +buffer local_g1_7 lutff_6/in_0 +buffer local_g2_1 lutff_7/in_0 +buffer local_g2_2 lutff_4/in_0 +buffer local_g2_3 lutff_2/in_1 +buffer local_g2_4 lutff_0/in_0 +buffer local_g2_5 lutff_5/in_0 +buffer local_g2_6 lutff_0/in_2 +buffer local_g2_6 lutff_7/in_3 +buffer local_g2_7 lutff_0/in_1 +buffer local_g2_7 lutff_7/in_2 +buffer local_g3_0 lutff_2/in_3 +buffer local_g3_1 lutff_3/in_1 +buffer local_g3_2 lutff_3/in_0 +buffer local_g3_3 lutff_2/in_0 +buffer local_g3_5 lutff_7/in_1 +buffer local_g3_6 lutff_4/in_1 +buffer lutff_1/out local_g2_1 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_6/out sp12_v_b_12 +buffer neigh_op_bnr_2 local_g0_2 +buffer neigh_op_lft_6 local_g1_6 +buffer neigh_op_rgt_6 local_g3_6 +buffer sp12_h_r_13 local_g1_5 +buffer sp12_h_r_15 local_g1_7 +buffer sp12_h_r_17 local_g0_1 +buffer sp12_h_r_3 local_g1_3 +buffer sp12_h_r_6 sp4_h_r_15 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_h_r_20 local_g0_4 +buffer sp4_h_r_20 local_g1_4 +buffer sp4_h_r_23 local_g0_7 +buffer sp4_h_r_25 local_g3_1 +buffer sp4_h_r_26 local_g2_2 +buffer sp4_h_r_35 local_g2_3 +buffer sp4_h_r_37 local_g2_5 +buffer sp4_h_r_37 local_g3_5 +buffer sp4_r_v_b_1 local_g1_1 +buffer sp4_r_v_b_14 local_g2_6 +buffer sp4_r_v_b_36 local_g2_4 +buffer sp4_r_v_b_39 local_g2_7 +buffer sp4_r_v_b_40 local_g3_0 +buffer sp4_r_v_b_42 local_g3_2 +buffer sp4_v_b_0 local_g0_0 +buffer sp4_v_b_13 local_g0_5 +buffer sp4_v_b_14 local_g0_6 +buffer sp4_v_b_27 local_g3_3 +routing sp12_h_l_23 sp12_v_b_0 +routing sp4_h_l_37 sp4_v_b_6 +routing sp4_h_l_39 sp4_v_t_42 +routing sp4_h_l_40 sp4_v_t_47 +routing sp4_h_l_41 sp4_v_t_44 +routing sp4_h_l_46 sp4_v_t_41 +routing sp4_h_r_0 sp4_v_t_43 +routing sp4_h_r_9 sp4_v_b_2 -.logic_tile 6 4 -ColBufCtrl glb_netwk_5 -ColBufCtrl glb_netwk_6 -LC_0 0000100011111110 0000 -LC_1 1111011100000000 0000 -LC_2 1111011000000000 0000 -LC_3 0010000000000000 0000 -LC_4 0001000000000000 0000 -LC_5 0000000011111110 0000 -LC_6 1000000000000000 0100 DffEnable -LC_7 1111001000000000 0100 DffEnable +.logic_tile 12 16 +LC_0 1000000000000000 0000 +LC_4 1000000000000000 0000 +buffer local_g2_0 lutff_4/in_0 +buffer local_g3_5 lutff_0/in_0 +buffer sp12_v_b_13 local_g3_5 +buffer sp4_v_b_24 local_g2_0 +routing sp4_v_b_0 sp4_h_l_40 + +.logic_tile 5 1 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0110100110010110 1000 CarryEnable +LC_3 0110100110010110 1000 CarryEnable +LC_4 0110100110010110 1000 CarryEnable +LC_5 0110100110010110 1000 CarryEnable +LC_6 0110100110010110 1000 CarryEnable +LC_7 0110100110010110 1000 CarryEnable +buffer local_g0_0 lutff_4/in_2 +buffer local_g0_1 lutff_0/in_1 +buffer local_g0_2 lutff_2/in_2 +buffer local_g0_5 lutff_5/in_2 +buffer local_g0_6 lutff_6/in_2 +buffer local_g1_0 lutff_1/in_2 +buffer local_g1_4 lutff_3/in_2 +buffer local_g2_3 lutff_7/in_2 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/cout lutff_3/in_3 +buffer lutff_2/out sp4_h_r_4 +buffer lutff_3/cout lutff_4/in_3 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_4/cout lutff_5/in_3 +buffer lutff_5/cout lutff_6/in_3 +buffer lutff_5/out sp12_h_r_2 +buffer lutff_5/out sp4_h_r_10 +buffer lutff_5/out sp4_r_v_b_27 +buffer lutff_6/cout lutff_7/in_3 +buffer lutff_6/out sp12_h_r_4 +buffer lutff_6/out sp4_h_r_28 +buffer lutff_6/out sp4_r_v_b_29 +buffer lutff_7/out sp12_h_r_6 +buffer lutff_7/out sp4_h_r_30 +buffer lutff_7/out sp4_r_v_b_31 +buffer neigh_op_lft_0 local_g0_0 +buffer neigh_op_lft_6 local_g0_6 +buffer sp12_h_r_0 sp4_h_r_12 +buffer sp4_h_r_12 local_g1_4 +buffer sp4_h_r_16 local_g1_0 +buffer sp4_h_r_18 local_g0_2 +buffer sp4_h_r_21 local_g0_5 +buffer sp4_h_r_35 local_g2_3 +buffer sp4_v_b_17 local_g0_1 +routing sp4_h_r_2 sp4_v_b_7 + +.logic_tile 12 10 +LC_0 0000000000000000 1000 CarryEnable +LC_1 0110100110010110 1000 CarryEnable +LC_2 0110100110010110 1000 CarryEnable +LC_3 0110100110010110 0000 +LC_4 0000000000000001 0000 +LC_5 0010000000000000 0100 DffEnable +LC_6 0010000000000000 0100 DffEnable +LC_7 0010000000000000 0100 DffEnable +buffer glb2local_1 local_g0_5 +buffer glb_netwk_4 glb2local_1 buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_7/in_1 -buffer local_g0_2 lutff_3/in_1 -buffer local_g0_3 lutff_3/in_0 -buffer local_g0_5 lutff_7/in_2 -buffer local_g0_6 lutff_1/in_1 -buffer local_g0_7 lutff_1/in_0 +buffer local_g0_3 lutff_3/in_2 +buffer local_g0_5 lutff_0/in_1 +buffer local_g0_6 lutff_2/in_2 buffer local_g0_7 lutff_5/in_0 -buffer local_g1_0 lutff_4/in_1 -buffer local_g1_6 lutff_0/in_1 -buffer local_g1_6 lutff_2/in_1 -buffer local_g1_6 lutff_5/in_2 -buffer local_g1_7 lutff_0/in_0 -buffer local_g1_7 lutff_2/in_0 +buffer local_g0_7 lutff_7/in_0 +buffer local_g1_1 lutff_7/in_1 +buffer local_g1_2 lutff_6/in_1 +buffer local_g1_3 lutff_5/in_1 +buffer local_g1_5 lutff_0/in_2 +buffer local_g1_6 lutff_4/in_1 buffer local_g1_7 lutff_6/in_0 -buffer local_g2_2 lutff_0/in_2 -buffer local_g2_2 lutff_2/in_2 -buffer local_g2_2 lutff_5/in_1 -buffer local_g2_7 lutff_0/in_3 -buffer local_g2_7 lutff_7/in_0 -buffer local_g3_2 lutff_1/in_2 +buffer local_g2_5 lutff_4/in_3 +buffer local_g2_7 lutff_1/in_2 buffer local_g3_5 lutff_4/in_0 -buffer local_g3_7 lutff_5/in_3 -buffer lutff_0/out local_g1_0 -buffer lutff_0/out sp12_v_b_16 -buffer lutff_0/out sp4_v_b_0 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_1/out sp4_r_v_b_3 -buffer lutff_2/out sp4_r_v_b_21 -buffer lutff_3/out sp4_r_v_b_23 -buffer lutff_4/out sp12_v_b_8 -buffer lutff_5/out sp12_h_r_18 +buffer local_g3_7 lutff_4/in_2 +buffer lutff_0/cout lutff_1/in_3 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_1/out local_g1_1 +buffer lutff_2/cout lutff_3/in_3 +buffer lutff_2/out local_g1_2 +buffer lutff_3/out local_g1_3 +buffer lutff_4/out sp4_v_b_24 +buffer lutff_5/out local_g3_5 +buffer lutff_5/out sp4_r_v_b_27 buffer lutff_6/out local_g0_6 buffer lutff_6/out local_g1_6 +buffer lutff_7/out local_g2_7 buffer lutff_7/out local_g3_7 -buffer lutff_7/out sp12_h_r_6 -buffer neigh_op_bot_5 local_g0_5 -buffer neigh_op_rgt_5 local_g3_5 -buffer neigh_op_tnl_7 local_g2_7 -buffer neigh_op_top_7 local_g0_7 -buffer neigh_op_top_7 local_g1_7 -buffer sp12_h_r_16 local_g0_0 -buffer sp12_v_b_19 sp4_v_b_21 -buffer sp4_h_r_11 local_g0_3 -buffer sp4_r_v_b_26 local_g0_2 -buffer sp4_v_b_34 local_g2_2 -buffer sp4_v_b_34 local_g3_2 -routing sp4_h_l_37 sp4_v_b_6 -routing sp4_h_l_43 sp4_v_t_43 -routing sp4_h_l_46 sp4_v_t_46 -routing sp4_h_r_11 sp4_v_t_40 -routing sp4_h_r_8 sp4_v_t_45 -routing sp4_v_b_1 sp4_v_t_36 -routing sp4_v_t_40 sp4_v_b_1 +buffer neigh_op_top_5 local_g1_5 +buffer sp12_h_r_15 local_g0_7 +buffer sp12_h_r_15 local_g1_7 +buffer sp12_v_b_13 local_g2_5 +buffer sp4_r_v_b_27 local_g0_3 +routing sp4_h_l_44 sp4_v_b_3 +routing sp4_v_b_0 sp4_v_t_37 +routing sp4_v_b_3 sp4_v_t_38 -.logic_tile 5 4 -ColBufCtrl glb_netwk_0 -ColBufCtrl glb_netwk_6 -LC_0 0000000000000010 0000 -LC_1 0000000011111101 0000 -LC_2 1000000000000000 0000 -LC_3 1100010100000000 0000 -LC_4 0000000000110101 0000 -LC_5 0000111000000000 0000 -LC_6 0111000000000000 0100 DffEnable -LC_7 0001000111110001 0100 DffEnable +.logic_tile 7 2 +LC_2 0100000000000000 0100 DffEnable +LC_4 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable +NegClk +buffer glb_netwk_1 lutff_global/cen +buffer glb_netwk_4 lutff_global/s_r buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_6/in_0 -buffer local_g0_1 lutff_0/in_1 -buffer local_g0_1 lutff_4/in_1 -buffer local_g0_2 lutff_4/in_2 -buffer local_g0_3 lutff_7/in_2 -buffer local_g0_5 lutff_3/in_2 -buffer local_g0_6 lutff_0/in_2 -buffer local_g0_7 lutff_2/in_1 -buffer local_g1_0 lutff_4/in_3 -buffer local_g1_1 lutff_3/in_1 -buffer local_g1_2 lutff_5/in_0 -buffer local_g1_4 lutff_7/in_0 -buffer local_g1_5 lutff_0/in_0 -buffer local_g1_6 lutff_6/in_1 -buffer local_g1_7 lutff_7/in_1 -buffer local_g2_0 lutff_5/in_1 -buffer local_g2_1 lutff_5/in_2 -buffer local_g2_2 lutff_1/in_1 -buffer local_g2_2 lutff_4/in_0 -buffer local_g2_2 lutff_7/in_3 -buffer local_g2_3 lutff_2/in_3 -buffer local_g2_4 lutff_1/in_3 -buffer local_g2_5 lutff_1/in_0 -buffer local_g2_6 lutff_2/in_0 -buffer local_g2_7 lutff_1/in_2 -buffer local_g3_0 lutff_3/in_0 -buffer local_g3_4 lutff_0/in_3 -buffer local_g3_5 lutff_2/in_2 -buffer lutff_0/out local_g0_0 -buffer lutff_1/out local_g1_1 -buffer lutff_2/out local_g1_2 -buffer lutff_3/out local_g0_3 -buffer lutff_5/out local_g1_5 -buffer lutff_6/out sp12_v_b_12 -buffer lutff_6/out sp4_h_r_12 -buffer lutff_7/out local_g1_7 -buffer lutff_7/out sp4_r_v_b_31 -buffer neigh_op_bnl_5 local_g3_5 -buffer neigh_op_bnr_4 local_g1_4 -buffer neigh_op_bot_5 local_g0_5 -buffer neigh_op_rgt_1 local_g2_1 -buffer neigh_op_rgt_4 local_g2_4 -buffer neigh_op_rgt_5 local_g2_5 -buffer neigh_op_tnr_7 local_g2_7 -buffer sp12_h_r_14 local_g1_6 -buffer sp12_h_r_23 local_g0_7 -buffer sp12_v_b_3 sp4_v_b_13 -buffer sp4_h_r_18 local_g0_2 -buffer sp4_h_r_32 local_g3_0 -buffer sp4_r_v_b_0 local_g1_0 -buffer sp4_r_v_b_10 local_g2_2 -buffer sp4_r_v_b_30 local_g0_6 -buffer sp4_v_b_27 local_g2_3 -buffer sp4_v_b_36 local_g3_4 -buffer sp4_v_b_40 local_g2_0 +buffer local_g0_2 lutff_2/in_0 +buffer local_g2_6 lutff_4/in_0 +buffer local_g3_1 lutff_6/in_0 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_4/out sp4_h_r_40 +buffer lutff_4/out sp4_v_b_40 +buffer lutff_6/out sp4_h_r_44 +buffer lutff_6/out sp4_r_v_b_45 +buffer sp12_h_r_18 local_g0_2 +buffer sp4_r_v_b_41 local_g3_1 buffer sp4_v_b_46 local_g2_6 -buffer sp4_v_b_9 local_g0_1 -routing sp4_h_l_37 sp4_v_b_0 -routing sp4_h_l_40 sp4_v_b_5 -routing sp4_h_l_42 sp4_v_b_1 -routing sp4_h_l_44 sp4_v_t_39 +routing sp12_h_r_1 sp12_h_l_22 +routing sp4_h_l_43 sp4_v_b_6 +routing sp4_h_l_44 sp4_v_b_9 +routing sp4_h_r_6 sp4_v_b_11 +routing sp4_h_r_8 sp4_v_t_39 +routing sp4_v_b_11 sp4_v_t_42 + +.logic_tile 6 14 +LC_0 1001011010010110 0000 +LC_1 0000000000001000 0000 +LC_2 0010000000000000 0000 +LC_3 0000101100000000 0000 +LC_4 1001100101100110 0000 +LC_5 0000000000000010 0000 +LC_6 0010000000000000 0000 +LC_7 1001100101100110 0000 +buffer glb2local_3 local_g0_7 +buffer glb_netwk_7 glb2local_3 +buffer local_g0_0 lutff_6/in_0 +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_1 lutff_5/in_2 +buffer local_g0_2 lutff_1/in_3 +buffer local_g0_2 lutff_7/in_3 +buffer local_g0_3 lutff_2/in_1 +buffer local_g0_3 lutff_6/in_1 +buffer local_g0_4 lutff_3/in_1 +buffer local_g0_5 lutff_4/in_3 +buffer local_g0_7 lutff_1/in_2 +buffer local_g1_1 lutff_5/in_1 +buffer local_g1_6 lutff_3/in_2 +buffer local_g1_7 lutff_1/in_1 +buffer local_g2_4 lutff_5/in_3 +buffer local_g2_5 lutff_0/in_1 +buffer local_g2_7 lutff_4/in_1 +buffer local_g3_0 lutff_5/in_0 +buffer local_g3_1 lutff_2/in_0 +buffer local_g3_6 lutff_1/in_0 +buffer lutff_0/out sp12_h_r_8 +buffer lutff_0/out sp12_v_b_16 +buffer lutff_1/out local_g1_1 +buffer lutff_2/out sp4_r_v_b_5 +buffer lutff_4/out sp4_v_b_24 +buffer lutff_4/out sp4_v_b_40 +buffer lutff_5/out sp4_h_r_26 +buffer lutff_6/out local_g1_6 +buffer lutff_6/out sp4_v_b_28 +buffer lutff_7/out local_g1_7 +buffer neigh_op_bnl_5 local_g2_5 +buffer neigh_op_bnl_7 local_g2_7 +buffer neigh_op_bot_0 local_g0_0 +buffer sp12_v_b_1 local_g3_1 +buffer sp12_v_b_14 local_g3_6 +buffer sp12_v_b_4 local_g2_4 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_r_v_b_28 local_g0_4 +buffer sp4_r_v_b_29 local_g0_5 +buffer sp4_r_v_b_33 local_g0_2 +buffer sp4_r_v_b_34 local_g0_1 +buffer sp4_v_b_32 local_g3_0 +routing sp4_h_l_44 sp4_h_r_9 routing sp4_h_l_45 sp4_h_r_4 -routing sp4_v_b_10 sp4_h_l_47 -routing sp4_v_b_10 sp4_v_t_36 -routing sp4_v_b_2 sp4_h_l_39 -routing sp4_v_b_2 sp4_v_t_47 -routing sp4_v_t_45 sp4_h_r_1 -routing sp4_v_t_46 sp4_h_r_11 +routing sp4_v_b_10 sp4_h_l_38 +routing sp4_v_b_3 sp4_h_l_45 +routing sp4_v_t_45 sp4_v_b_4 -.logic_tile 11 4 -ColBufCtrl glb_netwk_2 -ColBufCtrl glb_netwk_5 -ColBufCtrl glb_netwk_6 -LC_0 1010110000000000 0000 -LC_1 1100010100000000 0110 DffEnable Set_NoReset -LC_2 0001111111111111 0110 DffEnable Set_NoReset -LC_3 0101001100000000 0110 DffEnable Set_NoReset -LC_4 0111000000000000 0110 DffEnable Set_NoReset -LC_5 0111000000000000 0110 DffEnable Set_NoReset -LC_6 0111000000000000 0110 DffEnable Set_NoReset -LC_7 0111000000000000 0110 DffEnable Set_NoReset -buffer glb_netwk_2 lutff_global/s_r +.logic_tile 1 2 +LC_4 0100000000000000 0100 DffEnable +NegClk +buffer glb_netwk_1 lutff_global/cen +buffer glb_netwk_4 lutff_global/s_r buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_1/in_1 -buffer local_g0_1 lutff_1/in_0 -buffer local_g0_3 lutff_3/in_0 -buffer local_g0_4 lutff_2/in_2 -buffer local_g0_5 lutff_1/in_2 -buffer local_g0_6 lutff_5/in_1 -buffer local_g0_7 lutff_2/in_1 -buffer local_g0_7 lutff_3/in_2 -buffer local_g1_0 lutff_4/in_1 -buffer local_g1_1 lutff_2/in_0 -buffer local_g1_3 lutff_6/in_0 -buffer local_g1_4 lutff_2/in_3 -buffer local_g1_6 lutff_6/in_1 -buffer local_g1_7 lutff_0/in_2 -buffer local_g2_0 lutff_4/in_0 -buffer local_g2_1 lutff_5/in_0 -buffer local_g2_3 lutff_7/in_0 -buffer local_g2_4 lutff_7/in_1 -buffer local_g2_5 lutff_0/in_1 -buffer local_g2_6 lutff_3/in_1 -buffer local_g3_1 lutff_0/in_0 -buffer local_g3_3 lutff_global/cen -buffer lutff_0/out local_g0_0 -buffer lutff_1/out local_g3_1 -buffer lutff_1/out sp12_v_b_2 -buffer lutff_2/out sp4_r_v_b_21 -buffer lutff_3/out local_g0_3 -buffer lutff_3/out sp12_v_b_22 -buffer lutff_4/out sp4_r_v_b_9 -buffer lutff_5/out sp4_r_v_b_11 -buffer lutff_6/out sp4_h_r_12 -buffer lutff_6/out sp4_r_v_b_13 -buffer lutff_7/out sp12_v_b_14 -buffer lutff_7/out sp4_h_r_14 -buffer lutff_7/out sp4_v_b_30 -buffer neigh_op_bot_5 local_g0_5 -buffer neigh_op_top_4 local_g0_4 -buffer sp12_h_r_14 local_g1_6 -buffer sp12_h_r_16 local_g1_0 -buffer sp12_h_r_19 local_g1_3 -buffer sp12_h_r_22 local_g0_6 -buffer sp12_v_b_1 sp4_v_b_12 -buffer sp4_h_r_17 local_g0_1 -buffer sp4_h_r_27 local_g2_3 -buffer sp4_h_r_35 local_g3_3 -buffer sp4_h_r_36 local_g2_4 -buffer sp4_h_r_40 local_g2_0 -buffer sp4_h_r_46 local_g2_6 -buffer sp4_r_v_b_31 local_g0_7 -buffer sp4_r_v_b_31 local_g1_7 -buffer sp4_v_b_1 local_g1_1 -buffer sp4_v_b_12 local_g1_4 -buffer sp4_v_b_41 local_g2_1 -buffer sp4_v_b_45 local_g2_5 -routing sp4_h_l_37 sp4_v_b_6 -routing sp4_h_l_38 sp4_v_t_45 -routing sp4_h_l_43 sp4_v_t_46 -routing sp4_h_l_45 sp4_v_t_36 -routing sp4_h_r_0 sp4_v_b_0 -routing sp4_v_b_0 sp4_v_t_38 -routing sp4_v_b_3 sp4_h_l_45 -routing sp4_v_b_3 sp4_v_t_43 -routing sp4_v_t_45 sp4_v_b_8 +buffer local_g0_2 lutff_4/in_0 +buffer lutff_4/out sp4_h_r_8 +buffer sp12_h_r_10 local_g0_2 -.logic_tile 7 1 +.logic_tile 12 2 +LC_0 0100000000000000 0100 DffEnable +LC_1 0100000000000000 0100 DffEnable +NegClk +buffer glb_netwk_1 lutff_global/cen +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_7 lutff_1/in_0 +buffer local_g1_1 lutff_0/in_0 +buffer lutff_0/out sp12_h_r_8 +buffer lutff_1/out sp12_h_r_10 +buffer sp12_h_r_15 local_g0_7 +buffer sp12_h_r_9 local_g1_1 + +.logic_tile 11 10 CarryInSet LC_0 0000000000000000 1000 CarryEnable LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000000000000 1000 CarryEnable -LC_3 0000000000000000 1000 CarryEnable -LC_4 0000000011111111 0000 -LC_5 1000000000000000 0000 -LC_6 1000000000000000 0000 -LC_7 1000000000000000 0000 -buffer local_g1_0 lutff_1/in_2 -buffer local_g1_1 lutff_6/in_0 -buffer local_g1_2 lutff_7/in_0 -buffer local_g1_4 lutff_5/in_0 -buffer local_g1_6 lutff_0/in_1 -buffer local_g1_7 lutff_2/in_2 -buffer local_g2_1 lutff_3/in_2 -buffer lutff_3/cout lutff_4/in_3 -buffer lutff_4/out sp12_v_b_8 -buffer lutff_6/out local_g1_6 -buffer lutff_7/out local_g1_7 -buffer neigh_op_top_0 local_g1_0 -buffer neigh_op_top_1 local_g1_1 +LC_2 1001100101100110 0000 +LC_3 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_1/in_2 +buffer local_g0_3 lutff_0/in_1 +buffer local_g0_4 lutff_6/in_0 +buffer local_g0_6 lutff_1/in_1 +buffer local_g1_2 lutff_3/in_0 +buffer local_g1_3 lutff_global/cen +buffer local_g1_6 lutff_2/in_1 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/out sp4_h_r_36 +buffer lutff_3/out sp4_h_r_6 +buffer lutff_6/out sp4_v_b_12 buffer neigh_op_top_2 local_g1_2 -buffer sp12_h_r_20 local_g1_4 -buffer sp4_r_v_b_33 local_g2_1 -routing sp4_h_r_0 sp4_v_t_43 -routing sp4_h_r_9 sp4_v_t_38 +buffer sp12_h_r_14 local_g1_6 +buffer sp12_h_r_22 local_g0_6 +buffer sp4_h_r_12 local_g0_4 +buffer sp4_h_r_17 local_g0_1 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_r_v_b_3 local_g1_3 -.logic_tile 6 11 -LC_0 0000001000000000 0000 -LC_1 0000001000000000 0000 -LC_2 0000000000001101 0000 -LC_3 1101000011111111 0000 -LC_4 0010000000000000 0000 -LC_5 0000000100000000 0000 -LC_6 1000000000001000 0000 -LC_7 0100000000000000 0100 DffEnable +.logic_tile 4 9 +LC_3 0000100000000000 0000 +LC_4 0000000100000000 0000 +LC_5 0000001000000000 0000 +LC_6 0001111000000000 0110 DffEnable Set_NoReset +buffer glb_netwk_3 lutff_global/cen +buffer glb_netwk_4 lutff_global/s_r buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_3/in_1 -buffer local_g0_1 lutff_1/in_0 -buffer local_g0_2 lutff_0/in_2 -buffer local_g0_3 lutff_2/in_3 -buffer local_g0_7 lutff_6/in_3 -buffer local_g1_1 lutff_5/in_1 -buffer local_g1_3 lutff_global/cen -buffer local_g1_5 lutff_3/in_3 +buffer local_g0_1 lutff_3/in_0 +buffer local_g0_2 lutff_3/in_1 +buffer local_g0_4 lutff_4/in_2 +buffer local_g0_5 lutff_5/in_0 +buffer local_g0_6 lutff_6/in_2 +buffer local_g1_4 lutff_5/in_2 buffer local_g1_5 lutff_6/in_0 -buffer local_g1_7 lutff_2/in_2 -buffer local_g2_0 lutff_1/in_1 -buffer local_g2_1 lutff_7/in_0 -buffer local_g2_3 lutff_0/in_1 -buffer local_g2_3 lutff_4/in_1 -buffer local_g2_5 lutff_3/in_0 -buffer local_g2_6 lutff_0/in_0 -buffer local_g2_6 lutff_4/in_0 -buffer local_g3_0 lutff_3/in_2 -buffer local_g3_2 lutff_2/in_1 -buffer local_g3_2 lutff_6/in_1 -buffer local_g3_4 lutff_5/in_0 -buffer local_g3_5 lutff_6/in_2 -buffer local_g3_6 lutff_1/in_2 -buffer local_g3_6 lutff_5/in_2 -buffer local_g3_7 lutff_2/in_0 -buffer lutff_0/out sp12_h_r_8 -buffer lutff_1/out sp4_r_v_b_3 -buffer lutff_3/out local_g0_3 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_5/out sp4_v_b_10 -buffer lutff_7/out sp4_h_r_30 -buffer lutff_7/out sp4_v_b_14 -buffer lutff_7/out sp4_v_b_30 -buffer neigh_op_bnl_7 local_g3_7 -buffer neigh_op_bnr_7 local_g0_7 -buffer neigh_op_lft_2 local_g0_2 -buffer neigh_op_rgt_0 local_g3_0 -buffer neigh_op_rgt_2 local_g3_2 -buffer neigh_op_tnl_6 local_g2_6 -buffer sp12_h_r_10 sp4_h_r_17 -buffer sp12_v_b_5 local_g2_5 -buffer sp12_v_b_5 local_g3_5 -buffer sp12_v_b_6 local_g3_6 -buffer sp4_h_r_15 local_g1_7 -buffer sp4_h_r_36 local_g3_4 -buffer sp4_r_v_b_29 local_g1_5 -buffer sp4_r_v_b_3 local_g1_3 -buffer sp4_r_v_b_35 local_g0_0 -buffer sp4_r_v_b_8 local_g2_0 -buffer sp4_v_b_1 local_g0_1 -buffer sp4_v_b_1 local_g1_1 -buffer sp4_v_b_25 local_g2_1 -buffer sp4_v_b_27 local_g2_3 -routing sp4_h_l_43 sp4_v_b_6 -routing sp4_v_b_10 sp4_v_t_43 -routing sp4_v_b_6 sp4_v_t_39 -routing sp4_v_b_9 sp4_v_t_44 -routing sp4_v_t_36 sp4_h_l_36 -routing sp4_v_t_38 sp4_h_r_8 -routing sp4_v_t_39 sp4_h_r_7 -routing sp4_v_t_46 sp4_h_l_46 +buffer local_g1_6 lutff_4/in_1 +buffer local_g2_2 lutff_5/in_1 +buffer local_g2_7 lutff_6/in_1 +buffer local_g3_1 lutff_4/in_0 +buffer local_g3_6 lutff_3/in_2 +buffer lutff_3/out sp4_r_v_b_7 +buffer lutff_4/out local_g1_4 +buffer lutff_5/out local_g1_5 +buffer lutff_5/out sp4_r_v_b_43 +buffer lutff_5/out sp4_v_b_26 +buffer lutff_6/out local_g0_6 +buffer lutff_6/out sp12_h_r_4 +buffer lutff_6/out sp4_v_b_12 +buffer neigh_op_rgt_2 local_g2_2 +buffer sp12_h_r_14 local_g1_6 +buffer sp12_v_b_22 local_g3_6 +buffer sp4_h_r_17 local_g0_1 +buffer sp4_h_r_18 local_g0_2 +buffer sp4_h_r_20 local_g0_4 +buffer sp4_r_v_b_17 local_g3_1 +buffer sp4_v_b_21 local_g0_5 +buffer sp4_v_b_39 local_g2_7 +routing sp4_h_l_36 sp4_v_t_43 +routing sp4_v_t_40 sp4_v_b_1 -.logic_tile 1 13 +.logic_tile 7 15 CarryInSet -ColBufCtrl glb_netwk_0 -ColBufCtrl glb_netwk_6 LC_0 0000000000000000 1000 CarryEnable LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000011111111 1000 CarryEnable -LC_3 1001100101100110 0000 -LC_4 1101000000000000 0100 DffEnable -LC_5 1101000000000000 0100 DffEnable -LC_6 0000100100000000 0100 DffEnable -LC_7 1101000000000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_7/in_0 -buffer local_g0_5 lutff_2/in_1 -buffer local_g0_6 lutff_1/in_1 -buffer local_g0_6 lutff_6/in_0 +LC_2 0000000000000000 1000 CarryEnable +LC_3 0000000000000000 1000 CarryEnable +LC_4 0000000011111111 0000 +LC_5 1000000000000000 0000 +LC_6 0110000000000000 0000 +buffer local_g0_0 lutff_6/in_0 +buffer local_g0_5 lutff_0/in_1 buffer local_g1_0 lutff_1/in_2 -buffer local_g1_4 lutff_0/in_1 +buffer local_g1_2 lutff_3/in_2 +buffer local_g1_4 lutff_5/in_0 buffer local_g1_4 lutff_6/in_1 -buffer local_g1_7 lutff_3/in_1 -buffer local_g2_0 lutff_2/in_2 -buffer local_g2_3 lutff_4/in_1 -buffer local_g3_3 lutff_5/in_1 -buffer local_g3_3 lutff_7/in_1 -buffer local_g3_5 lutff_4/in_0 -buffer local_g3_6 lutff_5/in_0 -buffer local_g3_7 lutff_6/in_2 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_3/out local_g0_3 -buffer lutff_4/out local_g1_4 -buffer lutff_4/out sp4_r_v_b_41 +buffer local_g1_7 lutff_2/in_2 +buffer lutff_3/cout lutff_4/in_3 buffer lutff_5/out local_g0_5 -buffer lutff_5/out sp4_h_r_10 -buffer lutff_6/out local_g0_6 buffer lutff_6/out sp4_r_v_b_13 -buffer lutff_7/out local_g1_7 -buffer neigh_op_rgt_3 local_g2_3 -buffer neigh_op_rgt_3 local_g3_3 -buffer neigh_op_rgt_5 local_g3_5 -buffer neigh_op_rgt_6 local_g3_6 -buffer neigh_op_rgt_7 local_g3_7 -buffer sp4_h_r_40 local_g2_0 -buffer sp4_r_v_b_0 local_g1_0 -buffer sp4_r_v_b_26 local_g0_2 +buffer neigh_op_bnr_7 local_g1_7 +buffer sp12_h_r_12 local_g1_4 +buffer sp12_h_r_16 local_g0_0 +buffer sp12_h_r_16 local_g1_0 +buffer sp4_h_r_18 local_g1_2 +routing sp12_h_r_0 sp12_v_b_0 +routing sp4_h_l_41 sp4_v_b_4 -.logic_tile 12 7 -LC_0 0100000000000000 0100 DffEnable -LC_5 0100000000000000 0100 DffEnable -NegClk +.logic_tile 2 9 +LC_1 0010000000000000 0000 +LC_5 1110000000000000 0000 +LC_7 1000000000000000 0100 DffEnable +buffer glb2local_0 local_g0_4 +buffer glb2local_1 local_g0_5 +buffer glb_netwk_2 glb2local_0 +buffer glb_netwk_3 lutff_global/cen +buffer glb_netwk_4 lutff_global/s_r buffer glb_netwk_6 lutff_global/clk -buffer local_g2_2 lutff_0/in_0 -buffer local_g3_0 lutff_5/in_0 -buffer lutff_0/out sp4_v_b_0 -buffer lutff_5/out sp12_h_r_18 -buffer neigh_op_rgt_2 local_g2_2 -buffer neigh_op_tnr_0 local_g3_0 -routing sp4_h_l_44 sp4_v_t_44 -routing sp4_h_l_45 sp4_v_b_2 -routing sp4_v_b_10 sp4_h_l_47 -routing sp4_v_b_10 sp4_v_t_43 -routing sp4_v_b_6 sp4_h_l_46 +buffer glb_netwk_7 glb2local_1 +buffer local_g0_2 lutff_5/in_1 +buffer local_g0_4 lutff_7/in_1 +buffer local_g0_5 lutff_5/in_0 +buffer local_g1_4 lutff_7/in_0 +buffer local_g2_1 lutff_1/in_0 +buffer local_g2_4 lutff_1/in_1 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_1/out sp4_h_r_2 +buffer lutff_5/out sp4_h_r_42 +buffer lutff_7/out sp12_h_r_6 +buffer lutff_7/out sp4_r_v_b_47 +buffer lutff_7/out sp4_v_b_14 +buffer neigh_op_bot_4 local_g1_4 +buffer sp4_r_v_b_12 local_g2_4 +buffer sp4_r_v_b_33 local_g0_2 +buffer sp4_v_b_33 local_g2_1 +routing sp12_h_r_0 sp12_v_b_0 -.logic_tile 11 9 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0110100110010110 1000 CarryEnable -LC_3 0110100110010110 0000 -LC_4 1010110000000000 0000 -LC_5 1010110000000000 0000 -LC_6 1010110000000000 0000 -LC_7 1010001100000000 0100 DffEnable -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_4/in_0 -buffer local_g0_3 lutff_6/in_1 -buffer local_g0_4 lutff_6/in_0 -buffer local_g0_5 lutff_7/in_2 -buffer local_g0_7 lutff_4/in_1 -buffer local_g1_2 lutff_5/in_0 -buffer local_g1_3 lutff_5/in_1 -buffer local_g1_5 lutff_7/in_1 -buffer local_g1_6 lutff_3/in_2 -buffer local_g2_0 lutff_6/in_2 -buffer local_g2_1 lutff_0/in_1 -buffer local_g2_6 lutff_4/in_2 -buffer local_g2_7 lutff_7/in_0 -buffer local_g3_2 lutff_5/in_2 -buffer local_g3_3 lutff_global/cen -buffer local_g3_5 lutff_2/in_2 -buffer local_g3_6 lutff_1/in_2 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out sp4_v_b_20 -buffer lutff_4/out sp4_h_r_40 +.logic_tile 9 15 +LC_1 1000000000000000 0000 +LC_2 0010000000000000 0000 +LC_3 0000000010110000 0000 +LC_4 1001011010010110 0000 +LC_5 1000000000000000 0000 +LC_6 0110000000000000 0000 +buffer local_g0_0 lutff_6/in_0 +buffer local_g0_2 lutff_3/in_3 +buffer local_g0_3 lutff_1/in_0 +buffer local_g0_3 lutff_3/in_0 +buffer local_g0_6 lutff_2/in_0 +buffer local_g0_7 lutff_5/in_0 +buffer local_g1_0 lutff_4/in_1 +buffer local_g1_2 lutff_3/in_2 +buffer local_g1_4 lutff_6/in_1 +buffer local_g2_3 lutff_2/in_1 +buffer local_g3_5 lutff_3/in_1 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_2/out local_g1_2 +buffer lutff_2/out sp4_v_b_4 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_3/out sp4_h_r_38 +buffer lutff_4/out sp12_h_r_16 buffer lutff_5/out sp4_h_r_42 -buffer lutff_6/out sp4_r_v_b_13 -buffer lutff_7/out local_g2_7 -buffer neigh_op_lft_2 local_g1_2 -buffer neigh_op_lft_4 local_g0_4 -buffer neigh_op_rgt_0 local_g2_0 -buffer neigh_op_rgt_2 local_g3_2 -buffer neigh_op_rgt_6 local_g2_6 -buffer neigh_op_top_6 local_g1_6 -buffer sp12_h_r_13 local_g0_5 -buffer sp12_h_r_3 local_g0_3 -buffer sp12_v_b_17 local_g2_1 -buffer sp12_v_b_3 local_g3_3 -buffer sp4_r_v_b_22 local_g3_6 -buffer sp4_r_v_b_29 local_g1_5 -buffer sp4_v_b_11 local_g1_3 -buffer sp4_v_b_37 local_g3_5 -buffer sp4_v_b_7 local_g0_7 -buffer sp4_v_b_8 local_g0_0 -routing sp4_h_l_38 sp4_v_b_9 -routing sp4_v_b_9 sp4_v_t_40 -routing sp4_v_t_41 sp4_v_b_4 -routing sp4_v_t_45 sp4_v_b_8 +buffer lutff_6/out sp12_h_r_20 +buffer lutff_6/out sp12_h_r_4 +buffer neigh_op_bnl_5 local_g3_5 +buffer sp12_h_r_10 sp4_h_r_17 +buffer sp12_h_r_12 local_g1_4 +buffer sp4_h_r_14 local_g0_6 +buffer sp4_h_r_23 local_g0_7 +buffer sp4_h_r_27 local_g2_3 +buffer sp4_h_r_8 local_g0_0 +buffer sp4_h_r_8 local_g1_0 +buffer sp4_v_b_10 local_g0_2 +buffer sp4_v_b_3 local_g0_3 +routing sp4_v_b_3 sp4_h_l_45 -.logic_tile 12 11 -LC_0 0101001100000000 0100 DffEnable -LC_1 0101010100111100 0100 DffEnable -LC_2 0101010100111100 0100 DffEnable -LC_3 0101001100000000 0100 DffEnable -LC_6 0101001100000000 0100 DffEnable -LC_7 0101001100000000 0100 DffEnable -buffer glb_netwk_6 lutff_global/clk +.logic_tile 8 1 +LC_1 1010010101011010 0000 +LC_2 0000000100000000 0000 +LC_3 1000000000000000 0000 buffer local_g0_1 lutff_2/in_1 -buffer local_g0_2 lutff_1/in_1 -buffer local_g0_3 lutff_1/in_0 -buffer local_g0_4 lutff_2/in_0 -buffer local_g0_6 lutff_6/in_0 -buffer local_g0_7 lutff_3/in_0 -buffer local_g1_0 lutff_6/in_1 -buffer local_g1_2 lutff_7/in_0 +buffer local_g0_3 lutff_3/in_2 +buffer local_g0_5 lutff_3/in_0 +buffer local_g1_1 lutff_3/in_1 buffer local_g1_3 lutff_2/in_2 -buffer local_g1_5 lutff_7/in_1 -buffer local_g1_7 lutff_0/in_0 -buffer local_g2_1 lutff_0/in_1 -buffer local_g2_2 lutff_global/cen -buffer local_g2_6 lutff_0/in_2 -buffer local_g2_6 lutff_1/in_3 -buffer local_g2_6 lutff_6/in_2 -buffer local_g3_2 lutff_1/in_2 -buffer local_g3_3 lutff_3/in_1 -buffer local_g3_6 lutff_2/in_3 -buffer local_g3_6 lutff_3/in_2 -buffer local_g3_6 lutff_7/in_2 -buffer lutff_0/out sp4_h_r_32 -buffer lutff_1/out sp4_h_r_34 -buffer lutff_2/out sp4_v_b_36 +buffer local_g1_5 lutff_2/in_0 +buffer local_g2_3 lutff_1/in_2 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_1/out sp12_v_b_2 +buffer lutff_2/out sp4_h_r_20 +buffer lutff_2/out sp4_r_v_b_37 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_3/out sp4_v_b_22 buffer lutff_3/out sp4_v_b_38 -buffer lutff_6/out sp4_h_r_28 -buffer lutff_7/out sp4_h_r_30 -buffer neigh_op_bnl_2 local_g3_2 +buffer sp12_h_r_11 local_g0_3 +buffer sp12_h_r_11 local_g1_3 +buffer sp12_h_r_13 local_g0_5 +buffer sp12_h_r_13 local_g1_5 +buffer sp12_h_r_14 sp4_h_r_19 +buffer sp12_h_r_9 local_g0_1 +buffer sp12_h_r_9 local_g1_1 +buffer sp12_v_b_3 local_g2_3 +routing sp4_h_r_4 sp4_v_t_41 +routing sp4_v_b_11 sp4_v_t_42 +routing sp4_v_b_4 sp4_h_l_44 +routing sp4_v_t_38 sp4_v_b_11 +routing sp4_v_t_45 sp4_h_l_45 + +.logic_tile 12 9 +routing sp4_v_t_44 sp4_h_r_9 + +.logic_tile 5 12 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_6 +ColBufCtrl glb_netwk_7 +LC_0 0101001100000000 0000 +LC_1 1010110000000000 0000 +LC_2 1010110000000000 0000 +LC_4 0101001100000000 0000 +LC_5 0101110000000000 0110 DffEnable Set_NoReset +LC_7 0101110000000000 0110 DffEnable Set_NoReset +buffer glb_netwk_2 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_7/in_0 +buffer local_g0_3 lutff_2/in_1 +buffer local_g0_4 lutff_0/in_0 +buffer local_g0_5 lutff_1/in_2 +buffer local_g0_6 lutff_2/in_0 +buffer local_g0_7 lutff_5/in_0 +buffer local_g1_2 lutff_1/in_0 +buffer local_g1_3 lutff_global/cen +buffer local_g1_5 lutff_0/in_2 +buffer local_g1_5 lutff_2/in_2 +buffer local_g1_5 lutff_4/in_2 +buffer local_g1_6 lutff_5/in_2 +buffer local_g1_6 lutff_7/in_2 +buffer local_g1_7 lutff_4/in_0 +buffer local_g2_3 lutff_4/in_1 +buffer local_g2_4 lutff_7/in_1 +buffer local_g2_6 lutff_5/in_1 +buffer local_g2_7 lutff_0/in_1 +buffer local_g3_5 lutff_1/in_1 +buffer lutff_0/out sp4_v_b_32 +buffer lutff_1/out sp4_v_b_34 +buffer lutff_2/out sp4_r_v_b_37 +buffer lutff_4/out sp4_h_r_40 +buffer lutff_5/out local_g3_5 +buffer lutff_7/out local_g2_7 buffer neigh_op_bot_2 local_g1_2 buffer neigh_op_bot_3 local_g0_3 buffer neigh_op_bot_4 local_g0_4 buffer neigh_op_bot_6 local_g0_6 -buffer neigh_op_bot_7 local_g0_7 -buffer neigh_op_lft_1 local_g0_1 -buffer neigh_op_lft_2 local_g0_2 -buffer neigh_op_lft_3 local_g1_3 -buffer neigh_op_lft_5 local_g1_5 -buffer neigh_op_tnl_1 local_g2_1 -buffer neigh_op_tnl_3 local_g3_3 -buffer sp4_h_r_46 local_g2_6 -buffer sp4_h_r_46 local_g3_6 +buffer sp12_h_r_7 local_g0_7 +buffer sp12_h_r_8 sp4_h_r_16 +buffer sp12_v_b_12 local_g2_4 +buffer sp12_v_b_14 local_g2_6 +buffer sp4_h_r_11 local_g1_3 +buffer sp4_h_r_13 local_g0_5 +buffer sp4_h_r_13 local_g1_5 buffer sp4_v_b_15 local_g1_7 -buffer sp4_v_b_16 local_g1_0 -buffer sp4_v_b_42 local_g2_2 -routing sp4_h_l_37 sp4_v_t_40 -routing sp4_h_l_44 sp4_v_b_3 -routing sp4_v_b_10 sp4_h_l_47 -routing sp4_v_b_9 sp4_v_t_44 +buffer sp4_v_b_17 local_g0_1 +buffer sp4_v_b_22 local_g1_6 +buffer sp4_v_b_35 local_g2_3 +routing sp4_h_l_36 sp4_v_b_1 +routing sp4_h_l_47 sp4_h_r_6 +routing sp4_v_b_0 sp4_h_r_0 +routing sp4_v_b_2 sp4_h_r_2 +routing sp4_v_b_5 sp4_h_r_11 +routing sp4_v_t_43 sp4_v_b_9 -.logic_tile 4 14 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0110100110010110 1000 CarryEnable -LC_3 0000000011111111 1000 CarryEnable -LC_4 0000000011111111 1000 CarryEnable -LC_5 0000000011111111 1000 CarryEnable -LC_6 1001100101100110 0000 -LC_7 1010010101011010 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r +.logic_tile 4 4 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +LC_1 0000000100000000 0000 +LC_2 1001000000000000 0000 +LC_5 0000100000000000 0000 +LC_7 0100000000000000 0111 DffEnable Set_NoReset AsyncSetReset +buffer glb_netwk_4 lutff_global/s_r buffer glb_netwk_6 lutff_global/clk -buffer local_g0_1 lutff_2/in_1 -buffer local_g0_2 lutff_1/in_1 -buffer local_g0_3 lutff_0/in_1 -buffer local_g0_4 lutff_3/in_1 -buffer local_g0_5 lutff_4/in_1 -buffer local_g0_6 lutff_5/in_1 -buffer local_g0_7 lutff_7/in_2 -buffer local_g1_2 lutff_6/in_1 +buffer local_g0_1 lutff_5/in_2 +buffer local_g0_7 lutff_2/in_1 +buffer local_g0_7 lutff_7/in_0 +buffer local_g1_7 lutff_2/in_0 buffer local_g2_2 lutff_global/cen -buffer local_g2_3 lutff_1/in_2 -buffer local_g2_3 lutff_3/in_2 -buffer local_g2_3 lutff_5/in_2 -buffer local_g3_3 lutff_4/in_2 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out sp4_h_r_4 -buffer lutff_3/cout lutff_4/in_3 -buffer lutff_4/cout lutff_5/in_3 -buffer lutff_4/out sp12_h_r_0 -buffer lutff_5/cout lutff_6/in_3 -buffer lutff_5/out sp4_h_r_42 -buffer lutff_6/out sp12_h_r_4 -buffer lutff_7/out local_g0_7 +buffer local_g2_3 lutff_5/in_0 +buffer local_g2_4 lutff_5/in_1 +buffer local_g2_5 lutff_1/in_0 +buffer local_g2_6 lutff_1/in_1 +buffer local_g2_7 lutff_1/in_2 +buffer lutff_1/out local_g0_1 +buffer lutff_5/out sp12_h_r_2 +buffer lutff_5/out sp4_h_r_10 +buffer lutff_7/out local_g1_7 +buffer lutff_7/out sp4_h_r_46 buffer lutff_7/out sp4_v_b_14 -buffer neigh_op_top_2 local_g0_2 -buffer neigh_op_top_4 local_g0_4 -buffer neigh_op_top_5 local_g0_5 -buffer neigh_op_top_6 local_g0_6 -buffer sp4_h_r_26 local_g2_2 -buffer sp4_h_r_35 local_g2_3 -buffer sp4_h_r_35 local_g3_3 +buffer neigh_op_bnl_3 local_g2_3 +buffer neigh_op_bnl_4 local_g2_4 +buffer neigh_op_bnl_5 local_g2_5 +buffer neigh_op_bnl_6 local_g2_6 +buffer neigh_op_bnl_7 local_g2_7 +buffer neigh_op_rgt_2 local_g2_2 +buffer sp12_h_r_2 sp4_h_r_13 +buffer sp4_h_r_7 local_g0_7 +routing sp4_h_l_37 sp4_h_r_0 +routing sp4_h_l_39 sp4_h_r_2 + +.logic_tile 6 3 +LC_1 0000000000000010 0000 +LC_2 0001000000000000 0000 +LC_4 0000000100000000 0000 +LC_6 0000000000001000 0000 +LC_7 0010000000000000 0000 +buffer local_g0_0 lutff_4/in_2 +buffer local_g0_2 lutff_7/in_1 +buffer local_g0_3 lutff_1/in_2 +buffer local_g0_4 lutff_1/in_3 +buffer local_g0_5 lutff_1/in_0 +buffer local_g0_5 lutff_6/in_1 +buffer local_g0_7 lutff_2/in_1 +buffer local_g1_0 lutff_7/in_0 +buffer local_g1_2 lutff_6/in_3 +buffer local_g1_3 lutff_6/in_0 +buffer local_g1_4 lutff_4/in_1 +buffer local_g1_7 lutff_1/in_1 +buffer local_g1_7 lutff_6/in_2 +buffer local_g3_7 lutff_2/in_0 +buffer local_g3_7 lutff_4/in_0 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_2/out sp12_h_r_12 +buffer lutff_2/out sp12_v_b_20 +buffer lutff_2/out sp4_r_v_b_37 +buffer lutff_2/out sp4_v_b_36 +buffer lutff_4/out sp12_v_b_8 +buffer lutff_4/out sp4_r_v_b_25 +buffer lutff_6/out sp4_v_b_12 +buffer lutff_7/out local_g3_7 +buffer neigh_op_lft_4 local_g1_4 +buffer sp4_h_r_23 local_g0_7 +buffer sp4_r_v_b_24 local_g0_0 buffer sp4_r_v_b_26 local_g1_2 -buffer sp4_r_v_b_34 local_g0_1 -buffer sp4_v_b_19 local_g0_3 -routing sp12_h_r_0 sp12_h_l_23 -routing sp4_v_t_36 sp4_h_r_6 +buffer sp4_v_b_2 local_g0_2 +buffer sp4_v_b_3 local_g0_3 +buffer sp4_v_b_3 local_g1_3 +buffer sp4_v_b_4 local_g0_4 +buffer sp4_v_b_5 local_g0_5 +buffer sp4_v_b_7 local_g1_7 +buffer sp4_v_b_8 local_g1_0 +routing sp4_h_l_38 sp4_v_t_38 +routing sp4_h_l_40 sp4_v_b_11 +routing sp4_v_b_10 sp4_h_r_4 +routing sp4_v_b_11 sp4_v_t_42 +routing sp4_v_b_3 sp4_h_r_9 +routing sp4_v_b_5 sp4_h_r_5 +routing sp4_v_b_6 sp4_h_r_0 +routing sp4_v_b_7 sp4_h_r_1 +routing sp4_v_b_7 sp4_h_r_7 -.logic_tile 2 10 -LC_0 0001000000000000 0000 -LC_1 0000100000000000 0000 -LC_2 1111111110111101 0000 -LC_3 0001000000000000 0000 -LC_4 0000000000001000 0000 -LC_5 0000001000000000 0000 -LC_6 1001011010010110 0100 DffEnable -LC_7 1001100101100110 0100 DffEnable +.logic_tile 1 5 +ColBufCtrl glb_netwk_2 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_6 +LC_0 0100000000000000 0100 DffEnable +NegClk +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_2 lutff_global/cen +buffer local_g0_6 lutff_0/in_0 +buffer lutff_0/out sp4_r_v_b_33 +buffer sp4_h_r_14 local_g0_6 +buffer sp4_h_r_18 local_g0_2 +routing sp4_v_t_46 sp4_h_r_11 + +.logic_tile 11 1 +LC_1 0010001000101111 0110 DffEnable Set_NoReset +NegClk buffer glb_netwk_0 lutff_global/s_r buffer glb_netwk_6 lutff_global/clk -buffer local_g0_3 lutff_4/in_3 -buffer local_g0_3 lutff_5/in_0 -buffer local_g0_4 lutff_3/in_1 -buffer local_g0_6 lutff_1/in_1 -buffer local_g0_6 lutff_2/in_0 -buffer local_g0_6 lutff_4/in_2 -buffer local_g0_7 lutff_1/in_0 -buffer local_g1_0 lutff_2/in_3 -buffer local_g1_1 lutff_5/in_1 -buffer local_g1_2 lutff_5/in_2 -buffer local_g1_4 lutff_0/in_1 -buffer local_g1_7 lutff_4/in_0 -buffer local_g2_0 lutff_7/in_3 -buffer local_g2_2 lutff_global/cen -buffer local_g2_4 lutff_0/in_0 -buffer local_g2_5 lutff_1/in_2 -buffer local_g2_5 lutff_2/in_1 -buffer local_g2_5 lutff_4/in_1 -buffer local_g2_6 lutff_7/in_1 -buffer local_g2_7 lutff_3/in_0 -buffer local_g3_0 lutff_6/in_1 -buffer local_g3_3 lutff_2/in_2 -buffer lutff_0/out sp4_h_r_16 -buffer lutff_1/out local_g1_1 -buffer lutff_2/out sp4_r_v_b_37 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_5/out sp4_h_r_10 -buffer lutff_6/out local_g0_6 -buffer lutff_6/out sp4_h_r_28 -buffer lutff_7/out local_g0_7 -buffer lutff_7/out local_g1_7 -buffer lutff_7/out sp12_h_r_6 -buffer neigh_op_bot_2 local_g1_2 -buffer neigh_op_bot_3 local_g0_3 -buffer sp12_v_b_0 local_g2_0 -buffer sp4_h_r_0 local_g1_0 -buffer sp4_h_r_12 local_g0_4 -buffer sp4_h_r_12 local_g1_4 -buffer sp4_h_r_32 local_g3_0 -buffer sp4_r_v_b_10 local_g2_2 -buffer sp4_r_v_b_12 local_g2_4 -buffer sp4_r_v_b_15 local_g2_7 -buffer sp4_v_b_29 local_g2_5 -buffer sp4_v_b_30 local_g2_6 -buffer sp4_v_b_35 local_g3_3 -routing sp4_h_r_3 sp4_v_t_44 -routing sp4_v_b_11 sp4_h_r_11 -routing sp4_v_t_36 sp4_h_r_6 +buffer local_g0_1 lutff_1/in_0 +buffer local_g0_3 lutff_1/in_2 +buffer local_g1_1 lutff_1/in_3 +buffer local_g1_3 lutff_global/cen +buffer local_g3_1 lutff_1/in_1 +buffer lutff_1/out local_g3_1 +buffer lutff_1/out sp4_v_b_34 +buffer sp12_h_r_11 local_g0_3 +buffer sp12_h_r_16 sp4_h_r_20 +buffer sp12_v_b_15 sp4_v_b_19 +buffer sp4_v_b_1 local_g0_1 +buffer sp4_v_b_19 local_g1_3 +buffer sp4_v_b_9 local_g1_1 +routing sp4_h_l_36 sp4_v_b_1 +routing sp4_h_l_37 sp4_v_t_40 +routing sp4_h_l_44 sp4_v_b_9 +routing sp4_h_l_46 sp4_v_t_41 +routing sp4_h_l_47 sp4_h_r_6 +routing sp4_h_l_47 sp4_v_t_38 +routing sp4_v_b_1 sp4_v_t_36 -.logic_tile 9 10 -LC_0 1010110000000000 0000 -LC_1 0000000000000010 0000 -LC_2 1010110000000000 0000 -LC_3 1101000000000000 0000 -LC_4 1100010100000000 0000 +.logic_tile 7 10 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0000000000000000 1000 CarryEnable +LC_3 0000000000000000 1000 CarryEnable +LC_4 0000000011111111 0000 LC_5 0001000000000000 0000 -LC_6 1010110000000000 0000 -LC_7 1010010101011010 0100 DffEnable +LC_6 1110000000000000 0000 +LC_7 0111000000000000 0100 DffEnable +buffer glb_netwk_2 lutff_global/s_r buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_4/in_0 -buffer local_g0_1 lutff_5/in_0 +buffer local_g0_0 lutff_6/in_0 buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_1/in_0 -buffer local_g0_4 lutff_global/s_r -buffer local_g0_5 lutff_3/in_0 -buffer local_g0_6 lutff_3/in_1 -buffer local_g0_6 lutff_4/in_2 -buffer local_g0_7 lutff_0/in_1 -buffer local_g1_1 lutff_0/in_0 -buffer local_g1_3 lutff_1/in_1 -buffer local_g1_6 lutff_7/in_2 -buffer local_g1_7 lutff_6/in_2 -buffer local_g2_0 lutff_6/in_0 -buffer local_g2_1 lutff_6/in_1 -buffer local_g2_2 lutff_2/in_0 -buffer local_g2_3 lutff_1/in_2 -buffer local_g2_4 lutff_5/in_1 -buffer local_g2_5 lutff_4/in_1 -buffer local_g2_6 lutff_0/in_2 -buffer local_g2_7 lutff_3/in_2 -buffer local_g3_0 lutff_2/in_1 -buffer local_g3_1 lutff_2/in_2 -buffer local_g3_7 lutff_1/in_3 -buffer lutff_0/out sp4_h_r_16 -buffer lutff_1/out sp4_h_r_18 -buffer lutff_1/out sp4_v_b_2 -buffer lutff_2/out sp12_v_b_20 -buffer lutff_3/out local_g1_3 -buffer lutff_3/out sp4_h_r_22 -buffer lutff_4/out sp12_h_r_16 +buffer local_g0_3 lutff_0/in_1 +buffer local_g0_4 lutff_2/in_2 +buffer local_g0_5 lutff_7/in_0 +buffer local_g0_6 lutff_7/in_1 +buffer local_g1_0 lutff_3/in_2 +buffer local_g1_0 lutff_7/in_2 +buffer local_g1_4 lutff_5/in_0 +buffer local_g1_4 lutff_6/in_1 +buffer local_g1_6 lutff_2/in_1 +buffer local_g3_4 lutff_1/in_2 +buffer local_g3_5 lutff_5/in_1 +buffer lutff_3/cout lutff_4/in_3 +buffer lutff_4/out sp4_v_b_8 buffer lutff_5/out local_g0_5 -buffer lutff_6/out sp4_h_r_12 -buffer lutff_7/out sp12_h_r_22 -buffer lutff_7/out sp12_h_r_6 -buffer neigh_op_rgt_2 local_g2_2 -buffer neigh_op_top_1 local_g0_1 -buffer sp12_h_r_22 local_g1_6 -buffer sp12_v_b_7 local_g3_7 -buffer sp4_h_r_19 local_g0_3 -buffer sp4_h_r_23 local_g1_7 -buffer sp4_r_v_b_1 local_g1_1 -buffer sp4_r_v_b_30 local_g0_6 -buffer sp4_r_v_b_31 local_g0_7 -buffer sp4_r_v_b_35 local_g2_3 -buffer sp4_r_v_b_40 local_g3_0 -buffer sp4_r_v_b_8 local_g2_0 -buffer sp4_r_v_b_9 local_g2_1 -buffer sp4_v_b_0 local_g0_0 -buffer sp4_v_b_2 local_g0_2 -buffer sp4_v_b_28 local_g2_4 -buffer sp4_v_b_29 local_g2_5 +buffer lutff_5/out sp4_r_v_b_11 +buffer lutff_6/out local_g0_6 +buffer lutff_6/out sp12_v_b_12 +buffer lutff_7/out sp12_v_b_14 +buffer neigh_op_bnr_0 local_g0_0 +buffer neigh_op_bot_0 local_g1_0 +buffer neigh_op_bot_3 local_g0_3 +buffer neigh_op_bot_4 local_g0_4 +buffer neigh_op_top_4 local_g1_4 +buffer sp12_v_b_21 local_g3_5 +buffer sp12_v_b_4 local_g3_4 +buffer sp4_h_r_14 local_g1_6 +buffer sp4_v_b_10 local_g0_2 +routing sp4_h_l_42 sp4_v_b_1 +routing sp4_h_l_42 sp4_v_b_7 +routing sp4_v_b_6 sp4_v_t_43 +routing sp4_v_b_9 sp4_v_t_37 +routing sp4_v_t_36 sp4_h_l_36 +routing sp4_v_t_37 sp4_v_b_0 + +.logic_tile 2 2 +LC_1 0010000000000000 0110 DffEnable Set_NoReset +NegClk +buffer glb2local_0 local_g0_4 +buffer glb_netwk_0 glb2local_0 +buffer glb_netwk_3 lutff_global/cen +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_4 lutff_1/in_1 +buffer local_g2_7 lutff_1/in_0 +buffer lutff_1/out sp12_h_r_10 buffer sp4_v_b_31 local_g2_7 -buffer sp4_v_b_38 local_g2_6 -buffer sp4_v_b_4 local_g0_4 -buffer sp4_v_b_41 local_g3_1 -routing sp12_h_r_0 sp12_v_t_23 -routing sp4_h_l_43 sp4_v_b_0 -routing sp4_h_l_45 sp4_v_b_8 -routing sp4_h_l_45 sp4_v_t_36 -.logic_tile 5 15 +.logic_tile 1 10 CarryInSet LC_0 0000000000000000 1000 CarryEnable LC_1 0000000000000000 1000 CarryEnable -LC_2 0110100110010110 1000 CarryEnable -LC_3 0110100110010110 0000 -LC_4 0000100000000000 0100 DffEnable -LC_5 0000100010000000 0100 DffEnable -LC_6 0000100000000000 0100 DffEnable -LC_7 0010111100000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_2 lutff_4/in_2 -buffer local_g0_4 lutff_2/in_2 -buffer local_g0_5 lutff_1/in_2 -buffer local_g0_7 lutff_0/in_1 -buffer local_g0_7 lutff_5/in_2 -buffer local_g1_1 lutff_7/in_1 -buffer local_g1_3 lutff_6/in_2 -buffer local_g1_5 lutff_5/in_3 -buffer local_g1_6 lutff_3/in_2 -buffer local_g2_2 lutff_global/cen -buffer local_g2_5 lutff_4/in_1 -buffer local_g2_5 lutff_6/in_1 -buffer local_g2_5 lutff_7/in_0 -buffer local_g2_6 lutff_4/in_0 -buffer local_g2_6 lutff_6/in_0 +LC_2 0000000000000000 1000 CarryEnable +LC_3 0000000000000000 1000 CarryEnable +LC_4 0000000000000000 1000 CarryEnable +LC_5 0000000000000000 1000 CarryEnable +LC_6 0000000000000000 1000 CarryEnable +LC_7 0000000011111111 0000 +buffer local_g0_1 lutff_1/in_2 +buffer local_g0_3 lutff_0/in_1 +buffer local_g1_1 lutff_4/in_2 +buffer local_g1_5 lutff_2/in_2 +buffer local_g2_3 lutff_5/in_2 +buffer local_g2_5 lutff_3/in_2 +buffer local_g3_7 lutff_6/in_2 +buffer lutff_6/cout lutff_7/in_3 +buffer lutff_7/out sp12_h_r_6 +buffer neigh_op_tnr_3 local_g2_3 +buffer neigh_op_tnr_5 local_g2_5 +buffer neigh_op_tnr_7 local_g3_7 +buffer sp12_h_r_13 local_g1_5 +buffer sp12_h_r_17 local_g1_1 +buffer sp4_h_r_1 local_g0_1 +buffer sp4_h_r_3 local_g0_3 +routing sp12_h_r_1 sp12_v_t_22 + +.logic_tile 8 6 +LC_0 1111111111010000 0000 +LC_1 1000000000000000 0000 +LC_2 0000000011111101 0000 +LC_3 1111111100001000 0000 +LC_4 0000000000000001 0000 +LC_5 0000110001000000 0000 +LC_6 0001000100001111 0000 +LC_7 0001000000000000 0000 +buffer glb2local_1 local_g0_5 +buffer glb_netwk_7 glb2local_1 +buffer local_g0_1 lutff_3/in_2 +buffer local_g0_2 lutff_1/in_1 +buffer local_g0_3 lutff_2/in_1 +buffer local_g0_5 lutff_4/in_1 +buffer local_g0_6 lutff_4/in_0 +buffer local_g0_7 lutff_5/in_0 +buffer local_g1_0 lutff_0/in_3 +buffer local_g1_1 lutff_2/in_2 +buffer local_g1_3 lutff_2/in_0 +buffer local_g1_5 lutff_3/in_1 +buffer local_g1_6 lutff_2/in_3 +buffer local_g1_7 lutff_5/in_3 +buffer local_g1_7 lutff_6/in_0 +buffer local_g1_7 lutff_7/in_1 +buffer local_g2_0 lutff_3/in_3 +buffer local_g2_1 lutff_0/in_1 +buffer local_g2_3 lutff_1/in_0 +buffer local_g2_4 lutff_6/in_2 +buffer local_g2_5 lutff_3/in_0 +buffer local_g2_5 lutff_6/in_3 +buffer local_g2_7 lutff_4/in_3 +buffer local_g3_1 lutff_4/in_2 +buffer local_g3_2 lutff_5/in_2 +buffer local_g3_2 lutff_6/in_1 +buffer local_g3_2 lutff_7/in_0 +buffer local_g3_3 lutff_0/in_0 buffer local_g3_5 lutff_5/in_1 -buffer local_g3_6 lutff_5/in_0 -buffer local_g3_6 lutff_7/in_2 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out local_g0_2 -buffer lutff_3/out local_g1_3 -buffer lutff_4/out local_g0_4 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_4/out sp12_v_b_8 -buffer lutff_4/out sp4_v_b_40 -buffer lutff_5/out local_g0_5 +buffer local_g3_7 lutff_0/in_2 +buffer lutff_0/out local_g2_0 +buffer lutff_1/out local_g0_1 +buffer lutff_1/out local_g3_1 +buffer lutff_3/out local_g0_3 buffer lutff_5/out local_g1_5 -buffer lutff_5/out sp12_h_r_18 -buffer lutff_5/out sp12_v_b_10 -buffer lutff_5/out sp4_v_b_10 +buffer lutff_6/out local_g0_6 +buffer neigh_op_bnl_7 local_g3_7 +buffer neigh_op_lft_1 local_g1_1 +buffer neigh_op_lft_7 local_g0_7 +buffer neigh_op_tnr_5 local_g2_5 +buffer neigh_op_tnr_5 local_g3_5 +buffer sp12_h_r_10 local_g0_2 +buffer sp12_h_r_10 sp4_h_r_17 +buffer sp12_h_r_4 sp4_h_r_14 +buffer sp12_v_b_9 local_g2_1 +buffer sp4_h_r_15 local_g1_7 +buffer sp4_h_r_34 local_g3_2 +buffer sp4_r_v_b_30 local_g1_6 +buffer sp4_r_v_b_39 local_g2_7 +buffer sp4_v_b_16 local_g1_0 +buffer sp4_v_b_27 local_g2_3 +buffer sp4_v_b_27 local_g3_3 +buffer sp4_v_b_28 local_g2_4 +buffer sp4_v_b_3 local_g1_3 +routing sp4_h_l_37 sp4_h_r_3 +routing sp4_h_l_37 sp4_v_b_6 +routing sp4_v_b_10 sp4_h_r_10 + +.logic_tile 8 9 +LC_0 1000000000000000 0000 +LC_1 0010000000000000 0000 +LC_2 1111000100000000 0000 +LC_3 0000100000000000 0000 +LC_4 0000100000000000 0000 +LC_5 0010000000000000 0000 +LC_6 0001000000000000 0000 +LC_7 1101110100001101 0000 +buffer local_g0_0 lutff_4/in_2 +buffer local_g0_1 lutff_6/in_1 +buffer local_g0_3 lutff_2/in_1 +buffer local_g0_4 lutff_6/in_0 +buffer local_g0_5 lutff_5/in_0 +buffer local_g0_6 lutff_1/in_1 +buffer local_g0_6 lutff_7/in_3 +buffer local_g1_0 lutff_0/in_1 +buffer local_g1_2 lutff_1/in_0 +buffer local_g1_2 lutff_3/in_0 +buffer local_g1_2 lutff_7/in_2 +buffer local_g1_4 lutff_7/in_0 +buffer local_g1_6 lutff_3/in_2 +buffer local_g1_7 lutff_0/in_0 +buffer local_g1_7 lutff_2/in_2 +buffer local_g1_7 lutff_4/in_0 +buffer local_g2_4 lutff_2/in_0 +buffer local_g3_1 lutff_7/in_1 +buffer local_g3_3 lutff_5/in_1 +buffer local_g3_4 lutff_4/in_1 +buffer local_g3_5 lutff_3/in_1 +buffer lutff_1/out sp4_r_v_b_3 +buffer lutff_2/out local_g1_2 +buffer lutff_4/out local_g1_4 +buffer lutff_5/out sp4_v_b_42 +buffer lutff_6/out local_g0_6 buffer lutff_6/out local_g1_6 -buffer lutff_6/out sp12_v_b_12 -buffer lutff_6/out sp4_h_r_44 -buffer lutff_6/out sp4_r_v_b_13 -buffer lutff_7/out local_g0_7 -buffer lutff_7/out sp4_h_r_30 -buffer lutff_7/out sp4_r_v_b_15 -buffer lutff_7/out sp4_v_b_14 -buffer lutff_7/out sp4_v_b_30 -buffer neigh_op_top_1 local_g1_1 -buffer sp12_h_r_16 sp4_h_r_20 -buffer sp12_v_b_5 local_g2_5 -buffer sp12_v_b_5 local_g3_5 -buffer sp4_h_r_34 local_g2_2 -buffer sp4_v_b_46 local_g2_6 -buffer sp4_v_b_46 local_g3_6 -routing sp4_h_l_37 sp4_v_b_6 +buffer neigh_op_bnl_4 local_g2_4 +buffer neigh_op_bnl_4 local_g3_4 +buffer neigh_op_bot_0 local_g0_0 +buffer neigh_op_bot_0 local_g1_0 +buffer neigh_op_bot_7 local_g1_7 +buffer neigh_op_lft_3 local_g0_3 +buffer neigh_op_lft_4 local_g0_4 +buffer neigh_op_rgt_3 local_g3_3 +buffer neigh_op_rgt_5 local_g3_5 +buffer neigh_op_top_5 local_g0_5 +buffer sp12_h_r_22 sp4_h_r_23 +buffer sp4_v_b_1 local_g0_1 +buffer sp4_v_b_25 local_g3_1 +routing sp4_v_b_10 sp4_v_t_36 -.logic_tile 6 12 -ColBufCtrl glb_netwk_4 -ColBufCtrl glb_netwk_6 -LC_0 0000000100000000 0000 -LC_1 0001000000000000 0000 -LC_2 0000000100000000 0000 -LC_3 0000000000001110 0000 -LC_4 0000000000000010 0000 -LC_5 0010000000000000 0000 -LC_6 0000001011111111 0000 -LC_7 0100000000000000 0100 DffEnable +.logic_tile 4 1 +LC_0 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable +NegClk +buffer glb_netwk_1 lutff_global/cen +buffer glb_netwk_4 lutff_global/s_r buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_3/in_1 +buffer local_g2_4 lutff_0/in_0 +buffer local_g2_6 lutff_6/in_0 +buffer lutff_6/out sp4_v_b_44 +buffer neigh_op_rgt_4 local_g2_4 +buffer neigh_op_rgt_6 local_g2_6 + +.logic_tile 8 11 +LC_0 0000000001011100 0000 +LC_2 0010000000000000 0000 +LC_6 0000000000011111 0100 DffEnable +buffer glb2local_1 local_g0_5 +buffer glb_netwk_3 lutff_global/cen +buffer glb_netwk_6 lutff_global/clk +buffer glb_netwk_7 glb2local_1 buffer local_g0_1 lutff_0/in_1 -buffer local_g0_2 lutff_4/in_0 -buffer local_g0_3 lutff_6/in_3 -buffer local_g0_4 lutff_4/in_2 -buffer local_g0_5 lutff_4/in_1 -buffer local_g0_6 lutff_5/in_1 -buffer local_g0_7 lutff_6/in_1 -buffer local_g1_0 lutff_1/in_0 -buffer local_g1_1 lutff_6/in_2 -buffer local_g1_3 lutff_1/in_1 -buffer local_g1_3 lutff_2/in_2 -buffer local_g1_4 lutff_3/in_2 -buffer local_g1_5 lutff_0/in_0 -buffer local_g1_5 lutff_2/in_0 -buffer local_g1_6 lutff_3/in_0 -buffer local_g1_7 lutff_0/in_2 -buffer local_g2_1 lutff_7/in_0 -buffer local_g2_7 lutff_5/in_0 -buffer local_g3_2 lutff_2/in_1 -buffer local_g3_3 lutff_global/cen -buffer local_g3_5 lutff_3/in_3 -buffer local_g3_6 lutff_4/in_3 -buffer local_g3_7 lutff_6/in_0 -buffer lutff_0/out sp12_h_r_8 -buffer lutff_0/out sp4_h_r_0 -buffer lutff_0/out sp4_r_v_b_17 -buffer lutff_1/out local_g0_1 -buffer lutff_1/out local_g1_1 +buffer local_g0_2 lutff_6/in_2 +buffer local_g0_4 lutff_0/in_2 +buffer local_g0_5 lutff_6/in_3 +buffer local_g1_0 lutff_6/in_1 +buffer local_g1_3 lutff_6/in_0 +buffer local_g1_6 lutff_0/in_3 +buffer local_g3_1 lutff_2/in_0 +buffer local_g3_3 lutff_0/in_0 +buffer local_g3_6 lutff_2/in_1 +buffer lutff_0/out local_g1_0 +buffer lutff_2/out local_g0_2 +buffer lutff_6/out local_g3_6 +buffer lutff_6/out sp4_h_r_12 +buffer neigh_op_bot_3 local_g1_3 +buffer neigh_op_lft_4 local_g0_4 +buffer neigh_op_rgt_3 local_g3_3 +buffer sp12_h_r_22 local_g1_6 +buffer sp4_r_v_b_25 local_g0_1 +buffer sp4_r_v_b_41 local_g3_1 +routing sp4_h_l_37 sp4_h_r_0 + +.logic_tile 9 7 +LC_0 0000000010000000 0000 +LC_1 1000000000000000 0000 +LC_2 1111000011011101 0000 +LC_3 0000100100000000 0000 +LC_4 1011000000000000 0000 +LC_5 0000100000000000 0000 +LC_6 1101110100001101 0000 +LC_7 1010010101011010 0000 +buffer glb2local_1 local_g0_5 +buffer glb2local_2 local_g0_6 +buffer glb_netwk_0 glb2local_1 +buffer glb_netwk_0 glb2local_2 +buffer local_g0_0 lutff_4/in_0 +buffer local_g0_0 lutff_6/in_0 +buffer local_g0_1 lutff_1/in_2 +buffer local_g0_3 lutff_4/in_1 +buffer local_g0_4 lutff_1/in_1 +buffer local_g0_5 lutff_0/in_3 +buffer local_g0_6 lutff_4/in_2 +buffer local_g0_7 lutff_1/in_0 +buffer local_g1_0 lutff_6/in_3 +buffer local_g1_1 lutff_3/in_1 +buffer local_g1_2 lutff_5/in_2 +buffer local_g1_3 lutff_2/in_0 +buffer local_g1_4 lutff_3/in_0 +buffer local_g1_4 lutff_7/in_2 +buffer local_g1_5 lutff_0/in_2 +buffer local_g1_6 lutff_2/in_3 +buffer local_g1_7 lutff_0/in_0 +buffer local_g2_0 lutff_5/in_1 +buffer local_g2_3 lutff_5/in_0 +buffer local_g2_4 lutff_6/in_2 +buffer local_g2_6 lutff_2/in_2 +buffer local_g2_7 lutff_2/in_1 +buffer local_g3_0 lutff_3/in_2 +buffer local_g3_2 lutff_0/in_1 +buffer local_g3_6 lutff_6/in_1 +buffer lutff_0/out local_g3_0 +buffer lutff_1/out sp12_v_b_18 +buffer lutff_1/out sp4_h_r_18 buffer lutff_2/out sp12_h_r_12 -buffer lutff_2/out sp4_r_v_b_5 -buffer lutff_4/out local_g1_4 -buffer lutff_5/out local_g1_5 -buffer lutff_6/out local_g1_6 -buffer lutff_7/out sp12_h_r_22 -buffer lutff_7/out sp4_h_r_30 -buffer lutff_7/out sp4_h_r_46 -buffer neigh_op_bnl_5 local_g3_5 -buffer neigh_op_bnr_0 local_g1_0 -buffer neigh_op_bnr_2 local_g0_2 -buffer neigh_op_rgt_2 local_g3_2 -buffer neigh_op_tnr_6 local_g3_6 -buffer sp12_h_r_12 sp4_h_r_18 -buffer sp12_v_b_1 local_g2_1 -buffer sp12_v_b_1 sp4_v_b_12 -buffer sp4_h_r_16 local_g0_0 -buffer sp4_h_r_19 local_g0_3 -buffer sp4_h_r_4 local_g0_4 -buffer sp4_r_v_b_3 local_g1_3 -buffer sp4_v_b_13 local_g0_5 -buffer sp4_v_b_15 local_g0_7 -buffer sp4_v_b_15 local_g1_7 -buffer sp4_v_b_22 local_g0_6 -buffer sp4_v_b_39 local_g2_7 -buffer sp4_v_b_39 local_g3_7 -buffer sp4_v_b_43 local_g3_3 -routing sp12_v_b_1 sp12_h_l_22 -routing sp4_h_l_37 sp4_v_b_0 -routing sp4_h_l_37 sp4_v_b_6 -routing sp4_h_l_41 sp4_v_t_44 -routing sp4_h_r_4 sp4_v_b_4 -routing sp4_v_b_3 sp4_h_l_45 -routing sp4_v_t_41 sp4_h_r_9 -routing sp4_v_t_42 sp4_h_r_7 +buffer lutff_3/out sp12_v_b_22 +buffer lutff_4/out local_g2_4 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_6/out sp4_h_r_12 +buffer lutff_7/out local_g1_7 +buffer lutff_7/out local_g2_7 +buffer lutff_7/out sp12_h_r_6 +buffer neigh_op_lft_3 local_g0_3 +buffer neigh_op_lft_3 local_g1_3 +buffer sp12_h_r_20 local_g1_4 +buffer sp4_h_r_14 local_g1_6 +buffer sp4_h_r_16 local_g1_0 +buffer sp4_h_r_21 local_g1_5 +buffer sp4_h_r_27 local_g2_3 +buffer sp4_h_r_40 local_g2_0 +buffer sp4_h_r_46 local_g3_6 +buffer sp4_r_v_b_14 local_g2_6 +buffer sp4_r_v_b_18 local_g3_2 +buffer sp4_v_b_0 local_g0_0 +buffer sp4_v_b_17 local_g0_1 +buffer sp4_v_b_2 local_g1_2 +buffer sp4_v_b_20 local_g0_4 +buffer sp4_v_b_23 local_g0_7 +buffer sp4_v_b_9 local_g1_1 +routing sp4_h_l_47 sp4_v_b_4 +routing sp4_h_r_10 sp4_h_l_47 +routing sp4_h_r_4 sp4_v_b_9 +routing sp4_v_b_5 sp4_h_r_11 -.logic_tile 11 12 +.logic_tile 6 4 ColBufCtrl glb_netwk_0 ColBufCtrl glb_netwk_6 -LC_0 0000000000000000 1000 CarryEnable -LC_1 0110100110010110 1000 CarryEnable -LC_2 0110100110010110 1000 CarryEnable -LC_3 0110100110010110 0000 -LC_4 0001000000000000 0000 -LC_5 0100000000000000 0100 DffEnable -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_3 lutff_0/in_1 -buffer local_g0_4 lutff_1/in_1 -buffer local_g0_5 lutff_5/in_0 -buffer local_g1_0 lutff_2/in_1 -buffer local_g1_0 lutff_4/in_1 -buffer local_g1_1 lutff_0/in_2 -buffer local_g1_4 lutff_1/in_2 -buffer local_g1_5 lutff_4/in_0 -buffer local_g3_3 lutff_global/cen -buffer lutff_0/cout lutff_1/in_3 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out sp4_r_v_b_5 -buffer lutff_4/out local_g1_4 -buffer lutff_5/out sp4_h_r_42 -buffer neigh_op_bot_1 local_g1_1 -buffer neigh_op_bot_3 local_g0_3 -buffer neigh_op_bot_5 local_g1_5 -buffer sp4_r_v_b_19 local_g3_3 -buffer sp4_v_b_12 local_g0_4 -buffer sp4_v_b_13 local_g0_5 -buffer sp4_v_b_8 local_g1_0 -routing sp4_h_l_39 sp4_v_t_42 -routing sp4_h_l_45 sp4_v_b_2 -routing sp4_h_r_5 sp4_v_t_40 -routing sp4_v_b_1 sp4_v_t_36 -routing sp4_v_b_1 sp4_v_t_41 +LC_3 1000000000000000 0000 +buffer lutff_3/out sp12_v_b_22 +buffer lutff_3/out sp4_h_r_38 +buffer lutff_3/out sp4_h_r_6 +buffer sp12_h_r_10 sp4_h_r_17 +buffer sp12_h_r_2 sp4_h_r_13 +buffer sp12_h_r_20 sp4_h_r_22 +buffer sp12_h_r_6 sp4_h_r_15 +buffer sp12_h_r_8 sp4_h_r_16 +routing sp4_h_l_44 sp4_v_b_9 +routing sp4_h_r_6 sp4_h_l_44 +routing sp4_v_b_1 sp4_h_r_1 +routing sp4_v_t_47 sp4_h_r_10 -.logic_tile 4 11 -LC_0 0001000000000000 0000 -LC_1 0000000010110000 0000 -LC_2 0001000000000000 0000 -LC_3 0000111011111111 0000 -LC_4 0001000000000000 0000 -LC_5 1000000000000000 0000 -LC_6 1110111011110000 0000 -LC_7 0100000000000000 0100 DffEnable +.logic_tile 5 4 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_6 +LC_0 1000000011111111 0000 +LC_1 1001011010010110 0000 +LC_2 1000000000000000 0000 +LC_3 1111111101111111 0000 +LC_4 1001000000000000 0000 +LC_5 1000000011111111 0101 DffEnable AsyncSetReset +LC_6 0100000000000000 0101 DffEnable AsyncSetReset +LC_7 0100000000000000 0101 DffEnable AsyncSetReset +buffer glb_netwk_4 lutff_global/s_r buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_6/in_2 -buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_6/in_1 -buffer local_g0_4 lutff_3/in_1 -buffer local_g0_5 lutff_0/in_1 -buffer local_g0_5 lutff_2/in_1 +buffer local_g0_0 lutff_2/in_0 +buffer local_g0_0 lutff_6/in_0 +buffer local_g0_2 lutff_3/in_3 +buffer local_g0_4 lutff_2/in_2 +buffer local_g0_5 lutff_3/in_2 +buffer local_g0_5 lutff_5/in_2 buffer local_g0_6 lutff_0/in_0 -buffer local_g0_7 lutff_7/in_0 -buffer local_g1_1 lutff_1/in_1 -buffer local_g1_4 lutff_1/in_0 -buffer local_g1_5 lutff_6/in_0 +buffer local_g0_6 lutff_1/in_1 +buffer local_g0_6 lutff_4/in_0 +buffer local_g0_7 lutff_0/in_1 +buffer local_g0_7 lutff_4/in_1 +buffer local_g1_0 lutff_2/in_3 +buffer local_g1_1 lutff_5/in_3 +buffer local_g1_2 lutff_2/in_1 +buffer local_g1_3 lutff_global/cen +buffer local_g1_4 lutff_7/in_0 +buffer local_g1_5 lutff_0/in_2 buffer local_g1_6 lutff_3/in_0 -buffer local_g1_7 lutff_2/in_0 -buffer local_g2_4 lutff_3/in_3 -buffer local_g2_5 lutff_6/in_3 -buffer local_g2_7 lutff_5/in_0 -buffer local_g3_0 lutff_4/in_1 -buffer local_g3_1 lutff_5/in_1 -buffer local_g3_3 lutff_4/in_0 -buffer local_g3_6 lutff_1/in_2 -buffer local_g3_6 lutff_3/in_2 -buffer local_g3_7 lutff_1/in_3 -buffer lutff_0/out sp4_r_v_b_33 -buffer lutff_1/out sp12_h_r_10 +buffer local_g1_6 lutff_5/in_0 +buffer local_g1_7 lutff_3/in_1 +buffer local_g1_7 lutff_5/in_1 +buffer local_g2_1 lutff_0/in_3 +buffer lutff_0/out local_g0_0 +buffer lutff_1/out local_g2_1 +buffer lutff_3/out local_g1_3 buffer lutff_4/out local_g0_4 buffer lutff_4/out local_g1_4 -buffer lutff_4/out sp12_h_r_16 buffer lutff_5/out local_g0_5 +buffer lutff_5/out local_g1_5 +buffer lutff_5/out sp4_v_b_10 +buffer lutff_6/out local_g0_6 buffer lutff_6/out local_g1_6 -buffer lutff_7/out sp12_h_r_6 -buffer lutff_7/out sp4_h_r_14 -buffer lutff_7/out sp4_r_v_b_31 -buffer neigh_op_top_0 local_g0_0 -buffer neigh_op_top_3 local_g0_3 -buffer sp12_h_r_18 sp4_h_r_21 -buffer sp12_v_b_23 local_g3_7 -buffer sp4_h_r_15 local_g1_7 -buffer sp4_h_r_22 local_g0_6 -buffer sp4_h_r_25 local_g3_1 -buffer sp4_h_r_31 local_g2_7 -buffer sp4_h_r_35 local_g3_3 -buffer sp4_h_r_36 local_g2_4 -buffer sp4_h_r_40 local_g3_0 -buffer sp4_r_v_b_33 local_g0_2 -buffer sp4_r_v_b_46 local_g3_6 -buffer sp4_v_b_17 local_g1_1 -buffer sp4_v_b_21 local_g1_5 -buffer sp4_v_b_23 local_g0_7 -buffer sp4_v_b_45 local_g2_5 -routing sp4_h_l_45 sp4_v_b_8 -routing sp4_h_r_9 sp4_v_b_9 +buffer lutff_6/out sp12_v_b_12 +buffer lutff_7/out local_g0_7 +buffer lutff_7/out local_g1_7 +buffer neigh_op_lft_2 local_g0_2 +buffer neigh_op_lft_2 local_g1_2 +buffer sp4_r_v_b_24 local_g1_0 +buffer sp4_v_b_9 local_g1_1 +routing sp4_v_b_4 sp4_h_l_44 -.logic_tile 7 9 -LC_0 0000000010000000 0000 -LC_1 1000000100011000 0000 -LC_2 0000000010000000 0000 -LC_3 0000001000000000 0000 -LC_4 0000000010000010 0000 -LC_5 0010000000000000 0000 -LC_6 0000100000000000 0000 -LC_7 0000100100000000 0000 -buffer local_g0_0 lutff_6/in_0 -buffer local_g0_1 lutff_3/in_2 -buffer local_g0_4 lutff_0/in_2 -buffer local_g0_4 lutff_2/in_2 -buffer local_g0_5 lutff_1/in_0 -buffer local_g0_5 lutff_7/in_2 -buffer local_g1_4 lutff_0/in_1 -buffer local_g1_4 lutff_2/in_1 -buffer local_g1_4 lutff_3/in_0 -buffer local_g1_5 lutff_4/in_0 -buffer local_g1_6 lutff_6/in_1 -buffer local_g1_7 lutff_5/in_1 -buffer local_g2_3 lutff_4/in_3 -buffer local_g2_3 lutff_5/in_0 -buffer local_g2_4 lutff_1/in_3 -buffer local_g2_4 lutff_3/in_1 -buffer local_g2_4 lutff_4/in_2 -buffer local_g2_4 lutff_7/in_1 -buffer local_g2_6 lutff_0/in_0 -buffer local_g2_6 lutff_2/in_0 -buffer local_g2_6 lutff_6/in_2 -buffer local_g3_3 lutff_1/in_1 +.logic_tile 11 4 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_6 +ColBufCtrl glb_netwk_7 +LC_0 1000000000000000 0000 +LC_1 0000100000000000 0000 +LC_2 1111111101110000 0000 +LC_3 1000000000000000 0000 +LC_4 0010000000000000 0000 +LC_5 0000000010000000 0000 +LC_6 1010010101011010 0000 +LC_7 0000000000000110 0000 +buffer glb2local_1 local_g0_5 +buffer glb2local_3 local_g0_7 +buffer glb_netwk_0 glb2local_1 +buffer glb_netwk_7 glb2local_3 +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_1 lutff_1/in_0 +buffer local_g0_3 lutff_0/in_1 +buffer local_g0_4 lutff_2/in_0 +buffer local_g0_5 lutff_2/in_1 +buffer local_g0_6 lutff_0/in_0 +buffer local_g0_7 lutff_2/in_3 +buffer local_g0_7 lutff_4/in_1 +buffer local_g1_1 lutff_6/in_2 +buffer local_g1_1 lutff_7/in_1 +buffer local_g1_2 lutff_7/in_0 +buffer local_g1_4 lutff_7/in_2 +buffer local_g1_6 lutff_3/in_0 +buffer local_g2_0 lutff_7/in_3 +buffer local_g2_2 lutff_2/in_2 +buffer local_g2_2 lutff_4/in_0 +buffer local_g2_5 lutff_5/in_0 +buffer local_g2_6 lutff_5/in_1 +buffer local_g3_0 lutff_5/in_2 +buffer local_g3_2 lutff_1/in_2 +buffer local_g3_3 lutff_0/in_2 buffer local_g3_4 lutff_0/in_3 -buffer local_g3_4 lutff_2/in_3 -buffer local_g3_6 lutff_1/in_2 -buffer local_g3_6 lutff_4/in_1 -buffer local_g3_6 lutff_7/in_0 -buffer lutff_0/out sp4_r_v_b_33 +buffer local_g3_7 lutff_5/in_3 +buffer lutff_0/out local_g2_0 buffer lutff_1/out sp12_h_r_10 -buffer lutff_1/out sp4_h_r_34 -buffer lutff_2/out sp12_h_r_12 buffer lutff_3/out sp12_h_r_14 -buffer lutff_3/out sp12_v_b_22 -buffer lutff_4/out sp4_v_b_24 -buffer lutff_4/out sp4_v_b_8 -buffer lutff_5/out sp4_r_v_b_11 -buffer lutff_6/out sp4_h_r_12 +buffer lutff_4/out sp12_h_r_0 +buffer lutff_4/out sp12_v_b_8 +buffer lutff_4/out sp4_h_r_24 +buffer lutff_5/out sp4_v_b_10 +buffer lutff_6/out local_g0_6 +buffer lutff_7/out sp12_h_r_22 +buffer lutff_7/out sp12_v_b_14 +buffer neigh_op_rgt_3 local_g3_3 +buffer neigh_op_rgt_4 local_g3_4 +buffer neigh_op_rgt_5 local_g2_5 +buffer neigh_op_rgt_6 local_g2_6 +buffer neigh_op_rgt_7 local_g3_7 +buffer neigh_op_tnr_0 local_g3_0 +buffer neigh_op_top_2 local_g1_2 +buffer sp12_h_r_11 local_g0_3 +buffer sp4_h_r_4 local_g1_4 +buffer sp4_r_v_b_1 local_g1_1 +buffer sp4_r_v_b_18 local_g3_2 +buffer sp4_v_b_12 local_g0_4 +buffer sp4_v_b_14 local_g1_6 +buffer sp4_v_b_16 local_g0_0 +buffer sp4_v_b_17 local_g0_1 +buffer sp4_v_b_34 local_g2_2 +routing sp12_h_r_0 sp12_v_t_23 +routing sp4_h_r_11 sp4_v_t_40 +routing sp4_v_b_1 sp4_h_l_43 +routing sp4_v_b_10 sp4_h_r_4 + +.logic_tile 7 1 +LC_4 0100000000000000 0100 DffEnable +LC_5 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable +NegClk +buffer glb_netwk_1 lutff_global/cen +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_4/in_0 +buffer local_g0_2 lutff_6/in_0 +buffer local_g3_4 lutff_5/in_0 +buffer lutff_4/out sp4_h_r_40 +buffer lutff_5/out sp4_h_r_42 +buffer lutff_6/out sp12_h_r_4 +buffer neigh_op_lft_0 local_g0_0 +buffer sp12_h_r_18 local_g0_2 +buffer sp4_h_r_28 local_g3_4 +routing sp4_h_l_41 sp4_h_r_0 +routing sp4_h_l_43 sp4_v_t_46 +routing sp4_h_r_6 sp4_v_t_37 +routing sp4_v_t_36 sp4_h_r_1 +routing sp4_v_t_40 sp4_h_l_46 +routing sp4_v_t_46 sp4_h_r_11 + +.logic_tile 6 11 +LC_2 0000000000001000 0000 +LC_5 0000100000000000 0000 +LC_6 0000001000000000 0000 +buffer local_g0_1 lutff_5/in_0 +buffer local_g0_2 lutff_6/in_0 +buffer local_g0_5 lutff_5/in_2 +buffer local_g1_0 lutff_2/in_3 +buffer local_g1_1 lutff_6/in_2 +buffer local_g1_2 lutff_6/in_1 +buffer local_g1_7 lutff_2/in_0 +buffer local_g2_2 lutff_5/in_1 +buffer local_g3_0 lutff_2/in_1 +buffer local_g3_3 lutff_2/in_2 +buffer lutff_2/out local_g1_2 +buffer lutff_5/out sp12_h_r_18 +buffer sp12_h_r_7 local_g1_7 +buffer sp4_h_r_2 local_g0_2 +buffer sp4_h_r_24 local_g3_0 +buffer sp4_h_r_42 local_g2_2 +buffer sp4_r_v_b_24 local_g1_0 +buffer sp4_r_v_b_43 local_g3_3 +buffer sp4_v_b_17 local_g1_1 +buffer sp4_v_b_21 local_g0_5 +buffer sp4_v_b_9 local_g0_1 +routing sp4_h_l_41 sp4_h_r_4 +routing sp4_h_l_42 sp4_v_b_7 +routing sp4_h_l_42 sp4_v_t_42 +routing sp4_h_l_46 sp4_h_r_2 +routing sp4_h_l_47 sp4_v_b_4 +routing sp4_h_l_47 sp4_v_t_38 +routing sp4_h_r_2 sp4_h_l_47 +routing sp4_h_r_5 sp4_v_b_10 +routing sp4_v_b_7 sp4_h_r_1 +routing sp4_v_t_36 sp4_h_l_36 +routing sp4_v_t_38 sp4_v_b_6 +routing sp4_v_t_39 sp4_h_l_45 + +.logic_tile 1 13 +ColBufCtrl glb_netwk_3 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_6 +LC_1 0010000000000000 0000 +LC_2 0010000000000000 0000 +LC_3 0101001100000000 0100 DffEnable +LC_5 0101001100000000 0100 DffEnable +LC_7 0101001100000000 0100 DffEnable +buffer glb_netwk_3 lutff_global/cen +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_7/in_0 +buffer local_g0_3 lutff_2/in_1 +buffer local_g1_3 lutff_3/in_1 +buffer local_g1_5 lutff_5/in_1 +buffer local_g1_7 lutff_1/in_1 +buffer local_g1_7 lutff_7/in_1 +buffer local_g2_2 lutff_2/in_0 +buffer local_g2_3 lutff_5/in_0 +buffer local_g2_7 lutff_3/in_2 +buffer local_g2_7 lutff_5/in_2 +buffer local_g2_7 lutff_7/in_2 +buffer local_g3_0 lutff_3/in_0 +buffer local_g3_2 lutff_1/in_0 +buffer lutff_2/out sp4_r_v_b_21 +buffer lutff_3/out local_g0_3 +buffer lutff_3/out local_g1_3 +buffer lutff_5/out local_g1_5 +buffer lutff_5/out sp4_h_r_10 buffer lutff_7/out local_g1_7 -buffer lutff_7/out sp12_h_r_22 -buffer neigh_op_top_1 local_g0_1 -buffer neigh_op_top_5 local_g0_5 -buffer neigh_op_top_5 local_g1_5 -buffer sp12_h_r_4 local_g0_4 -buffer sp12_h_r_4 sp4_h_r_14 -buffer sp4_h_r_14 local_g1_6 -buffer sp4_h_r_30 local_g2_6 -buffer sp4_h_r_30 local_g3_6 -buffer sp4_h_r_35 local_g2_3 -buffer sp4_h_r_35 local_g3_3 -buffer sp4_r_v_b_28 local_g1_4 -buffer sp4_r_v_b_35 local_g0_0 -buffer sp4_r_v_b_36 local_g2_4 -buffer sp4_r_v_b_44 local_g3_4 -routing sp12_v_t_22 sp12_h_r_1 -routing sp4_h_l_39 sp4_h_r_5 -routing sp4_h_l_44 sp4_v_t_39 -routing sp4_v_b_2 sp4_h_l_39 -routing sp4_v_t_39 sp4_v_b_5 +buffer neigh_op_rgt_3 local_g2_3 +buffer neigh_op_tnr_0 local_g3_0 +buffer sp12_v_b_18 local_g2_2 +buffer sp12_v_b_18 local_g3_2 +buffer sp4_h_r_9 local_g0_1 +buffer sp4_r_v_b_39 local_g2_7 -.logic_tile 2 7 -LC_0 0100000000000000 0100 DffEnable +.logic_tile 12 7 LC_1 0100000000000000 0100 DffEnable +NegClk +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_2 lutff_global/cen +buffer local_g2_1 lutff_1/in_0 +buffer lutff_1/out sp4_h_r_34 +buffer sp12_h_r_18 local_g0_2 +buffer sp12_v_b_17 local_g2_1 +routing sp4_h_l_42 sp4_v_b_7 +routing sp4_h_l_46 sp4_v_t_41 +routing sp4_h_r_4 sp4_v_t_47 +routing sp4_v_b_11 sp4_h_l_46 +routing sp4_v_b_5 sp4_h_l_40 +routing sp4_v_t_39 sp4_h_l_45 +routing sp4_v_t_39 sp4_v_b_5 + +.logic_tile 11 9 LC_2 0100000000000000 0100 DffEnable -LC_4 0100000000000000 0100 DffEnable -LC_5 0100000000000000 0100 DffEnable +NegClk +buffer glb_netwk_5 lutff_global/cen +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_4 lutff_global/s_r +buffer local_g1_5 lutff_2/in_0 +buffer lutff_2/out sp12_v_b_20 +buffer neigh_op_bnr_5 local_g1_5 +buffer sp4_r_v_b_28 local_g0_4 +routing sp4_h_l_37 sp4_v_t_40 + +.logic_tile 12 11 +LC_3 0110100110010110 0000 +LC_5 0010000000000000 0100 DffEnable LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r +buffer glb2local_0 local_g0_4 +buffer glb_netwk_4 glb2local_0 buffer glb_netwk_6 lutff_global/clk -buffer local_g0_5 lutff_7/in_0 -buffer local_g0_6 lutff_2/in_0 -buffer local_g2_2 lutff_global/cen -buffer local_g2_4 lutff_6/in_0 -buffer local_g3_0 lutff_1/in_0 -buffer local_g3_1 lutff_4/in_0 -buffer local_g3_2 lutff_5/in_0 -buffer local_g3_3 lutff_0/in_0 -buffer neigh_op_lft_5 local_g0_5 -buffer neigh_op_lft_6 local_g0_6 -buffer neigh_op_tnl_0 local_g3_0 -buffer neigh_op_tnl_1 local_g3_1 -buffer neigh_op_tnl_2 local_g3_2 -buffer neigh_op_tnl_3 local_g3_3 -buffer neigh_op_tnl_4 local_g2_4 -buffer sp12_h_r_12 sp4_h_r_18 -buffer sp12_h_r_22 sp4_h_r_23 -buffer sp4_v_b_34 local_g2_2 -routing sp4_h_r_1 sp4_v_t_36 -routing sp4_h_r_8 sp4_v_b_8 -routing sp4_v_t_36 sp4_h_l_42 +buffer local_g0_2 lutff_6/in_0 +buffer local_g0_4 lutff_3/in_1 +buffer local_g0_5 lutff_3/in_2 +buffer local_g1_3 lutff_5/in_1 +buffer local_g3_0 lutff_5/in_0 +buffer lutff_3/out local_g1_3 +buffer lutff_5/out local_g0_5 +buffer lutff_5/out sp12_v_b_10 +buffer lutff_6/out sp12_h_r_20 +buffer sp4_h_r_40 local_g3_0 +buffer sp4_v_b_10 local_g0_2 +routing sp4_v_b_4 sp4_v_t_37 +routing sp4_v_b_4 sp4_v_t_41 -.logic_tile 9 13 -ColBufCtrl glb_netwk_0 -ColBufCtrl glb_netwk_6 -LC_0 0000000000000010 0000 -LC_1 0000001000000000 0000 -LC_2 0000110100000000 0000 -LC_3 0000000000001101 0000 -LC_4 0000000000001000 0000 -LC_5 1101000000000000 0000 -LC_6 1000000000000000 0000 -LC_7 1000000000000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r +.logic_tile 4 14 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 1001100101100110 0000 +LC_3 0000001000000000 0000 +LC_4 0010000000000000 0000 +LC_6 0000100000000000 0000 +LC_7 0101001100000000 0100 DffEnable +buffer glb_netwk_3 lutff_global/cen +buffer glb_netwk_4 lutff_global/s_r buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_2/in_2 -buffer local_g0_0 lutff_3/in_1 -buffer local_g0_0 lutff_5/in_1 -buffer local_g0_1 lutff_5/in_2 -buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_1/in_2 -buffer local_g0_4 lutff_0/in_0 -buffer local_g0_5 lutff_0/in_3 -buffer local_g0_6 lutff_2/in_0 -buffer local_g0_7 lutff_0/in_1 -buffer local_g1_0 lutff_3/in_0 -buffer local_g1_0 lutff_5/in_0 -buffer local_g1_1 lutff_1/in_1 -buffer local_g1_1 lutff_3/in_3 -buffer local_g1_2 lutff_1/in_0 +buffer local_g0_5 lutff_0/in_1 +buffer local_g0_6 lutff_1/in_1 +buffer local_g0_7 lutff_2/in_1 +buffer local_g1_0 lutff_7/in_2 +buffer local_g1_3 lutff_3/in_1 buffer local_g1_3 lutff_6/in_0 -buffer local_g1_4 lutff_2/in_1 -buffer local_g1_5 lutff_0/in_2 +buffer local_g1_4 lutff_3/in_0 +buffer local_g1_4 lutff_6/in_1 +buffer local_g1_5 lutff_4/in_0 buffer local_g1_6 lutff_7/in_0 buffer local_g1_7 lutff_7/in_1 -buffer local_g2_1 lutff_4/in_3 -buffer local_g2_4 lutff_4/in_0 -buffer local_g2_6 lutff_4/in_2 buffer local_g2_7 lutff_3/in_2 +buffer local_g3_0 lutff_1/in_2 +buffer local_g3_3 lutff_6/in_2 buffer local_g3_6 lutff_4/in_1 -buffer lutff_0/out sp4_r_v_b_33 -buffer lutff_1/out sp4_v_b_34 -buffer lutff_2/out local_g1_2 -buffer lutff_3/out sp4_v_b_38 -buffer lutff_4/out local_g1_4 -buffer lutff_5/out local_g1_5 -buffer lutff_6/out sp12_v_b_12 -buffer lutff_6/out sp4_r_v_b_13 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_3/out sp4_h_r_22 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_6/out sp4_v_b_12 buffer lutff_7/out local_g1_7 -buffer lutff_7/out sp4_h_r_14 -buffer neigh_op_bot_1 local_g0_1 -buffer neigh_op_bot_3 local_g0_3 -buffer neigh_op_bot_7 local_g0_7 -buffer neigh_op_lft_6 local_g1_6 -buffer sp12_h_r_0 sp4_h_r_12 -buffer sp12_h_r_12 local_g0_4 -buffer sp12_h_r_14 sp4_h_r_19 -buffer sp12_h_r_16 local_g0_0 -buffer sp12_v_b_14 local_g2_6 -buffer sp4_h_r_30 local_g3_6 -buffer sp4_h_r_33 local_g2_1 -buffer sp4_h_r_44 local_g2_4 -buffer sp4_h_r_8 local_g1_0 -buffer sp4_r_v_b_27 local_g1_3 -buffer sp4_r_v_b_30 local_g0_6 -buffer sp4_r_v_b_33 local_g0_2 -buffer sp4_v_b_17 local_g1_1 -buffer sp4_v_b_21 local_g0_5 -buffer sp4_v_b_31 local_g2_7 -routing sp12_v_b_0 sp12_h_r_0 -routing sp4_h_l_44 sp4_v_b_9 -routing sp4_h_l_46 sp4_v_b_5 -routing sp4_h_l_47 sp4_v_b_10 -routing sp4_v_b_5 sp4_v_t_40 -routing sp4_v_b_5 sp4_v_t_45 +buffer lutff_7/out sp4_h_r_46 +buffer neigh_op_bnr_3 local_g1_3 +buffer neigh_op_rgt_3 local_g3_3 +buffer neigh_op_rgt_7 local_g2_7 +buffer neigh_op_top_5 local_g0_5 +buffer neigh_op_top_6 local_g0_6 +buffer neigh_op_top_7 local_g0_7 +buffer sp12_h_r_22 local_g1_6 +buffer sp12_h_r_4 local_g1_4 +buffer sp12_v_b_13 sp4_v_b_18 +buffer sp4_h_r_21 local_g1_5 +buffer sp4_h_r_32 local_g3_0 +buffer sp4_h_r_46 local_g3_6 +buffer sp4_v_b_8 local_g1_0 -.logic_tile 8 3 -LC_0 0001000000000000 0000 -LC_1 1001100101100110 0000 -LC_2 0000100000000000 0000 -LC_3 0001000000000000 0000 -LC_5 0000100000000000 0000 -LC_6 0000100000000000 0000 -LC_7 0010001011110010 0110 DffEnable Set_NoReset -buffer glb_netwk_2 lutff_global/s_r -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_0/in_0 -buffer local_g0_1 lutff_7/in_2 -buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_7/in_0 -buffer local_g0_4 lutff_1/in_3 -buffer local_g0_5 lutff_5/in_0 -buffer local_g0_6 lutff_3/in_1 -buffer local_g1_0 lutff_6/in_1 -buffer local_g1_1 lutff_5/in_1 -buffer local_g1_2 lutff_0/in_1 -buffer local_g1_4 lutff_5/in_2 -buffer local_g1_5 lutff_2/in_2 -buffer local_g1_7 lutff_7/in_3 -buffer local_g2_3 lutff_2/in_1 +.logic_tile 2 10 +CarryInSet +LC_0 0000000000000000 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable +LC_2 0000000000000000 1000 CarryEnable +LC_3 0000000000000000 1000 CarryEnable +LC_4 0000000000000000 1000 CarryEnable +LC_5 0000000000000000 1000 CarryEnable +LC_6 0000000000000000 1000 CarryEnable +LC_7 0000000011111111 0000 +buffer local_g0_2 lutff_4/in_2 +buffer local_g0_3 lutff_5/in_2 +buffer local_g0_5 lutff_3/in_2 +buffer local_g0_6 lutff_2/in_2 +buffer local_g1_4 lutff_1/in_2 +buffer local_g1_6 lutff_0/in_1 +buffer local_g1_7 lutff_6/in_2 +buffer local_g2_0 lutff_1/in_1 +buffer lutff_6/cout lutff_7/in_3 +buffer lutff_7/out sp12_h_r_6 +buffer neigh_op_top_3 local_g0_3 +buffer neigh_op_top_5 local_g0_5 +buffer neigh_op_top_7 local_g1_7 +buffer sp12_h_r_14 local_g0_6 +buffer sp12_h_r_18 local_g0_2 +buffer sp4_h_r_12 local_g1_4 +buffer sp4_h_r_14 local_g1_6 +buffer sp4_v_b_24 local_g2_0 +routing sp4_v_b_0 sp4_h_r_6 +routing sp4_v_t_44 sp4_v_b_9 + +.logic_tile 9 10 +LC_0 0000000000000001 0000 +LC_1 1000000000000000 0000 +LC_2 1111111100001000 0000 +LC_3 0000000010000010 0000 +LC_4 1111000100000000 0000 +LC_5 1000000000000000 0000 +LC_6 0010000000000000 0000 +LC_7 0001000000000000 0000 +buffer glb2local_1 local_g0_5 +buffer glb2local_2 local_g0_6 +buffer glb_netwk_7 glb2local_1 +buffer glb_netwk_7 glb2local_2 +buffer local_g0_0 lutff_2/in_0 +buffer local_g0_1 lutff_3/in_2 +buffer local_g0_3 lutff_0/in_1 +buffer local_g0_4 lutff_2/in_2 +buffer local_g0_5 lutff_2/in_3 +buffer local_g0_6 lutff_4/in_2 +buffer local_g0_7 lutff_0/in_3 +buffer local_g1_0 lutff_2/in_1 +buffer local_g1_1 lutff_0/in_0 +buffer local_g1_3 lutff_3/in_3 +buffer local_g1_4 lutff_4/in_1 +buffer local_g1_4 lutff_6/in_1 +buffer local_g1_6 lutff_7/in_0 +buffer local_g2_0 lutff_1/in_1 +buffer local_g2_2 lutff_7/in_1 +buffer local_g3_0 lutff_1/in_0 buffer local_g3_0 lutff_3/in_0 -buffer local_g3_1 lutff_2/in_0 +buffer local_g3_0 lutff_5/in_0 buffer local_g3_1 lutff_6/in_0 -buffer local_g3_3 lutff_6/in_2 -buffer local_g3_7 lutff_7/in_1 +buffer local_g3_3 lutff_4/in_0 +buffer local_g3_5 lutff_0/in_2 +buffer local_g3_5 lutff_3/in_1 +buffer local_g3_5 lutff_5/in_1 buffer lutff_0/out sp12_h_r_8 buffer lutff_0/out sp4_v_b_16 -buffer lutff_1/out local_g1_1 -buffer lutff_2/out local_g1_2 -buffer lutff_3/out sp4_h_r_22 -buffer lutff_5/out sp4_v_b_42 -buffer lutff_6/out local_g0_6 -buffer lutff_7/out local_g3_7 -buffer lutff_7/out sp4_h_r_14 -buffer neigh_op_bnr_4 local_g0_4 -buffer neigh_op_bnr_4 local_g1_4 -buffer neigh_op_bot_5 local_g0_5 -buffer sp4_h_r_13 local_g1_5 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_2/out sp4_h_r_20 +buffer lutff_3/out local_g3_3 +buffer lutff_4/out local_g0_4 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_6/out local_g1_6 +buffer lutff_7/out local_g0_7 +buffer neigh_op_bnl_0 local_g3_0 +buffer neigh_op_bot_1 local_g1_1 buffer sp4_h_r_16 local_g1_0 buffer sp4_h_r_17 local_g0_1 -buffer sp4_h_r_24 local_g3_0 -buffer sp4_h_r_43 local_g2_3 -buffer sp4_h_r_43 local_g3_3 -buffer sp4_h_r_7 local_g1_7 -buffer sp4_r_v_b_17 local_g3_1 -buffer sp4_r_v_b_26 local_g0_2 -buffer sp4_r_v_b_27 local_g0_3 -buffer sp4_v_b_8 local_g0_0 -routing sp4_h_l_39 sp4_v_t_39 -routing sp4_h_l_46 sp4_v_t_41 -routing sp4_h_r_0 sp4_h_l_45 -routing sp4_h_r_2 sp4_v_b_7 -routing sp4_v_t_43 sp4_h_l_43 - -.logic_tile 5 10 -LC_0 0000000001111111 0000 -LC_1 0000000000001000 0000 -LC_2 0000000000001000 0000 -LC_3 0010000000000000 0000 -LC_4 0000001000000000 0000 -LC_5 0000000000000010 0000 -LC_6 0000111111101110 0000 -LC_7 0000000000001000 0000 -buffer local_g0_0 lutff_6/in_2 -buffer local_g0_1 lutff_5/in_2 -buffer local_g0_2 lutff_3/in_1 -buffer local_g0_3 lutff_1/in_0 -buffer local_g0_3 lutff_3/in_0 -buffer local_g0_3 lutff_6/in_1 -buffer local_g0_4 lutff_0/in_2 -buffer local_g0_5 lutff_4/in_1 -buffer local_g0_6 lutff_4/in_2 -buffer local_g0_7 lutff_1/in_2 -buffer local_g0_7 lutff_2/in_3 -buffer local_g1_0 lutff_2/in_1 -buffer local_g1_1 lutff_5/in_1 -buffer local_g1_2 lutff_6/in_3 -buffer local_g1_3 lutff_0/in_0 -buffer local_g1_4 lutff_5/in_0 -buffer local_g1_5 lutff_1/in_3 -buffer local_g1_5 lutff_2/in_2 -buffer local_g1_7 lutff_2/in_0 -buffer local_g1_7 lutff_4/in_0 -buffer local_g2_0 lutff_1/in_1 -buffer local_g2_3 lutff_0/in_1 -buffer local_g2_5 lutff_7/in_2 -buffer local_g2_6 lutff_5/in_3 -buffer local_g3_1 lutff_6/in_0 -buffer local_g3_3 lutff_7/in_1 -buffer local_g3_4 lutff_0/in_3 -buffer local_g3_6 lutff_7/in_0 -buffer local_g3_7 lutff_7/in_3 -buffer lutff_0/out local_g0_0 -buffer lutff_1/out local_g1_1 -buffer lutff_2/out local_g0_2 -buffer lutff_2/out local_g1_2 -buffer lutff_3/out sp12_v_b_22 -buffer lutff_3/out sp4_h_r_38 -buffer lutff_3/out sp4_h_r_6 -buffer lutff_3/out sp4_v_b_22 -buffer lutff_4/out local_g3_4 -buffer lutff_5/out sp4_v_b_26 -buffer lutff_7/out sp12_v_b_14 -buffer neigh_op_bot_5 local_g0_5 -buffer neigh_op_bot_5 local_g1_5 -buffer neigh_op_lft_1 local_g0_1 -buffer neigh_op_tnr_6 local_g2_6 -buffer sp12_h_r_20 local_g1_4 -buffer sp12_h_r_3 local_g0_3 -buffer sp12_v_b_0 local_g2_0 -buffer sp12_v_b_19 local_g3_3 -buffer sp12_v_b_21 local_g2_5 -buffer sp12_v_b_23 local_g3_7 -buffer sp4_h_r_12 local_g0_4 -buffer sp4_h_r_15 local_g1_7 -buffer sp4_h_r_43 local_g2_3 -buffer sp4_r_v_b_24 local_g1_0 -buffer sp4_r_v_b_30 local_g0_6 -buffer sp4_v_b_25 local_g3_1 +buffer sp4_r_v_b_41 local_g3_1 +buffer sp4_v_b_12 local_g1_4 +buffer sp4_v_b_26 local_g2_2 +buffer sp4_v_b_29 local_g3_5 +buffer sp4_v_b_3 local_g0_3 buffer sp4_v_b_3 local_g1_3 -buffer sp4_v_b_30 local_g3_6 -buffer sp4_v_b_7 local_g0_7 +buffer sp4_v_b_40 local_g2_0 +buffer sp4_v_b_8 local_g0_0 routing sp12_v_b_0 sp12_v_t_23 -routing sp12_v_t_22 sp12_h_l_22 -routing sp4_h_l_40 sp4_v_t_47 -routing sp4_h_r_8 sp4_v_t_45 -routing sp4_v_b_11 sp4_v_t_39 -routing sp4_v_b_3 sp4_v_t_43 -routing sp4_v_b_3 sp4_v_t_46 -routing sp4_v_t_36 sp4_v_b_9 -routing sp4_v_t_46 sp4_v_b_7 -routing sp4_v_t_47 sp4_v_b_6 +routing sp4_h_l_41 sp4_v_t_41 +routing sp4_v_b_0 sp4_v_t_38 -.logic_tile 4 6 -LC_0 1001000000001001 0000 -LC_1 0010000000000000 0000 -LC_2 0010000000000000 0000 -LC_3 0100000000000000 0100 DffEnable -LC_4 0100000000000000 0100 DffEnable -LC_5 0100000000000000 0100 DffEnable -LC_6 0100000000000000 0100 DffEnable -LC_7 0100000000000000 0100 DffEnable +.logic_tile 5 15 +LC_0 0010000000000000 0000 +LC_2 1111011000000000 0000 +LC_5 0000100010000000 0000 +LC_6 0100000000000000 0111 DffEnable Set_NoReset AsyncSetReset +buffer glb_netwk_4 lutff_global/s_r buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_2/in_1 buffer local_g0_2 lutff_global/cen -buffer local_g0_3 lutff_0/in_3 -buffer local_g0_4 lutff_0/in_2 -buffer local_g0_5 lutff_2/in_1 -buffer local_g0_7 lutff_0/in_1 -buffer local_g1_4 lutff_5/in_0 -buffer local_g1_5 lutff_6/in_0 -buffer local_g2_0 lutff_1/in_1 -buffer local_g2_0 lutff_4/in_0 -buffer local_g2_5 lutff_1/in_0 -buffer local_g2_6 lutff_0/in_0 -buffer local_g2_7 lutff_3/in_0 -buffer local_g3_1 lutff_2/in_0 -buffer local_g3_2 lutff_7/in_0 -buffer local_g3_5 lutff_global/s_r -buffer lutff_1/out sp4_h_r_34 -buffer lutff_1/out sp4_v_b_18 -buffer lutff_1/out sp4_v_b_34 -buffer lutff_2/out sp4_h_r_20 -buffer lutff_2/out sp4_h_r_36 -buffer lutff_3/out sp4_v_b_38 -buffer lutff_3/out sp4_v_b_6 -buffer lutff_4/out sp4_r_v_b_9 -buffer lutff_5/out sp4_r_v_b_11 -buffer lutff_5/out sp4_r_v_b_27 -buffer lutff_6/out sp4_v_b_44 -buffer lutff_7/out local_g0_7 -buffer lutff_7/out sp12_h_r_22 -buffer lutff_7/out sp4_h_r_30 -buffer sp12_h_r_10 local_g0_2 -buffer sp12_h_r_13 local_g0_5 -buffer sp12_h_r_13 local_g1_5 -buffer sp12_h_r_22 sp4_h_r_23 -buffer sp12_v_b_15 local_g2_7 -buffer sp12_v_b_15 sp4_v_b_19 -buffer sp12_v_b_5 sp4_v_b_14 -buffer sp4_r_v_b_13 local_g2_5 -buffer sp4_r_v_b_17 local_g3_1 -buffer sp4_r_v_b_21 local_g3_5 -buffer sp4_r_v_b_27 local_g0_3 -buffer sp4_r_v_b_38 local_g2_6 -buffer sp4_r_v_b_42 local_g3_2 -buffer sp4_v_b_12 local_g0_4 -buffer sp4_v_b_12 local_g1_4 -buffer sp4_v_b_40 local_g2_0 -routing sp4_h_l_41 sp4_h_r_0 -routing sp4_h_l_41 sp4_h_r_4 -routing sp4_h_l_43 sp4_h_r_9 -routing sp4_h_l_46 sp4_h_r_11 -routing sp4_h_r_2 sp4_v_b_7 +buffer local_g0_4 lutff_2/in_2 +buffer local_g0_5 lutff_0/in_1 +buffer local_g0_6 lutff_2/in_0 +buffer local_g1_0 lutff_5/in_0 +buffer local_g1_1 lutff_0/in_0 +buffer local_g1_6 lutff_5/in_2 +buffer local_g1_7 lutff_5/in_3 +buffer local_g1_7 lutff_6/in_0 +buffer local_g2_2 lutff_5/in_1 +buffer lutff_0/out sp4_r_v_b_33 +buffer lutff_5/out local_g0_5 +buffer lutff_6/out local_g0_6 +buffer lutff_6/out local_g1_6 +buffer lutff_6/out sp4_h_r_12 +buffer lutff_6/out sp4_h_r_28 +buffer lutff_6/out sp4_h_r_44 +buffer lutff_6/out sp4_r_v_b_45 +buffer neigh_op_bnl_2 local_g2_2 +buffer neigh_op_lft_0 local_g1_0 +buffer neigh_op_lft_1 local_g1_1 +buffer neigh_op_lft_4 local_g0_4 +buffer sp4_h_r_7 local_g1_7 +buffer sp4_r_v_b_33 local_g0_2 +buffer sp4_v_b_1 local_g0_1 routing sp4_v_b_1 sp4_h_r_7 -routing sp4_v_b_1 sp4_v_t_41 -routing sp4_v_b_5 sp4_v_t_36 -routing sp4_v_b_6 sp4_h_l_46 -routing sp4_v_t_36 sp4_h_l_42 -.logic_tile 8 13 -ColBufCtrl glb_netwk_0 +.logic_tile 6 12 +LC_0 0000110100000000 0000 +LC_1 1111001000000000 0000 +LC_2 0000101100000000 0000 +LC_3 0000111100100010 0000 +LC_4 0000001000000000 0000 +LC_5 1111111110101100 0000 +LC_6 1101000000000000 0000 +LC_7 0000110100000000 0000 +buffer local_g0_0 lutff_0/in_0 +buffer local_g0_0 lutff_4/in_0 +buffer local_g0_1 lutff_0/in_1 +buffer local_g0_2 lutff_1/in_3 +buffer local_g0_3 lutff_5/in_2 +buffer local_g0_4 lutff_2/in_2 +buffer local_g0_4 lutff_5/in_3 +buffer local_g0_5 lutff_4/in_1 +buffer local_g1_0 lutff_1/in_0 +buffer local_g1_1 lutff_1/in_1 +buffer local_g1_1 lutff_3/in_3 +buffer local_g1_2 lutff_2/in_1 +buffer local_g1_3 lutff_0/in_2 +buffer local_g1_4 lutff_5/in_0 +buffer local_g1_4 lutff_7/in_0 +buffer local_g1_5 lutff_6/in_2 +buffer local_g1_6 lutff_6/in_1 +buffer local_g1_7 lutff_3/in_1 +buffer local_g2_0 lutff_2/in_0 +buffer local_g2_1 lutff_3/in_0 +buffer local_g2_2 lutff_6/in_0 +buffer local_g2_3 lutff_1/in_2 +buffer local_g2_4 lutff_4/in_2 +buffer local_g2_5 lutff_3/in_2 +buffer local_g3_1 lutff_5/in_1 +buffer local_g3_2 lutff_7/in_2 +buffer local_g3_5 lutff_7/in_1 +buffer lutff_0/out local_g2_0 +buffer lutff_1/out local_g3_1 +buffer lutff_2/out local_g3_2 +buffer lutff_4/out local_g1_4 +buffer lutff_4/out sp12_v_b_8 +buffer lutff_6/out sp4_h_r_12 +buffer lutff_7/out sp4_r_v_b_15 +buffer neigh_op_bnl_1 local_g2_1 +buffer neigh_op_bot_6 local_g1_6 +buffer neigh_op_rgt_5 local_g3_5 +buffer neigh_op_top_5 local_g0_5 +buffer sp12_h_r_11 local_g0_3 +buffer sp12_h_r_11 local_g1_3 +buffer sp12_h_r_12 local_g0_4 +buffer sp12_v_b_19 local_g2_3 +buffer sp4_h_r_18 local_g0_2 +buffer sp4_h_r_18 local_g1_2 +buffer sp4_h_r_37 local_g2_5 +buffer sp4_r_v_b_29 local_g1_5 +buffer sp4_v_b_0 local_g0_0 +buffer sp4_v_b_0 local_g1_0 +buffer sp4_v_b_1 local_g0_1 +buffer sp4_v_b_1 local_g1_1 +buffer sp4_v_b_15 local_g1_7 +buffer sp4_v_b_28 local_g2_4 +buffer sp4_v_b_42 local_g2_2 +routing sp4_h_l_38 sp4_v_t_45 +routing sp4_h_l_40 sp4_v_t_47 +routing sp4_h_l_45 sp4_v_b_8 +routing sp4_h_r_0 sp4_v_t_43 +routing sp4_h_r_8 sp4_v_b_1 +routing sp4_v_b_0 sp4_h_r_6 +routing sp4_v_t_37 sp4_v_b_3 +routing sp4_v_t_44 sp4_v_b_0 + +.logic_tile 11 12 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_5 ColBufCtrl glb_netwk_6 -LC_0 1101000000000000 0000 -LC_1 0010000000000000 0000 -LC_2 1101000000000000 0000 -LC_3 0001000000000000 0000 -LC_4 0101001100000000 0000 -LC_5 0000100000000000 0000 -LC_6 0010000000000000 0000 +ColBufCtrl glb_netwk_7 +LC_0 0000000011110010 0000 +LC_1 0000001000000000 0000 +LC_4 0001000000000000 0000 +LC_5 1000000000000000 0000 +LC_6 0001000000000000 0000 LC_7 0100000000000000 0100 DffEnable -buffer glb_netwk_0 lutff_global/s_r +buffer glb2local_3 local_g0_7 +buffer glb_netwk_4 lutff_global/s_r buffer glb_netwk_6 lutff_global/clk -buffer local_g0_2 lutff_4/in_0 -buffer local_g0_3 lutff_0/in_1 -buffer local_g0_4 lutff_2/in_0 -buffer local_g0_5 lutff_5/in_2 -buffer local_g0_6 lutff_2/in_2 -buffer local_g0_7 lutff_2/in_3 -buffer local_g0_7 lutff_5/in_0 -buffer local_g1_2 lutff_2/in_1 +buffer glb_netwk_7 glb2local_3 +buffer local_g0_1 lutff_1/in_0 +buffer local_g0_2 lutff_0/in_2 +buffer local_g0_2 lutff_6/in_0 +buffer local_g0_5 lutff_7/in_0 +buffer local_g0_6 lutff_4/in_0 +buffer local_g0_7 lutff_0/in_3 +buffer local_g1_0 lutff_6/in_1 +buffer local_g1_2 lutff_4/in_1 buffer local_g1_3 lutff_global/cen -buffer local_g1_5 lutff_5/in_1 +buffer local_g1_6 lutff_0/in_1 +buffer local_g1_7 lutff_0/in_0 +buffer local_g2_1 lutff_1/in_2 +buffer local_g2_3 lutff_5/in_0 +buffer local_g2_4 lutff_1/in_1 +buffer lutff_0/out sp12_v_b_16 +buffer lutff_1/out sp4_h_r_34 +buffer lutff_4/out sp4_h_r_40 +buffer lutff_4/out sp4_v_b_40 +buffer lutff_5/out sp4_h_r_42 +buffer lutff_5/out sp4_v_b_42 +buffer lutff_6/out sp12_h_r_20 +buffer lutff_7/out sp12_h_r_6 +buffer neigh_op_top_7 local_g1_7 +buffer sp12_h_r_22 local_g0_6 +buffer sp12_h_r_22 local_g1_6 +buffer sp12_h_r_9 local_g0_1 +buffer sp4_h_r_28 local_g2_4 +buffer sp4_h_r_33 local_g2_1 +buffer sp4_r_v_b_26 local_g1_2 +buffer sp4_r_v_b_27 local_g1_3 +buffer sp4_v_b_16 local_g1_0 +buffer sp4_v_b_18 local_g0_2 +buffer sp4_v_b_21 local_g0_5 +buffer sp4_v_b_43 local_g2_3 + +.logic_tile 4 11 +LC_6 0100000000000000 0100 DffEnable +buffer glb_netwk_3 lutff_global/cen +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_2 lutff_6/in_0 +buffer lutff_6/out sp4_h_r_28 +buffer sp4_r_v_b_33 local_g0_2 +routing sp4_h_l_42 sp4_v_b_1 +routing sp4_v_t_42 sp4_h_r_0 + +.logic_tile 7 9 +LC_0 1011000000000000 0000 +LC_1 0000000100000000 0000 +LC_2 0101110000000000 0000 +LC_3 1100110011100000 0000 +LC_4 1111001100110001 0000 +LC_5 0000000011111110 0000 +LC_6 0000000000000001 0000 +LC_7 0010000000000000 0000 +buffer local_g0_0 lutff_6/in_0 +buffer local_g0_1 lutff_3/in_2 +buffer local_g0_2 lutff_1/in_1 +buffer local_g0_2 lutff_5/in_1 +buffer local_g0_3 lutff_5/in_0 +buffer local_g0_4 lutff_0/in_2 +buffer local_g0_5 lutff_4/in_1 +buffer local_g0_6 lutff_2/in_0 +buffer local_g0_6 lutff_3/in_3 +buffer local_g0_6 lutff_5/in_3 +buffer local_g0_6 lutff_6/in_2 +buffer local_g0_7 lutff_6/in_3 +buffer local_g1_0 lutff_1/in_0 +buffer local_g1_0 lutff_2/in_1 +buffer local_g1_0 lutff_5/in_2 +buffer local_g1_1 lutff_2/in_2 +buffer local_g1_1 lutff_4/in_2 +buffer local_g1_2 lutff_4/in_3 +buffer local_g1_4 lutff_6/in_1 +buffer local_g1_5 lutff_7/in_1 buffer local_g1_7 lutff_3/in_1 -buffer local_g2_0 lutff_4/in_2 -buffer local_g2_1 lutff_7/in_0 -buffer local_g2_2 lutff_0/in_0 buffer local_g2_5 lutff_3/in_0 -buffer local_g2_6 lutff_0/in_2 -buffer local_g2_7 lutff_1/in_0 -buffer local_g2_7 lutff_6/in_1 -buffer local_g3_1 lutff_1/in_1 -buffer local_g3_1 lutff_6/in_0 -buffer local_g3_2 lutff_4/in_1 -buffer lutff_0/out sp4_h_r_32 -buffer lutff_1/out sp12_h_r_10 -buffer lutff_3/out local_g1_3 -buffer lutff_4/out sp12_v_b_8 -buffer lutff_5/out local_g2_5 -buffer lutff_5/out sp4_v_b_10 -buffer lutff_6/out sp12_h_r_4 -buffer lutff_6/out sp4_r_v_b_13 -buffer lutff_6/out sp4_v_b_28 -buffer lutff_7/out local_g2_7 -buffer neigh_op_bnr_5 local_g0_5 -buffer sp12_h_r_18 local_g1_2 +buffer local_g2_6 lutff_4/in_0 +buffer local_g3_1 lutff_0/in_0 +buffer local_g3_2 lutff_0/in_1 +buffer local_g3_2 lutff_7/in_0 +buffer local_g3_4 lutff_1/in_2 +buffer lutff_0/out sp4_r_v_b_1 +buffer lutff_1/out local_g0_1 +buffer lutff_2/out local_g1_2 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_3/out sp4_r_v_b_23 +buffer lutff_4/out sp4_h_r_24 +buffer lutff_5/out local_g0_5 +buffer lutff_6/out sp12_h_r_20 +buffer lutff_7/out local_g1_7 +buffer neigh_op_bot_5 local_g1_5 +buffer neigh_op_tnl_5 local_g2_5 +buffer neigh_op_tnl_6 local_g2_6 +buffer sp12_h_r_16 local_g0_0 +buffer sp12_h_r_16 local_g1_0 buffer sp12_h_r_20 local_g0_4 -buffer sp12_h_r_23 local_g1_7 -buffer sp12_v_b_1 local_g2_1 -buffer sp12_v_b_1 local_g3_1 -buffer sp12_v_b_10 local_g3_2 -buffer sp4_h_r_19 local_g0_3 -buffer sp4_h_r_23 local_g0_7 -buffer sp4_h_r_46 local_g2_6 -buffer sp4_h_r_6 local_g0_6 -buffer sp4_r_v_b_26 local_g0_2 -buffer sp4_v_b_13 local_g1_5 -buffer sp4_v_b_24 local_g2_0 -buffer sp4_v_b_42 local_g2_2 -routing sp12_v_b_1 sp12_h_l_22 -routing sp4_h_l_37 sp4_v_b_6 +buffer sp12_h_r_22 local_g0_6 +buffer sp12_v_b_17 local_g3_1 +buffer sp12_v_b_17 sp4_v_b_20 +buffer sp4_h_r_3 local_g0_3 +buffer sp4_h_r_42 local_g3_2 +buffer sp4_h_r_7 local_g0_7 +buffer sp4_v_b_12 local_g1_4 +buffer sp4_v_b_17 local_g1_1 +buffer sp4_v_b_18 local_g0_2 +buffer sp4_v_b_36 local_g3_4 routing sp4_h_l_37 sp4_v_t_37 -routing sp4_h_l_39 sp4_v_t_42 -routing sp4_h_r_1 sp4_v_b_1 -routing sp4_h_r_6 sp4_v_b_11 -routing sp4_v_b_5 sp4_v_t_40 -routing sp4_v_b_7 sp4_v_t_47 +routing sp4_h_l_41 sp4_h_r_7 +routing sp4_h_l_42 sp4_h_r_3 +routing sp4_h_l_43 sp4_v_b_0 +routing sp4_h_l_44 sp4_h_r_0 +routing sp4_h_r_10 sp4_v_t_47 +routing sp4_v_b_6 sp4_h_l_43 routing sp4_v_t_44 sp4_h_l_44 -.logic_tile 9 2 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000011111111 1000 CarryEnable -LC_3 0000000011111111 1000 CarryEnable -LC_4 0000000011111111 0000 -LC_5 1001000000000000 0000 -LC_6 0101010100111100 0100 DffEnable -LC_7 0101001100000000 0100 DffEnable +.logic_tile 2 7 +LC_0 0011010111001010 0000 +LC_1 0001111000000000 0000 +LC_2 0000000000001000 0000 +LC_3 0011010111001010 0000 +LC_4 1100101000110101 0000 +LC_5 1010110000000000 0000 +LC_6 1010010101011010 0000 +LC_7 1000000000000000 0100 DffEnable +buffer glb2local_0 local_g0_4 +buffer glb_netwk_2 glb2local_0 +buffer glb_netwk_3 lutff_global/cen +buffer glb_netwk_4 lutff_global/s_r buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_1/in_1 -buffer local_g0_2 lutff_6/in_2 -buffer local_g0_3 lutff_7/in_0 -buffer local_g0_5 lutff_5/in_0 -buffer local_g0_6 lutff_7/in_1 -buffer local_g1_0 lutff_2/in_1 -buffer local_g1_1 lutff_3/in_1 -buffer local_g1_3 lutff_global/cen -buffer local_g1_6 lutff_0/in_1 -buffer local_g1_7 lutff_6/in_0 -buffer local_g2_2 lutff_5/in_1 -buffer local_g2_5 lutff_1/in_2 -buffer local_g2_5 lutff_3/in_2 -buffer local_g2_6 lutff_2/in_2 -buffer local_g3_0 lutff_6/in_3 -buffer local_g3_0 lutff_7/in_2 -buffer local_g3_6 lutff_6/in_1 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_3/cout lutff_4/in_3 -buffer lutff_3/out sp4_h_r_22 -buffer lutff_5/out sp12_h_r_18 -buffer lutff_6/out sp4_r_v_b_29 -buffer lutff_7/out sp4_r_v_b_31 -buffer neigh_op_lft_7 local_g1_7 -buffer sp12_h_r_14 local_g1_6 -buffer sp12_h_r_16 local_g1_0 -buffer sp12_h_r_17 local_g1_1 -buffer sp12_v_b_15 sp4_v_b_19 -buffer sp12_v_b_23 sp4_v_b_23 -buffer sp4_h_r_14 local_g0_6 +buffer local_g0_0 lutff_5/in_1 +buffer local_g0_1 lutff_5/in_2 +buffer local_g0_2 lutff_4/in_0 +buffer local_g0_3 lutff_3/in_0 +buffer local_g0_4 lutff_7/in_1 +buffer local_g0_5 lutff_0/in_1 +buffer local_g0_6 lutff_0/in_0 +buffer local_g0_7 lutff_5/in_0 +buffer local_g1_0 lutff_0/in_3 +buffer local_g1_1 lutff_2/in_2 +buffer local_g1_2 lutff_7/in_0 +buffer local_g1_3 lutff_3/in_1 +buffer local_g1_4 lutff_2/in_3 +buffer local_g1_5 lutff_1/in_1 +buffer local_g1_5 lutff_6/in_2 +buffer local_g1_6 lutff_4/in_3 +buffer local_g2_0 lutff_0/in_2 +buffer local_g2_0 lutff_4/in_2 +buffer local_g2_3 lutff_1/in_2 +buffer local_g2_4 lutff_3/in_3 +buffer local_g2_7 lutff_2/in_1 +buffer local_g3_0 lutff_1/in_0 +buffer local_g3_0 lutff_3/in_2 +buffer local_g3_1 lutff_2/in_0 +buffer local_g3_2 lutff_4/in_1 +buffer lutff_0/out sp4_r_v_b_17 +buffer lutff_1/out local_g1_1 +buffer lutff_2/out sp4_h_r_4 +buffer lutff_3/out sp4_r_v_b_39 +buffer lutff_4/out local_g1_4 +buffer lutff_5/out sp4_h_r_26 +buffer lutff_6/out local_g0_6 +buffer lutff_7/out sp4_h_r_14 +buffer neigh_op_bot_6 local_g1_6 +buffer neigh_op_top_2 local_g0_2 +buffer neigh_op_top_3 local_g0_3 +buffer neigh_op_top_5 local_g0_5 +buffer neigh_op_top_5 local_g1_5 +buffer sp4_h_r_1 local_g0_1 +buffer sp4_h_r_10 local_g1_2 buffer sp4_h_r_16 local_g0_0 -buffer sp4_h_r_3 local_g0_3 -buffer sp4_r_v_b_16 local_g3_0 -buffer sp4_r_v_b_22 local_g3_6 -buffer sp4_r_v_b_26 local_g0_2 -buffer sp4_r_v_b_37 local_g2_5 -buffer sp4_r_v_b_38 local_g2_6 -buffer sp4_v_b_19 local_g1_3 -buffer sp4_v_b_21 local_g0_5 -buffer sp4_v_b_42 local_g2_2 -routing sp4_h_l_44 sp4_v_b_3 +buffer sp4_h_r_32 local_g2_0 +buffer sp4_h_r_32 local_g3_0 +buffer sp4_r_v_b_12 local_g2_4 +buffer sp4_r_v_b_17 local_g3_1 +buffer sp4_r_v_b_27 local_g1_3 +buffer sp4_r_v_b_39 local_g2_7 +buffer sp4_r_v_b_42 local_g3_2 +buffer sp4_v_b_0 local_g1_0 +buffer sp4_v_b_27 local_g2_3 +buffer sp4_v_b_7 local_g0_7 +routing sp4_h_r_1 sp4_v_t_42 +routing sp4_h_r_2 sp4_v_b_2 +routing sp4_v_b_2 sp4_h_l_42 +routing sp4_v_b_7 sp4_h_r_7 +routing sp4_v_b_9 sp4_v_t_40 -.logic_tile 6 1 -LC_0 1000000000000000 0000 +.logic_tile 9 13 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +ColBufCtrl glb_netwk_7 +LC_0 0101001100000000 0000 LC_1 0010000000000000 0000 -LC_2 0001000000000000 0000 -LC_6 0000000100000000 0000 -LC_7 0101001100000000 0100 DffEnable +LC_2 0101001100000000 0000 +LC_3 0100000000000000 0100 DffEnable +LC_6 0100000000000000 0100 DffEnable buffer glb2local_0 local_g0_4 -buffer glb_netwk_5 glb2local_0 buffer glb_netwk_6 lutff_global/clk -buffer local_g0_1 lutff_1/in_0 -buffer local_g0_2 lutff_0/in_0 +buffer glb_netwk_7 glb2local_0 +buffer local_g0_0 lutff_6/in_0 +buffer local_g0_1 lutff_2/in_1 +buffer local_g0_2 lutff_0/in_2 +buffer local_g0_2 lutff_2/in_2 buffer local_g0_4 lutff_1/in_1 -buffer local_g0_5 lutff_7/in_2 -buffer local_g0_7 lutff_7/in_0 -buffer local_g1_1 lutff_2/in_0 -buffer local_g1_1 lutff_6/in_0 -buffer local_g1_3 lutff_global/cen -buffer local_g2_2 lutff_7/in_1 -buffer local_g2_4 lutff_6/in_2 -buffer local_g3_0 lutff_2/in_1 -buffer local_g3_0 lutff_6/in_1 -buffer lutff_0/out sp4_h_r_0 -buffer lutff_1/out sp4_h_r_18 -buffer lutff_1/out sp4_r_v_b_3 -buffer lutff_2/out local_g0_2 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_2/out sp4_h_r_20 -buffer lutff_7/out local_g0_7 -buffer lutff_7/out sp4_v_b_30 -buffer sp12_h_r_0 sp4_h_r_12 -buffer sp12_h_r_4 sp4_h_r_14 -buffer sp4_h_r_34 local_g2_2 -buffer sp4_h_r_40 local_g3_0 -buffer sp4_r_v_b_3 local_g1_3 -buffer sp4_r_v_b_34 local_g0_1 -buffer sp4_v_b_13 local_g0_5 -buffer sp4_v_b_28 local_g2_4 -buffer sp4_v_b_9 local_g1_1 -routing sp12_h_r_0 sp12_v_t_23 -routing sp4_h_r_0 sp4_h_l_38 -routing sp4_v_b_9 sp4_v_t_37 - -.logic_tile 5 7 -LC_0 1000000000000000 0000 -LC_1 1001000000001001 0000 -LC_2 1001000000001001 0000 -LC_3 1000000000000000 0000 -LC_4 1001000000001001 0000 -LC_5 0000000000000001 0000 -LC_6 0001000000000000 0000 -LC_7 1001000000001001 0000 -buffer local_g0_0 lutff_2/in_0 -buffer local_g0_1 lutff_0/in_1 -buffer local_g0_1 lutff_4/in_1 -buffer local_g0_2 lutff_1/in_3 -buffer local_g0_2 lutff_3/in_3 -buffer local_g0_3 lutff_0/in_3 -buffer local_g0_4 lutff_5/in_3 -buffer local_g0_5 lutff_2/in_3 -buffer local_g0_6 lutff_3/in_1 -buffer local_g0_6 lutff_7/in_3 -buffer local_g0_7 lutff_5/in_0 -buffer local_g1_0 lutff_6/in_1 -buffer local_g1_1 lutff_5/in_1 -buffer local_g1_2 lutff_5/in_2 -buffer local_g1_3 lutff_6/in_0 -buffer local_g1_4 lutff_1/in_0 -buffer local_g1_5 lutff_0/in_2 -buffer local_g1_7 lutff_4/in_2 -buffer local_g2_1 lutff_2/in_1 -buffer local_g2_2 lutff_1/in_1 -buffer local_g2_3 lutff_7/in_2 -buffer local_g2_7 lutff_3/in_0 -buffer local_g3_0 lutff_3/in_2 -buffer local_g3_0 lutff_4/in_3 -buffer local_g3_1 lutff_0/in_0 -buffer local_g3_2 lutff_7/in_0 -buffer local_g3_3 lutff_2/in_2 -buffer local_g3_5 lutff_4/in_0 -buffer local_g3_6 lutff_1/in_2 -buffer local_g3_7 lutff_7/in_1 -buffer lutff_0/out local_g1_0 -buffer lutff_1/out local_g1_1 +buffer local_g0_6 lutff_0/in_0 +buffer local_g1_2 lutff_3/in_0 +buffer local_g1_3 lutff_2/in_0 +buffer local_g1_6 lutff_1/in_0 +buffer local_g2_2 lutff_global/cen +buffer local_g2_5 lutff_0/in_1 +buffer lutff_0/out local_g0_0 +buffer lutff_0/out sp4_h_r_32 +buffer lutff_1/out sp4_v_b_34 buffer lutff_2/out local_g1_2 +buffer lutff_2/out sp4_r_v_b_21 buffer lutff_3/out local_g1_3 -buffer lutff_4/out local_g0_4 -buffer lutff_5/out sp4_r_v_b_11 -buffer lutff_5/out sp4_r_v_b_43 -buffer lutff_7/out local_g0_7 -buffer neigh_op_lft_3 local_g0_3 -buffer neigh_op_lft_6 local_g0_6 -buffer sp12_h_r_12 sp4_h_r_18 -buffer sp12_h_r_13 local_g0_5 -buffer sp12_h_r_13 local_g1_5 -buffer sp12_h_r_15 local_g1_7 -buffer sp12_v_b_13 local_g3_5 -buffer sp4_h_r_10 local_g0_2 -buffer sp4_h_r_17 local_g0_1 -buffer sp4_h_r_32 local_g3_0 -buffer sp4_h_r_34 local_g2_2 -buffer sp4_h_r_43 local_g2_3 -buffer sp4_h_r_46 local_g3_6 -buffer sp4_r_v_b_35 local_g0_0 -buffer sp4_r_v_b_4 local_g1_4 -buffer sp4_v_b_26 local_g3_2 -buffer sp4_v_b_33 local_g2_1 -buffer sp4_v_b_33 local_g3_1 -buffer sp4_v_b_35 local_g3_3 -buffer sp4_v_b_39 local_g3_7 -buffer sp4_v_b_47 local_g2_7 -routing sp4_h_l_42 sp4_h_r_10 -routing sp4_h_l_47 sp4_v_b_10 -routing sp4_h_r_0 sp4_v_b_0 -routing sp4_v_b_0 sp4_v_t_45 -routing sp4_v_b_11 sp4_h_r_5 -routing sp4_v_b_2 sp4_h_r_2 -routing sp4_v_b_4 sp4_v_t_41 -routing sp4_v_b_9 sp4_h_r_3 -routing sp4_v_b_9 sp4_v_t_37 -routing sp4_v_t_38 sp4_v_b_3 -routing sp4_v_t_39 sp4_v_b_5 -routing sp4_v_t_40 sp4_v_b_1 -routing sp4_v_t_45 sp4_v_b_4 -routing sp4_v_t_45 sp4_v_b_8 +buffer lutff_6/out local_g0_6 +buffer neigh_op_lft_6 local_g1_6 +buffer sp12_v_b_1 sp4_v_b_12 +buffer sp4_h_r_18 local_g0_2 +buffer sp4_r_v_b_25 local_g0_1 +buffer sp4_v_b_37 local_g2_5 +buffer sp4_v_b_42 local_g2_2 +routing sp12_h_l_22 sp12_v_b_1 -.logic_tile 11 3 -LC_0 0000000010000000 0000 -LC_1 0000000000001000 0000 -LC_2 1111001000000000 0000 -LC_3 0101001100000000 0000 -LC_4 0001111000000000 0000 -LC_5 0000000100000000 0000 -LC_6 0101001100000000 0000 -LC_7 0110100110010110 0000 -buffer local_g0_0 lutff_3/in_1 -buffer local_g0_2 lutff_4/in_0 -buffer local_g0_2 lutff_6/in_2 -buffer local_g0_3 lutff_0/in_3 -buffer local_g0_4 lutff_6/in_0 -buffer local_g0_5 lutff_1/in_2 -buffer local_g0_5 lutff_5/in_0 -buffer local_g0_7 lutff_3/in_0 -buffer local_g0_7 lutff_4/in_1 -buffer local_g1_0 lutff_0/in_1 -buffer local_g1_1 lutff_0/in_2 +.logic_tile 8 3 +LC_0 0000011100000000 0000 +LC_1 0010000000000000 0000 +LC_2 0001000000000000 0000 +LC_3 0001000000000000 0000 +LC_5 1110000000000000 0000 +LC_6 0010000000000000 0000 +LC_7 1111111101110000 0000 +buffer glb2local_0 local_g0_4 +buffer glb2local_2 local_g0_6 +buffer glb_netwk_0 glb2local_2 +buffer glb_netwk_7 glb2local_0 +buffer local_g0_0 lutff_6/in_0 +buffer local_g0_1 lutff_6/in_1 +buffer local_g0_2 lutff_5/in_3 +buffer local_g0_3 lutff_5/in_2 +buffer local_g0_4 lutff_7/in_3 +buffer local_g0_6 lutff_7/in_1 +buffer local_g1_1 lutff_1/in_1 +buffer local_g1_2 lutff_5/in_0 +buffer local_g1_4 lutff_2/in_1 +buffer local_g1_5 lutff_2/in_0 +buffer local_g1_6 lutff_7/in_0 +buffer local_g1_7 lutff_0/in_2 +buffer local_g2_0 lutff_5/in_1 +buffer local_g2_1 lutff_0/in_1 +buffer local_g2_4 lutff_3/in_1 +buffer local_g2_5 lutff_3/in_0 +buffer local_g2_7 lutff_1/in_0 +buffer local_g3_4 lutff_7/in_2 +buffer local_g3_5 lutff_0/in_0 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_1/out sp4_r_v_b_35 +buffer lutff_3/out local_g0_3 +buffer lutff_5/out local_g1_5 +buffer lutff_6/out local_g1_6 +buffer lutff_6/out sp12_v_b_12 +buffer lutff_7/out sp4_r_v_b_47 +buffer neigh_op_bot_2 local_g0_2 +buffer neigh_op_lft_4 local_g1_4 +buffer neigh_op_rgt_4 local_g2_4 +buffer neigh_op_top_7 local_g1_7 +buffer sp12_h_r_16 local_g0_0 +buffer sp12_h_r_17 local_g0_1 +buffer sp12_h_r_17 local_g1_1 +buffer sp4_h_r_24 local_g2_0 +buffer sp4_h_r_29 local_g3_5 +buffer sp4_h_r_33 local_g2_1 +buffer sp4_r_v_b_44 local_g3_4 +buffer sp4_v_b_18 local_g1_2 +buffer sp4_v_b_39 local_g2_7 +buffer sp4_v_b_45 local_g2_5 +routing sp4_h_l_41 sp4_v_t_44 +routing sp4_h_l_43 sp4_v_t_46 +routing sp4_h_l_47 sp4_v_t_38 +routing sp4_h_r_11 sp4_v_t_40 + +.logic_tile 5 10 +LC_0 0101111100111111 0000 +LC_1 1000000000000000 0000 +LC_2 0000000011001010 0000 +LC_3 0101000000110000 0000 +LC_4 0101111100111111 0000 +LC_5 1111001000000000 0000 +LC_6 1000000000000000 0000 +LC_7 0001000000000000 0000 +buffer glb2local_3 local_g0_7 +buffer glb_netwk_7 glb2local_3 +buffer local_g0_0 lutff_5/in_1 +buffer local_g0_1 lutff_7/in_0 +buffer local_g0_3 lutff_5/in_0 +buffer local_g0_4 lutff_3/in_1 +buffer local_g0_5 lutff_4/in_1 +buffer local_g0_6 lutff_7/in_1 +buffer local_g0_7 lutff_5/in_2 +buffer local_g1_0 lutff_2/in_1 +buffer local_g1_1 lutff_0/in_0 buffer local_g1_2 lutff_3/in_2 -buffer local_g1_3 lutff_1/in_3 +buffer local_g1_3 lutff_2/in_2 +buffer local_g1_3 lutff_3/in_3 +buffer local_g1_4 lutff_6/in_3 buffer local_g1_5 lutff_2/in_0 -buffer local_g1_6 lutff_6/in_1 -buffer local_g1_7 lutff_0/in_0 -buffer local_g2_0 lutff_5/in_1 +buffer local_g1_6 lutff_2/in_3 +buffer local_g1_7 lutff_0/in_2 +buffer local_g1_7 lutff_4/in_2 +buffer local_g2_1 lutff_6/in_1 buffer local_g2_2 lutff_1/in_1 -buffer local_g2_2 lutff_7/in_1 -buffer local_g2_3 lutff_2/in_1 -buffer local_g2_4 lutff_2/in_2 -buffer local_g3_0 lutff_1/in_0 -buffer local_g3_2 lutff_5/in_2 -buffer local_g3_7 lutff_4/in_2 -buffer lutff_0/out sp12_h_r_8 -buffer lutff_0/out sp4_h_r_32 -buffer lutff_1/out local_g1_1 -buffer lutff_1/out sp12_v_b_2 -buffer lutff_3/out sp12_h_r_14 -buffer lutff_4/out sp4_h_r_40 -buffer lutff_5/out sp12_h_r_2 -buffer lutff_5/out sp4_h_r_42 -buffer lutff_6/out sp12_h_r_20 -buffer sp12_h_r_15 local_g1_7 -buffer sp12_h_r_16 local_g1_0 -buffer sp12_h_r_18 local_g0_2 -buffer sp12_h_r_18 local_g1_2 -buffer sp12_h_r_2 sp4_h_r_13 -buffer sp12_h_r_4 local_g0_4 -buffer sp12_v_b_8 local_g2_0 -buffer sp12_v_b_8 local_g3_0 -buffer sp4_h_r_21 local_g1_5 -buffer sp4_h_r_26 local_g2_2 -buffer sp4_h_r_26 local_g3_2 -buffer sp4_h_r_28 local_g2_4 -buffer sp4_h_r_35 local_g2_3 -buffer sp4_h_r_47 local_g3_7 +buffer local_g2_3 lutff_1/in_0 +buffer local_g2_4 lutff_4/in_0 +buffer local_g2_5 lutff_0/in_3 +buffer local_g2_5 lutff_4/in_3 +buffer local_g2_7 lutff_0/in_1 +buffer local_g3_0 lutff_3/in_0 +buffer local_g3_1 lutff_6/in_2 +buffer local_g3_2 lutff_1/in_2 +buffer local_g3_7 lutff_6/in_0 +buffer lutff_0/out local_g3_0 +buffer lutff_1/out local_g0_1 +buffer lutff_1/out sp4_r_v_b_3 +buffer lutff_1/out sp4_v_b_2 +buffer lutff_2/out local_g1_2 +buffer lutff_3/out sp4_r_v_b_39 +buffer lutff_4/out local_g0_4 +buffer lutff_5/out sp4_v_b_10 +buffer lutff_6/out local_g0_6 +buffer neigh_op_lft_6 local_g1_6 +buffer sp12_h_r_23 local_g1_7 +buffer sp12_v_b_13 local_g2_5 +buffer sp12_v_b_13 sp4_v_b_18 +buffer sp4_h_r_17 local_g1_1 +buffer sp4_h_r_43 local_g2_3 +buffer sp4_r_v_b_15 local_g2_7 +buffer sp4_r_v_b_17 local_g3_1 +buffer sp4_r_v_b_18 local_g3_2 +buffer sp4_r_v_b_23 local_g3_7 buffer sp4_r_v_b_27 local_g1_3 -buffer sp4_r_v_b_35 local_g0_0 +buffer sp4_r_v_b_28 local_g1_4 buffer sp4_v_b_13 local_g0_5 -buffer sp4_v_b_14 local_g1_6 -buffer sp4_v_b_19 local_g0_3 -buffer sp4_v_b_7 local_g0_7 -routing sp12_v_t_22 sp12_h_l_22 -routing sp4_h_l_38 sp4_v_b_3 -routing sp4_h_l_39 sp4_v_b_8 -routing sp4_h_l_39 sp4_v_t_42 -routing sp4_h_l_43 sp4_v_b_0 -routing sp4_h_l_46 sp4_v_t_41 -routing sp4_h_l_47 sp4_v_t_38 -routing sp4_v_b_11 sp4_v_t_46 -routing sp4_v_t_37 sp4_h_l_37 -routing sp4_v_t_42 sp4_v_b_7 +buffer sp4_v_b_16 local_g0_0 +buffer sp4_v_b_21 local_g1_5 +buffer sp4_v_b_28 local_g2_4 +buffer sp4_v_b_3 local_g0_3 +buffer sp4_v_b_34 local_g2_2 +buffer sp4_v_b_41 local_g2_1 +buffer sp4_v_b_8 local_g1_0 +routing sp4_h_l_47 sp4_v_b_4 +routing sp4_h_r_2 sp4_h_l_47 +routing sp4_h_r_4 sp4_v_t_41 -.logic_tile 6 16 +.logic_tile 4 6 +CarryInSet LC_0 0000000000000000 1000 CarryEnable -LC_1 0110100110010110 1000 CarryEnable +LC_1 0000000000000000 1000 CarryEnable LC_2 0110100110010110 1000 CarryEnable -LC_3 0110100110010110 0000 -LC_4 1001000000000000 0000 -LC_5 0000000000010111 0000 -LC_6 0000001111101000 0000 -LC_7 1000000000000000 0000 -buffer local_g0_3 lutff_6/in_3 -buffer local_g0_4 lutff_5/in_1 -buffer local_g0_5 lutff_2/in_1 +LC_3 0110100110010110 1000 CarryEnable +LC_4 0110100110010110 1000 CarryEnable +LC_5 0110100110010110 1000 CarryEnable +LC_6 0110100110010110 0000 +LC_7 0100000000000000 0100 DffEnable +buffer glb_netwk_3 lutff_global/cen +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_6/in_2 +buffer local_g0_1 lutff_0/in_1 buffer local_g0_5 lutff_5/in_2 -buffer local_g0_6 lutff_1/in_1 -buffer local_g1_0 lutff_5/in_0 buffer local_g1_2 lutff_7/in_0 -buffer local_g1_3 lutff_5/in_3 -buffer local_g1_4 lutff_6/in_1 -buffer local_g1_5 lutff_2/in_2 -buffer local_g1_7 lutff_6/in_2 -buffer local_g2_0 lutff_0/in_2 -buffer local_g2_0 lutff_4/in_0 -buffer local_g2_6 lutff_6/in_0 -buffer local_g3_2 lutff_1/in_2 -buffer local_g3_6 lutff_0/in_1 -buffer local_g3_6 lutff_4/in_1 -buffer lutff_0/cout lutff_1/in_3 +buffer local_g1_6 lutff_3/in_2 +buffer local_g1_7 lutff_4/in_2 +buffer local_g2_6 lutff_2/in_2 +buffer local_g3_6 lutff_1/in_2 buffer lutff_1/cout lutff_2/in_3 buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out sp4_r_v_b_5 -buffer lutff_3/out sp4_r_v_b_7 -buffer lutff_5/out local_g1_5 -buffer lutff_6/out local_g0_6 -buffer neigh_op_bnl_6 local_g2_6 -buffer neigh_op_bnr_3 local_g0_3 -buffer neigh_op_bnr_3 local_g1_3 -buffer neigh_op_bnr_4 local_g0_4 -buffer neigh_op_bnr_4 local_g1_4 -buffer neigh_op_bnr_5 local_g0_5 -buffer neigh_op_rgt_0 local_g2_0 -buffer neigh_op_rgt_2 local_g3_2 -buffer neigh_op_rgt_6 local_g3_6 +buffer lutff_3/cout lutff_4/in_3 +buffer lutff_4/cout lutff_5/in_3 +buffer lutff_5/cout lutff_6/in_3 +buffer lutff_6/out sp4_r_v_b_13 +buffer lutff_7/out sp4_h_r_30 +buffer lutff_7/out sp4_r_v_b_47 +buffer neigh_op_top_5 local_g0_5 +buffer neigh_op_top_6 local_g1_6 +buffer neigh_op_top_7 local_g1_7 +buffer sp12_h_r_0 sp4_h_r_12 +buffer sp4_r_v_b_14 local_g2_6 +buffer sp4_r_v_b_46 local_g3_6 +buffer sp4_v_b_16 local_g0_0 +buffer sp4_v_b_17 local_g0_1 +buffer sp4_v_b_18 local_g1_2 +routing sp12_v_t_23 sp12_h_r_0 +routing sp4_h_l_40 sp4_v_t_40 +routing sp4_h_l_45 sp4_v_t_45 +routing sp4_h_l_46 sp4_h_r_11 +routing sp4_v_b_0 sp4_h_r_0 +routing sp4_v_b_10 sp4_h_r_4 +routing sp4_v_b_6 sp4_h_l_46 +routing sp4_v_t_36 sp4_v_b_4 + +.logic_tile 8 13 +ColBufCtrl glb_netwk_6 +LC_1 1111011100000000 0000 +LC_2 0100001000000000 0000 +LC_3 0000001000000000 0000 +LC_4 0000000000000001 0000 +LC_6 1101000000000000 0000 +LC_7 0001000000000000 0000 +buffer local_g0_0 lutff_6/in_2 +buffer local_g0_1 lutff_7/in_0 +buffer local_g0_2 lutff_1/in_1 +buffer local_g0_4 lutff_3/in_1 +buffer local_g0_6 lutff_2/in_2 +buffer local_g0_6 lutff_4/in_2 +buffer local_g0_7 lutff_6/in_1 +buffer local_g1_1 lutff_7/in_1 +buffer local_g1_2 lutff_3/in_2 +buffer local_g1_3 lutff_6/in_0 +buffer local_g1_7 lutff_4/in_0 +buffer local_g2_0 lutff_2/in_0 +buffer local_g2_1 lutff_1/in_2 +buffer local_g2_1 lutff_2/in_1 +buffer local_g2_1 lutff_4/in_1 +buffer local_g2_7 lutff_3/in_0 +buffer local_g2_7 lutff_4/in_3 +buffer local_g3_6 lutff_1/in_0 +buffer lutff_1/out local_g0_1 +buffer lutff_1/out sp12_h_r_10 +buffer lutff_1/out sp4_h_r_18 +buffer lutff_1/out sp4_r_v_b_19 +buffer lutff_2/out local_g1_2 +buffer lutff_3/out sp4_h_r_38 +buffer lutff_4/out sp4_h_r_40 +buffer lutff_4/out sp4_r_v_b_41 +buffer lutff_7/out local_g1_7 +buffer lutff_7/out sp4_h_r_14 +buffer neigh_op_bot_4 local_g0_4 +buffer neigh_op_lft_1 local_g1_1 +buffer neigh_op_lft_2 local_g0_2 +buffer sp12_h_r_19 local_g1_3 +buffer sp12_h_r_22 local_g0_6 +buffer sp4_r_v_b_35 local_g0_0 +buffer sp4_r_v_b_39 local_g2_7 +buffer sp4_r_v_b_46 local_g3_6 +buffer sp4_v_b_25 local_g2_1 +buffer sp4_v_b_40 local_g2_0 +buffer sp4_v_b_7 local_g0_7 +routing sp4_v_b_1 sp4_h_r_7 + +.logic_tile 9 2 +LC_0 0010000000000000 0100 DffEnable +NegClk +buffer glb_netwk_6 lutff_global/clk +buffer local_g1_3 lutff_0/in_0 +buffer local_g3_2 lutff_0/in_1 +buffer local_g3_3 lutff_global/cen +buffer lutff_0/out sp4_h_r_32 +buffer lutff_0/out sp4_r_v_b_17 +buffer neigh_op_tnl_2 local_g3_2 +buffer neigh_op_top_3 local_g1_3 +buffer sp4_h_r_35 local_g3_3 +routing sp4_v_t_47 sp4_h_r_3 + +.logic_tile 6 1 +LC_0 0110000000000000 0000 +LC_1 0101001100000000 0000 +LC_2 0000001000000000 0000 +LC_3 0101001100000000 0000 +LC_4 1000000000000000 0000 +LC_5 1000000000000000 0000 +LC_6 0101001100000000 0000 +LC_7 0101001100000000 0000 +buffer glb2local_0 local_g0_4 +buffer glb_netwk_0 glb2local_0 +buffer local_g0_0 lutff_1/in_1 +buffer local_g0_1 lutff_5/in_2 +buffer local_g0_2 lutff_6/in_2 +buffer local_g0_4 lutff_2/in_0 +buffer local_g0_5 lutff_3/in_0 +buffer local_g0_6 lutff_5/in_1 +buffer local_g0_7 lutff_7/in_0 +buffer local_g1_1 lutff_6/in_0 +buffer local_g1_2 lutff_1/in_2 +buffer local_g1_2 lutff_3/in_2 +buffer local_g1_2 lutff_7/in_2 +buffer local_g1_3 lutff_5/in_3 +buffer local_g1_4 lutff_5/in_0 +buffer local_g1_5 lutff_7/in_1 +buffer local_g1_7 lutff_4/in_0 +buffer local_g2_0 lutff_3/in_1 +buffer local_g2_1 lutff_6/in_1 +buffer local_g2_4 lutff_2/in_2 +buffer local_g2_5 lutff_1/in_0 +buffer local_g3_4 lutff_0/in_1 +buffer local_g3_5 lutff_0/in_0 +buffer local_g3_6 lutff_2/in_1 +buffer lutff_0/out sp4_v_b_32 +buffer lutff_1/out sp4_v_b_34 +buffer lutff_3/out sp4_r_v_b_39 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_5/out sp4_v_b_26 +buffer lutff_6/out sp4_v_b_28 +buffer lutff_7/out sp4_r_v_b_47 +buffer lutff_7/out sp4_v_b_30 +buffer neigh_op_bnl_0 local_g2_0 +buffer neigh_op_lft_3 local_g1_3 +buffer neigh_op_lft_4 local_g1_4 +buffer neigh_op_top_1 local_g1_1 +buffer neigh_op_top_7 local_g0_7 +buffer sp12_h_r_10 local_g0_2 buffer sp12_h_r_10 local_g1_2 -buffer sp4_r_v_b_31 local_g1_7 -buffer sp4_v_b_0 local_g1_0 -routing sp4_h_l_40 sp4_h_r_5 -routing sp4_v_b_0 sp4_h_l_40 +buffer sp12_h_r_6 local_g0_6 +buffer sp12_v_b_12 local_g2_4 +buffer sp12_v_b_13 local_g2_5 +buffer sp4_h_r_15 local_g1_7 +buffer sp4_h_r_16 local_g0_0 +buffer sp4_h_r_17 local_g0_1 +buffer sp4_h_r_29 local_g3_5 +buffer sp4_h_r_33 local_g2_1 +buffer sp4_r_v_b_20 local_g3_4 +buffer sp4_r_v_b_22 local_g3_6 +buffer sp4_v_b_13 local_g1_5 +buffer sp4_v_b_21 local_g0_5 +routing sp12_v_t_23 sp12_h_r_0 -.logic_tile 7 4 -ColBufCtrl glb_netwk_5 -ColBufCtrl glb_netwk_6 -LC_0 1000111100000000 0000 -LC_1 0000000100000000 0000 -LC_2 0010000000000000 0000 -LC_3 1110111011100000 0000 -LC_4 0000000000000001 0000 -LC_5 0000000011111101 0000 -LC_6 0000000001110000 0000 -LC_7 0000000000000010 0000 -buffer glb2local_0 local_g0_4 -buffer glb2local_1 local_g0_5 -buffer glb_netwk_5 glb2local_0 -buffer glb_netwk_5 glb2local_1 -buffer local_g0_1 lutff_5/in_2 -buffer local_g0_2 lutff_3/in_3 -buffer local_g0_3 lutff_2/in_1 -buffer local_g0_4 lutff_1/in_1 -buffer local_g0_4 lutff_3/in_1 -buffer local_g0_5 lutff_6/in_3 -buffer local_g0_6 lutff_0/in_2 -buffer local_g0_7 lutff_7/in_2 -buffer local_g1_0 lutff_4/in_3 -buffer local_g1_0 lutff_7/in_0 -buffer local_g1_1 lutff_5/in_3 -buffer local_g1_2 lutff_1/in_0 -buffer local_g1_2 lutff_3/in_2 +.logic_tile 5 7 +LC_0 1000000000000000 0000 +LC_1 0000000000000001 0000 +LC_2 0000111000000000 0000 +LC_4 1010010101011010 0000 +LC_5 0101001100000000 0000 +LC_6 0000000010000000 0000 +LC_7 0000000100000000 0000 +buffer local_g0_1 lutff_2/in_1 +buffer local_g0_2 lutff_2/in_2 +buffer local_g0_3 lutff_6/in_3 +buffer local_g0_4 lutff_5/in_1 +buffer local_g0_5 lutff_1/in_2 +buffer local_g0_6 lutff_4/in_2 +buffer local_g0_7 lutff_0/in_1 +buffer local_g0_7 lutff_7/in_0 +buffer local_g1_1 lutff_6/in_0 +buffer local_g1_2 lutff_5/in_2 buffer local_g1_3 lutff_0/in_0 -buffer local_g1_5 lutff_4/in_2 +buffer local_g1_3 lutff_1/in_1 +buffer local_g1_5 lutff_0/in_2 +buffer local_g1_5 lutff_7/in_1 +buffer local_g1_6 lutff_1/in_0 buffer local_g1_6 lutff_5/in_0 -buffer local_g2_1 lutff_4/in_1 -buffer local_g2_2 lutff_4/in_0 -buffer local_g2_2 lutff_7/in_3 -buffer local_g2_3 lutff_0/in_1 -buffer local_g2_4 lutff_2/in_0 -buffer local_g2_5 lutff_6/in_1 -buffer local_g2_6 lutff_6/in_2 -buffer local_g2_7 lutff_3/in_0 -buffer local_g3_0 lutff_1/in_2 -buffer local_g3_1 lutff_7/in_1 -buffer local_g3_3 lutff_5/in_1 -buffer local_g3_7 lutff_6/in_0 -buffer lutff_0/out sp12_v_b_16 -buffer lutff_1/out sp4_r_v_b_35 +buffer local_g1_7 lutff_2/in_0 +buffer local_g2_2 lutff_6/in_2 +buffer local_g2_3 lutff_6/in_1 +buffer local_g3_2 lutff_0/in_3 +buffer local_g3_2 lutff_7/in_2 +buffer local_g3_3 lutff_1/in_3 +buffer lutff_0/out sp4_v_b_32 +buffer lutff_1/out local_g0_1 buffer lutff_2/out local_g1_2 -buffer lutff_4/out sp4_v_b_40 +buffer lutff_2/out sp4_h_r_36 +buffer lutff_4/out local_g0_4 buffer lutff_5/out sp12_h_r_18 -buffer lutff_5/out sp4_h_r_42 -buffer lutff_5/out sp4_r_v_b_43 -buffer lutff_5/out sp4_v_b_10 -buffer lutff_6/out sp4_h_r_44 -buffer lutff_7/out sp12_v_b_14 -buffer neigh_op_bnr_5 local_g1_5 -buffer neigh_op_lft_1 local_g0_1 -buffer sp12_v_b_13 sp4_v_b_18 -buffer sp12_v_b_3 sp4_v_b_13 +buffer lutff_6/out sp4_v_b_28 +buffer lutff_7/out local_g1_7 +buffer neigh_op_lft_5 local_g1_5 +buffer neigh_op_lft_7 local_g0_7 +buffer sp12_h_r_9 local_g1_1 buffer sp4_h_r_22 local_g0_6 -buffer sp4_h_r_35 local_g3_3 -buffer sp4_r_v_b_0 local_g1_0 -buffer sp4_r_v_b_1 local_g1_1 -buffer sp4_r_v_b_14 local_g2_6 -buffer sp4_r_v_b_15 local_g2_7 +buffer sp4_h_r_22 local_g1_6 +buffer sp4_h_r_42 local_g2_2 +buffer sp4_h_r_42 local_g3_2 buffer sp4_r_v_b_26 local_g0_2 +buffer sp4_v_b_13 local_g0_5 +buffer sp4_v_b_27 local_g2_3 +buffer sp4_v_b_3 local_g0_3 +buffer sp4_v_b_3 local_g1_3 +buffer sp4_v_b_35 local_g3_3 +routing sp4_h_l_38 sp4_v_b_3 +routing sp4_h_l_39 sp4_v_b_8 +routing sp4_h_l_45 sp4_v_t_45 +routing sp4_v_b_0 sp4_h_l_40 +routing sp4_v_b_2 sp4_h_r_8 +routing sp4_v_b_8 sp4_v_t_41 +routing sp4_v_t_39 sp4_h_l_45 + +.logic_tile 11 3 +LC_7 0000100011111111 0100 DffEnable +NegClk +buffer glb_netwk_4 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_1 lutff_7/in_2 +buffer local_g0_6 lutff_7/in_1 +buffer local_g0_7 lutff_7/in_0 +buffer local_g1_3 lutff_global/cen +buffer local_g1_7 lutff_7/in_3 +buffer lutff_7/out sp12_h_r_22 +buffer lutff_7/out sp4_h_r_30 +buffer lutff_7/out sp4_h_r_46 +buffer neigh_op_bot_6 local_g0_6 +buffer sp12_h_r_17 local_g0_1 +buffer sp12_h_r_23 local_g1_7 +buffer sp4_h_r_23 local_g0_7 buffer sp4_r_v_b_3 local_g1_3 -buffer sp4_r_v_b_30 local_g1_6 -buffer sp4_r_v_b_31 local_g0_7 -buffer sp4_r_v_b_35 local_g2_3 -buffer sp4_r_v_b_36 local_g2_4 -buffer sp4_r_v_b_47 local_g3_7 -buffer sp4_v_b_19 local_g0_3 -buffer sp4_v_b_32 local_g3_0 -buffer sp4_v_b_37 local_g2_5 -buffer sp4_v_b_41 local_g2_1 -buffer sp4_v_b_41 local_g3_1 -buffer sp4_v_b_42 local_g2_2 +routing sp4_h_l_36 sp4_h_r_4 +routing sp4_h_l_45 sp4_v_t_36 +routing sp4_h_r_5 sp4_h_l_45 +routing sp4_v_t_41 sp4_h_l_41 +routing sp4_v_t_45 sp4_v_b_8 -.logic_tile 12 13 +.logic_tile 6 16 CarryInSet -ColBufCtrl glb_netwk_0 -ColBufCtrl glb_netwk_1 -ColBufCtrl glb_netwk_6 -ColBufCtrl glb_netwk_7 LC_0 0000000000000000 1000 CarryEnable LC_1 0000000000000000 1000 CarryEnable -LC_2 0110100110010110 1000 CarryEnable -LC_3 0110100110010110 1000 CarryEnable -LC_4 0110100110010110 1000 CarryEnable -LC_5 0110100110010110 1000 CarryEnable -LC_6 0110100110010110 1000 CarryEnable -LC_7 0110100110010110 1000 CarryEnable +LC_2 0000000011111111 1000 CarryEnable +LC_3 0000000011111111 1000 CarryEnable +LC_4 0000000011111111 0000 +LC_5 1001100101100110 0000 +LC_6 1000000000000000 0000 +LC_7 1000000000000000 0000 +buffer glb2local_2 local_g0_6 +buffer glb_netwk_7 glb2local_2 buffer local_g0_1 lutff_1/in_2 -buffer local_g0_4 lutff_6/in_2 -buffer local_g0_5 lutff_0/in_1 -buffer local_g0_7 lutff_5/in_2 -buffer local_g1_2 lutff_7/in_2 -buffer local_g1_5 lutff_4/in_2 -buffer local_g1_6 lutff_3/in_2 -buffer local_g1_7 lutff_2/in_2 +buffer local_g0_1 lutff_3/in_2 +buffer local_g0_4 lutff_1/in_1 +buffer local_g0_6 lutff_6/in_0 +buffer local_g0_7 lutff_0/in_1 +buffer local_g1_0 lutff_2/in_1 +buffer local_g1_1 lutff_2/in_2 +buffer local_g1_3 lutff_5/in_3 +buffer local_g1_4 lutff_7/in_0 +buffer local_g1_7 lutff_3/in_1 +buffer local_g1_7 lutff_5/in_1 buffer lutff_1/cout lutff_2/in_3 buffer lutff_2/cout lutff_3/in_3 +buffer lutff_2/out sp4_r_v_b_5 buffer lutff_3/cout lutff_4/in_3 -buffer lutff_4/cout lutff_5/in_3 -buffer lutff_5/cout lutff_6/in_3 -buffer lutff_6/cout lutff_7/in_3 -buffer neigh_op_bot_2 local_g1_2 -buffer neigh_op_bot_4 local_g0_4 -buffer neigh_op_bot_5 local_g1_5 +buffer lutff_3/out local_g1_3 +buffer lutff_4/out sp4_r_v_b_9 +buffer lutff_5/out sp12_v_b_10 +buffer lutff_7/out local_g1_7 +buffer sp4_h_r_16 local_g1_0 +buffer sp4_v_b_1 local_g0_1 +buffer sp4_v_b_1 local_g1_1 +buffer sp4_v_b_12 local_g0_4 +buffer sp4_v_b_15 local_g0_7 +buffer sp4_v_b_4 local_g1_4 +routing sp4_v_b_1 sp4_h_r_1 + +.logic_tile 7 4 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_1 +ColBufCtrl glb_netwk_4 +ColBufCtrl glb_netwk_6 +LC_4 0111000000000000 0110 DffEnable Set_NoReset +LC_6 0111000000000000 0110 DffEnable Set_NoReset +NegClk +buffer glb_netwk_0 lutff_global/s_r +buffer glb_netwk_6 lutff_global/clk +buffer local_g0_0 lutff_4/in_0 +buffer local_g0_2 lutff_global/cen +buffer local_g0_7 lutff_6/in_1 +buffer local_g1_3 lutff_6/in_0 +buffer local_g1_6 lutff_4/in_1 +buffer lutff_4/out sp12_h_r_16 +buffer lutff_4/out sp12_v_b_8 +buffer lutff_4/out sp4_v_b_40 +buffer lutff_6/out sp12_h_r_20 +buffer lutff_6/out sp12_v_b_12 +buffer neigh_op_bot_3 local_g1_3 +buffer neigh_op_bot_6 local_g1_6 buffer neigh_op_bot_7 local_g0_7 -buffer neigh_op_lft_5 local_g0_5 -buffer neigh_op_lft_6 local_g1_6 -buffer neigh_op_lft_7 local_g1_7 -buffer sp4_v_b_9 local_g0_1 -routing sp4_h_l_38 sp4_v_b_9 +buffer sp12_h_r_20 sp4_h_r_22 +buffer sp4_r_v_b_24 local_g0_0 +buffer sp4_v_b_18 local_g0_2 +routing sp4_h_l_36 sp4_h_r_1 +routing sp4_h_l_37 sp4_v_t_40 +routing sp4_h_l_46 sp4_h_r_2 +routing sp4_h_r_11 sp4_h_l_46 +routing sp4_v_b_10 sp4_h_r_4 + +.logic_tile 12 13 +ColBufCtrl glb_netwk_5 +ColBufCtrl glb_netwk_6 +LC_1 0100000000000000 0100 DffEnable +NegClk +buffer glb_netwk_5 lutff_global/cen +buffer glb_netwk_6 lutff_global/clk +buffer local_g2_4 lutff_global/s_r +buffer local_g2_5 lutff_1/in_0 +buffer lutff_1/out sp12_v_b_18 +buffer sp12_v_b_13 local_g2_5 +buffer sp4_v_b_28 local_g2_4 .logic_tile 1 8 -LC_0 0110100110010110 1000 CarryEnable -LC_1 0110100110010110 1000 CarryEnable -LC_2 0110100110010110 1000 CarryEnable -LC_3 0110100110010110 1000 CarryEnable -LC_4 0110100110010110 0000 -LC_5 1000000000000000 0000 -LC_6 1000000000000000 0000 -LC_7 0100000000000000 0101 DffEnable AsyncSetReset -buffer carry_in carry_in_mux -buffer carry_in_mux lutff_0/in_3 -buffer glb_netwk_0 lutff_global/s_r +LC_5 0100000000000000 0100 DffEnable +NegClk buffer glb_netwk_6 lutff_global/clk buffer local_g0_2 lutff_global/cen -buffer local_g0_6 lutff_4/in_2 -buffer local_g1_0 lutff_3/in_2 -buffer local_g1_1 lutff_0/in_2 -buffer local_g1_4 lutff_1/in_2 -buffer local_g1_5 lutff_2/in_2 -buffer local_g2_5 lutff_7/in_0 -buffer local_g2_7 lutff_5/in_0 -buffer local_g3_5 lutff_6/in_0 -buffer lutff_0/cout lutff_1/in_3 -buffer lutff_0/out sp4_r_v_b_1 -buffer lutff_1/cout lutff_2/in_3 -buffer lutff_1/out sp4_r_v_b_3 -buffer lutff_2/cout lutff_3/in_3 -buffer lutff_2/out sp4_r_v_b_5 -buffer lutff_3/cout lutff_4/in_3 -buffer lutff_3/out sp4_r_v_b_7 -buffer lutff_4/out sp4_r_v_b_9 -buffer lutff_4/out sp4_v_b_24 -buffer lutff_7/out sp12_v_b_14 -buffer lutff_7/out sp4_r_v_b_15 -buffer neigh_op_bnr_0 local_g1_0 -buffer neigh_op_bnr_1 local_g1_1 -buffer neigh_op_bnr_4 local_g1_4 -buffer neigh_op_bnr_5 local_g1_5 -buffer neigh_op_bnr_6 local_g0_6 -buffer neigh_op_rgt_7 local_g2_7 -buffer neigh_op_tnr_5 local_g3_5 -buffer sp12_v_b_13 local_g2_5 -buffer sp4_v_b_18 local_g0_2 +buffer local_g0_3 lutff_5/in_0 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_5/out sp4_h_r_10 +buffer sp4_r_v_b_33 local_g0_2 +buffer sp4_v_b_11 local_g0_3 +routing sp4_v_b_11 sp4_v_t_42 .logic_tile 8 8 -LC_0 0000000011100000 0000 -LC_1 0000000011100000 0000 -LC_2 0000000000001110 0000 -LC_3 0000000011100000 0000 -LC_4 1111111111001010 0000 -LC_5 0001000000000000 0000 -LC_6 1100101000000000 0000 -LC_7 0000000000001000 0000 -buffer local_g0_0 lutff_2/in_0 -buffer local_g0_1 lutff_3/in_0 -buffer local_g0_2 lutff_6/in_0 -buffer local_g0_3 lutff_2/in_3 -buffer local_g0_4 lutff_0/in_2 -buffer local_g0_4 lutff_4/in_2 -buffer local_g0_5 lutff_7/in_0 -buffer local_g0_6 lutff_0/in_0 -buffer local_g0_7 lutff_0/in_3 -buffer local_g1_0 lutff_4/in_3 -buffer local_g1_1 lutff_1/in_1 -buffer local_g1_3 lutff_3/in_3 -buffer local_g1_4 lutff_1/in_2 -buffer local_g1_4 lutff_3/in_2 -buffer local_g1_5 lutff_7/in_1 -buffer local_g1_7 lutff_1/in_3 -buffer local_g2_1 lutff_2/in_1 -buffer local_g2_4 lutff_3/in_1 -buffer local_g2_5 lutff_4/in_1 -buffer local_g2_5 lutff_5/in_0 -buffer local_g3_0 lutff_7/in_2 -buffer local_g3_1 lutff_2/in_2 -buffer local_g3_2 lutff_6/in_1 +LC_0 0000000000001001 0000 +LC_4 0001000000000000 0000 +LC_5 0010000000000000 0000 +LC_6 0000000011110010 0000 +LC_7 1111001000000000 0000 +buffer local_g0_2 lutff_7/in_1 +buffer local_g0_4 lutff_0/in_0 +buffer local_g0_4 lutff_6/in_2 +buffer local_g0_5 lutff_7/in_2 +buffer local_g0_6 lutff_0/in_2 +buffer local_g1_0 lutff_0/in_3 +buffer local_g1_4 lutff_0/in_1 +buffer local_g2_0 lutff_5/in_1 +buffer local_g2_3 lutff_7/in_0 +buffer local_g2_4 lutff_6/in_0 +buffer local_g3_0 lutff_6/in_1 +buffer local_g3_1 lutff_7/in_3 +buffer local_g3_2 lutff_6/in_3 buffer local_g3_3 lutff_4/in_0 -buffer local_g3_3 lutff_6/in_2 -buffer local_g3_4 lutff_0/in_1 -buffer local_g3_5 lutff_7/in_3 -buffer local_g3_6 lutff_1/in_0 -buffer local_g3_7 lutff_5/in_1 -buffer lutff_1/out local_g3_1 +buffer local_g3_4 lutff_5/in_0 +buffer local_g3_6 lutff_4/in_1 +buffer lutff_4/out sp12_h_r_16 buffer lutff_5/out local_g0_5 -buffer lutff_7/out local_g0_7 -buffer neigh_op_tnl_1 local_g2_1 +buffer lutff_5/out sp4_r_v_b_27 +buffer lutff_6/out local_g0_6 +buffer neigh_op_bnl_2 local_g3_2 +buffer neigh_op_bnl_6 local_g3_6 +buffer neigh_op_lft_2 local_g0_2 +buffer neigh_op_lft_4 local_g1_4 +buffer neigh_op_tnl_0 local_g2_0 +buffer neigh_op_tnl_0 local_g3_0 +buffer neigh_op_tnl_3 local_g2_3 buffer neigh_op_tnl_3 local_g3_3 buffer neigh_op_tnl_4 local_g2_4 buffer neigh_op_tnl_4 local_g3_4 -buffer neigh_op_tnl_5 local_g2_5 -buffer sp12_h_r_19 local_g1_3 -buffer sp12_v_b_13 sp4_v_b_18 -buffer sp4_h_r_14 local_g0_6 -buffer sp4_h_r_34 local_g3_2 -buffer sp4_h_r_37 local_g3_5 -buffer sp4_h_r_46 local_g3_6 -buffer sp4_h_r_47 local_g3_7 -buffer sp4_h_r_8 local_g1_0 -buffer sp4_r_v_b_25 local_g0_1 -buffer sp4_r_v_b_35 local_g0_0 -buffer sp4_v_b_17 local_g1_1 -buffer sp4_v_b_18 local_g0_2 -buffer sp4_v_b_20 local_g0_4 -buffer sp4_v_b_20 local_g1_4 -buffer sp4_v_b_21 local_g1_5 -buffer sp4_v_b_3 local_g0_3 -buffer sp4_v_b_40 local_g3_0 -buffer sp4_v_b_7 local_g1_7 -routing sp4_h_l_36 sp4_v_b_1 -routing sp4_h_l_38 sp4_v_b_9 -routing sp4_h_l_40 sp4_h_r_8 -routing sp4_h_l_41 sp4_v_b_4 -routing sp4_h_l_46 sp4_v_b_5 -routing sp4_h_r_10 sp4_v_b_10 -routing sp4_v_b_6 sp4_h_r_6 -routing sp4_v_b_8 sp4_v_t_41 -routing sp4_v_b_8 sp4_v_t_46 +buffer sp12_h_r_12 local_g0_4 +buffer sp12_h_r_16 local_g1_0 +buffer sp4_v_b_41 local_g3_1 +routing sp4_h_r_0 sp4_v_b_5 +routing sp4_h_r_11 sp4_v_b_4 +routing sp4_v_t_42 sp4_h_l_36 .logic_tile 4 3 -LC_0 1000000000000000 0000 -LC_1 0001000000000000 0000 -LC_2 1000000000000000 0000 -LC_3 0000111101110111 0100 DffEnable -LC_4 0000111101110111 0100 DffEnable -LC_5 0000111101110111 0100 DffEnable -LC_6 0000111101110111 0100 DffEnable -LC_7 0000111101110111 0100 DffEnable +LC_0 0100000000000000 0100 DffEnable +NegClk +buffer glb_netwk_5 lutff_global/cen buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_1/in_1 -buffer local_g0_2 lutff_5/in_1 -buffer local_g0_3 lutff_3/in_2 -buffer local_g0_4 lutff_4/in_0 -buffer local_g0_4 lutff_6/in_0 -buffer local_g0_5 lutff_7/in_2 -buffer local_g1_1 lutff_4/in_2 -buffer local_g1_2 lutff_4/in_1 -buffer local_g1_3 lutff_7/in_1 -buffer local_g1_4 lutff_3/in_0 -buffer local_g1_4 lutff_5/in_0 -buffer local_g1_4 lutff_7/in_0 -buffer local_g1_5 lutff_3/in_1 -buffer local_g1_6 lutff_6/in_1 -buffer local_g1_7 lutff_0/in_0 -buffer local_g1_7 lutff_6/in_2 -buffer local_g2_3 lutff_5/in_2 -buffer local_g2_4 lutff_3/in_3 -buffer local_g2_4 lutff_5/in_3 -buffer local_g2_4 lutff_7/in_3 +buffer local_g0_0 lutff_0/in_0 +buffer lutff_0/out sp4_r_v_b_17 +buffer sp4_h_r_16 local_g0_0 +routing sp4_v_b_0 sp4_h_r_6 + +.logic_tile 2 15 +LC_1 0100000000000000 0100 DffEnable +NegClk +buffer glb_netwk_6 lutff_global/clk +buffer local_g1_3 lutff_global/cen buffer local_g2_5 lutff_1/in_0 -buffer local_g3_3 lutff_2/in_0 -buffer local_g3_4 lutff_4/in_3 -buffer local_g3_4 lutff_6/in_3 -buffer lutff_0/out sp4_h_r_32 -buffer lutff_1/out local_g1_1 -buffer lutff_1/out sp4_h_r_34 -buffer lutff_1/out sp4_v_b_18 -buffer lutff_2/out sp4_h_r_36 -buffer lutff_3/out sp4_h_r_22 -buffer lutff_3/out sp4_r_v_b_23 -buffer lutff_3/out sp4_r_v_b_39 -buffer lutff_4/out sp12_h_r_16 -buffer lutff_4/out sp4_v_b_40 -buffer lutff_5/out sp12_v_b_10 -buffer lutff_6/out sp12_v_b_12 -buffer lutff_6/out sp4_h_r_12 -buffer lutff_6/out sp4_r_v_b_29 -buffer lutff_6/out sp4_v_b_44 -buffer lutff_7/out sp4_r_v_b_15 -buffer lutff_7/out sp4_r_v_b_47 -buffer neigh_op_bot_2 local_g0_2 -buffer neigh_op_bot_5 local_g1_5 -buffer neigh_op_bot_6 local_g1_6 -buffer neigh_op_rgt_3 local_g2_3 -buffer neigh_op_rgt_3 local_g3_3 -buffer neigh_op_tnr_4 local_g2_4 -buffer neigh_op_tnr_4 local_g3_4 -buffer neigh_op_top_4 local_g0_4 -buffer neigh_op_top_4 local_g1_4 -buffer sp12_h_r_16 local_g0_0 -buffer sp4_h_r_19 local_g0_3 -buffer sp4_h_r_21 local_g0_5 +buffer lutff_1/out sp4_r_v_b_35 +buffer lutff_1/out sp4_v_b_2 buffer sp4_r_v_b_13 local_g2_5 -buffer sp4_r_v_b_26 local_g1_2 -buffer sp4_v_b_23 local_g1_7 buffer sp4_v_b_3 local_g1_3 -routing sp4_h_r_8 sp4_h_l_41 -routing sp4_v_t_39 sp4_h_r_2 -routing sp4_v_t_43 sp4_h_r_11 -.logic_tile 2 15 -LC_0 0000001000000000 0000 -LC_1 1000000000000000 0000 -LC_2 1000000000000000 0000 -LC_3 0000000100000000 0000 -LC_4 1000000000000000 0000 -LC_5 1000000000000000 0000 -LC_6 0000000010000000 0000 -LC_7 0110000000000000 0100 DffEnable +.logic_tile 9 5 +ColBufCtrl glb_netwk_0 +ColBufCtrl glb_netwk_6 +LC_0 0001111100000000 0100 DffEnable +LC_2 0000001011111111 0100 DffEnable +LC_3 0000001011111111 0100 DffEnable +LC_4 0000001011111111 0100 DffEnable +LC_6 0000001011111111 0100 DffEnable +LC_7 0001000100001111 0100 DffEnable +NegClk buffer glb_netwk_0 lutff_global/s_r buffer glb_netwk_6 lutff_global/clk -buffer local_g0_0 lutff_6/in_0 -buffer local_g0_2 lutff_2/in_0 -buffer local_g0_3 lutff_5/in_0 -buffer local_g0_4 lutff_6/in_2 +buffer local_g0_0 lutff_0/in_0 +buffer local_g0_0 lutff_3/in_1 +buffer local_g0_1 lutff_0/in_1 +buffer local_g0_5 lutff_4/in_3 buffer local_g0_6 lutff_0/in_2 -buffer local_g0_7 lutff_0/in_1 -buffer local_g0_7 lutff_7/in_0 -buffer local_g1_0 lutff_3/in_0 +buffer local_g0_7 lutff_2/in_3 +buffer local_g1_0 lutff_6/in_3 buffer local_g1_2 lutff_3/in_2 +buffer local_g1_3 lutff_7/in_3 +buffer local_g1_4 lutff_2/in_1 +buffer local_g1_4 lutff_4/in_1 buffer local_g1_4 lutff_6/in_1 -buffer local_g1_5 lutff_3/in_1 -buffer local_g1_6 lutff_6/in_3 -buffer local_g2_0 lutff_0/in_0 -buffer local_g2_0 lutff_7/in_1 -buffer local_g2_2 lutff_global/cen -buffer local_g2_4 lutff_4/in_0 -buffer local_g3_0 lutff_1/in_0 -buffer lutff_0/out local_g1_0 -buffer lutff_3/out sp4_v_b_6 -buffer lutff_6/out local_g0_6 -buffer lutff_7/out local_g0_7 -buffer lutff_7/out sp12_h_r_6 -buffer lutff_7/out sp4_h_r_30 -buffer lutff_7/out sp4_r_v_b_47 -buffer sp12_h_r_12 local_g1_4 -buffer sp12_h_r_18 sp4_h_r_21 -buffer sp12_h_r_8 local_g0_0 -buffer sp4_h_r_14 local_g1_6 +buffer local_g1_4 lutff_7/in_2 +buffer local_g1_5 lutff_2/in_2 +buffer local_g1_7 lutff_6/in_2 +buffer local_g2_0 lutff_4/in_2 +buffer local_g2_2 lutff_2/in_0 +buffer local_g2_2 lutff_4/in_0 +buffer local_g2_2 lutff_6/in_0 +buffer local_g2_2 lutff_7/in_1 +buffer local_g2_4 lutff_3/in_3 +buffer local_g3_2 lutff_3/in_0 +buffer local_g3_3 lutff_global/cen +buffer local_g3_4 lutff_7/in_0 +buffer lutff_6/out sp4_r_v_b_13 +buffer neigh_op_bot_3 local_g1_3 +buffer neigh_op_rgt_4 local_g3_4 +buffer neigh_op_top_0 local_g1_0 +buffer neigh_op_top_5 local_g0_5 +buffer sp12_h_r_22 local_g0_6 +buffer sp4_h_r_13 local_g1_5 +buffer sp4_h_r_15 local_g1_7 +buffer sp4_h_r_17 local_g0_1 buffer sp4_h_r_18 local_g1_2 -buffer sp4_h_r_20 local_g0_4 -buffer sp4_h_r_21 local_g1_5 buffer sp4_h_r_32 local_g2_0 -buffer sp4_r_v_b_16 local_g3_0 -buffer sp4_r_v_b_34 local_g2_2 -buffer sp4_r_v_b_36 local_g2_4 -buffer sp4_v_b_18 local_g0_2 -buffer sp4_v_b_19 local_g0_3 -routing sp4_h_r_2 sp4_v_b_7 -routing sp4_h_r_4 sp4_v_b_4 -routing sp4_h_r_4 sp4_v_b_9 -routing sp4_h_r_6 sp4_v_b_11 -routing sp4_v_b_1 sp4_h_r_1 - -.logic_tile 9 5 -ColBufCtrl glb_netwk_0 -ColBufCtrl glb_netwk_5 -ColBufCtrl glb_netwk_6 -LC_2 1101000000000000 0000 -LC_4 1011111000000000 0000 -LC_6 0000000100000000 0000 -LC_7 0000100000000000 0000 -buffer glb2local_1 local_g0_5 -buffer glb_netwk_5 glb2local_1 -buffer local_g0_2 lutff_6/in_2 -buffer local_g0_3 lutff_4/in_1 -buffer local_g0_3 lutff_7/in_0 -buffer local_g0_4 lutff_4/in_2 -buffer local_g0_5 lutff_2/in_1 -buffer local_g0_7 lutff_4/in_3 -buffer local_g1_1 lutff_6/in_0 -buffer local_g1_2 lutff_6/in_1 -buffer local_g1_3 lutff_2/in_2 -buffer local_g1_5 lutff_4/in_0 -buffer local_g1_6 lutff_7/in_2 -buffer local_g1_7 lutff_2/in_0 -buffer local_g3_3 lutff_7/in_1 -buffer lutff_2/out sp4_h_r_4 -buffer lutff_3/out sp4_r_v_b_7 -buffer lutff_4/out sp4_h_r_24 -buffer lutff_6/out local_g1_6 -buffer lutff_7/out local_g0_7 -buffer lutff_7/out local_g1_7 -buffer lutff_7/out sp12_h_r_22 -buffer neigh_op_lft_5 local_g1_5 -buffer sp12_h_r_11 local_g1_3 -buffer sp12_h_r_22 sp4_h_r_23 -buffer sp4_h_r_35 local_g3_3 -buffer sp4_r_v_b_2 local_g1_2 -buffer sp4_r_v_b_25 local_g1_1 -buffer sp4_r_v_b_33 local_g0_2 -buffer sp4_v_b_11 local_g0_3 -buffer sp4_v_b_4 local_g0_4 -routing sp4_h_l_36 sp4_v_t_43 -routing sp4_h_l_39 sp4_v_t_42 -routing sp4_h_l_46 sp4_v_t_46 -routing sp4_h_l_47 sp4_v_b_4 -routing sp4_h_r_2 sp4_v_b_7 -routing sp4_h_r_4 sp4_v_t_47 -routing sp4_v_b_1 sp4_v_t_44 +buffer sp4_h_r_36 local_g2_4 +buffer sp4_r_v_b_24 local_g0_0 +buffer sp4_r_v_b_28 local_g1_4 +buffer sp4_v_b_15 local_g0_7 +buffer sp4_v_b_27 local_g3_3 +buffer sp4_v_b_42 local_g2_2 +buffer sp4_v_b_42 local_g3_2 +routing sp4_h_l_45 sp4_v_b_8 routing sp4_v_b_11 sp4_v_t_39 -routing sp4_v_b_8 sp4_v_t_45 -routing sp4_v_t_38 sp4_h_l_38 +routing sp4_v_b_8 sp4_v_t_41 .logic_tile 8 4 ColBufCtrl glb_netwk_0 -ColBufCtrl glb_netwk_2 ColBufCtrl glb_netwk_6 -LC_0 0000001000000000 0000 -LC_1 0001000000000000 0000 -LC_2 0001000000000000 0000 -LC_3 0000001000000000 0000 -LC_4 0001000000000000 0000 -LC_6 1111001000000000 0000 -LC_7 0100000000000000 0100 DffEnable +ColBufCtrl glb_netwk_7 +LC_0 0101001100000000 0110 DffEnable Set_NoReset +LC_3 0111000000000000 0110 DffEnable Set_NoReset +LC_4 0111000000000000 0110 DffEnable Set_NoReset +LC_5 0000110111111111 0110 DffEnable Set_NoReset +LC_6 1010001100000000 0110 DffEnable Set_NoReset +LC_7 0001111111111111 0110 DffEnable Set_NoReset +NegClk +buffer glb_netwk_0 lutff_global/s_r buffer glb_netwk_6 lutff_global/clk -buffer local_g0_3 lutff_4/in_1 -buffer local_g0_6 lutff_6/in_2 -buffer local_g1_0 lutff_2/in_1 -buffer local_g1_1 lutff_2/in_0 -buffer local_g1_3 lutff_global/cen -buffer local_g1_6 lutff_7/in_0 -buffer local_g1_7 lutff_1/in_1 +buffer local_g0_0 lutff_0/in_0 +buffer local_g0_1 lutff_6/in_1 +buffer local_g0_2 lutff_global/cen +buffer local_g0_3 lutff_7/in_0 +buffer local_g1_0 lutff_7/in_2 +buffer local_g1_1 lutff_0/in_2 +buffer local_g1_1 lutff_5/in_1 +buffer local_g1_1 lutff_7/in_1 +buffer local_g1_2 lutff_5/in_0 +buffer local_g1_3 lutff_4/in_0 +buffer local_g1_4 lutff_3/in_0 +buffer local_g1_5 lutff_6/in_2 buffer local_g1_7 lutff_6/in_0 -buffer local_g2_1 lutff_0/in_1 -buffer local_g2_1 lutff_3/in_0 -buffer local_g2_2 lutff_0/in_0 -buffer local_g2_2 lutff_3/in_1 -buffer local_g2_3 lutff_1/in_0 -buffer local_g2_3 lutff_6/in_1 -buffer local_g3_1 lutff_4/in_0 -buffer local_g3_3 lutff_0/in_2 -buffer local_g3_6 lutff_3/in_2 -buffer lutff_0/out local_g1_0 -buffer lutff_1/out sp12_v_b_18 -buffer lutff_2/out sp12_h_r_12 -buffer lutff_3/out local_g0_3 -buffer lutff_3/out sp4_r_v_b_7 -buffer lutff_4/out sp4_r_v_b_9 -buffer lutff_6/out sp4_h_r_44 -buffer lutff_7/out local_g1_7 -buffer lutff_7/out sp4_v_b_30 -buffer sp12_h_r_14 local_g0_6 -buffer sp12_h_r_14 local_g1_6 -buffer sp12_h_r_4 sp4_h_r_14 -buffer sp12_v_b_9 local_g3_1 -buffer sp4_h_r_41 local_g2_1 -buffer sp4_r_v_b_11 local_g2_3 -buffer sp4_r_v_b_3 local_g1_3 -buffer sp4_r_v_b_43 local_g3_3 -buffer sp4_r_v_b_46 local_g3_6 -buffer sp4_v_b_42 local_g2_2 -buffer sp4_v_b_9 local_g1_1 -routing sp4_h_l_36 sp4_v_b_1 -routing sp4_h_l_37 sp4_v_t_37 -routing sp4_h_l_42 sp4_v_b_7 -routing sp4_h_l_44 sp4_v_b_3 -routing sp4_h_l_46 sp4_h_r_11 -routing sp4_v_b_0 sp4_v_t_45 -routing sp4_v_b_5 sp4_h_r_5 +buffer local_g2_4 lutff_3/in_1 +buffer local_g2_5 lutff_4/in_1 +buffer local_g2_6 lutff_5/in_3 +buffer local_g3_0 lutff_5/in_2 +buffer local_g3_1 lutff_7/in_3 +buffer local_g3_6 lutff_0/in_1 +buffer lutff_0/out local_g0_0 +buffer lutff_0/out sp4_h_r_32 +buffer lutff_3/out sp4_h_r_22 +buffer lutff_4/out sp4_h_r_24 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_5/out sp4_h_r_10 +buffer lutff_5/out sp4_r_v_b_27 +buffer lutff_6/out sp4_h_r_28 +buffer lutff_7/out sp12_h_r_6 +buffer neigh_op_bot_0 local_g1_0 +buffer neigh_op_bot_3 local_g1_3 +buffer neigh_op_rgt_0 local_g3_0 +buffer neigh_op_rgt_4 local_g2_4 +buffer neigh_op_rgt_5 local_g2_5 +buffer sp12_h_r_5 local_g1_5 +buffer sp4_h_r_17 local_g0_1 +buffer sp4_h_r_19 local_g0_3 +buffer sp4_h_r_23 local_g1_7 +buffer sp4_h_r_25 local_g3_1 +buffer sp4_h_r_9 local_g1_1 +buffer sp4_r_v_b_2 local_g1_2 +buffer sp4_v_b_12 local_g1_4 +buffer sp4_v_b_18 local_g0_2 +buffer sp4_v_b_38 local_g2_6 +buffer sp4_v_b_46 local_g3_6 +routing sp12_h_l_23 sp12_h_r_0 +routing sp12_h_r_0 sp12_v_t_23 +routing sp4_h_l_37 sp4_h_r_8 +routing sp4_h_l_39 sp4_h_r_5 +routing sp4_h_l_47 sp4_v_t_38 +routing sp4_h_r_2 sp4_v_b_7 +routing sp4_h_r_9 sp4_v_b_2 +routing sp4_v_b_3 sp4_h_r_9 +routing sp4_v_b_6 sp4_h_r_6 +routing sp4_v_t_36 sp4_h_l_42 .logic_tile 5 2 -LC_3 0110100110010110 0000 -LC_4 0010000000000000 0100 DffEnable -LC_5 0010000000000000 0100 DffEnable -LC_7 1000000000000000 0100 DffEnable -buffer glb2local_0 local_g0_4 -buffer glb_netwk_0 glb2local_0 -buffer glb_netwk_6 lutff_global/clk -buffer local_g0_4 lutff_3/in_1 -buffer local_g0_5 lutff_3/in_2 -buffer local_g1_2 lutff_4/in_1 -buffer local_g1_3 lutff_5/in_1 -buffer local_g2_1 lutff_5/in_0 -buffer local_g2_7 lutff_7/in_0 -buffer local_g3_1 lutff_4/in_0 -buffer lutff_3/out local_g1_3 -buffer lutff_5/out local_g0_5 -buffer lutff_5/out sp4_v_b_10 -buffer neigh_op_bot_2 local_g1_2 -buffer sp12_v_b_9 local_g2_1 -buffer sp12_v_b_9 local_g3_1 -buffer sp4_h_r_47 local_g2_7 -routing sp4_h_l_41 sp4_h_r_7 -routing sp4_v_b_0 sp4_h_l_40 -routing sp4_v_b_4 sp4_h_r_4 -routing sp4_v_t_38 sp4_h_l_44 -routing sp4_v_t_39 sp4_h_l_45 -routing sp4_v_t_39 sp4_h_r_2 -routing sp4_v_t_47 sp4_h_l_41 +LC_0 0110100110010110 1000 CarryEnable +LC_1 0110100110010110 1000 CarryEnable +LC_2 0110100110010110 1000 CarryEnable +LC_3 0110100110010110 1000 CarryEnable +LC_4 0110100110010110 1000 CarryEnable +LC_5 0110100110010110 1000 CarryEnable +LC_6 0110100110010110 0000 +LC_7 0101001100000000 0000 +buffer carry_in carry_in_mux +buffer carry_in_mux lutff_0/in_3 +buffer local_g0_0 lutff_0/in_2 +buffer local_g0_1 lutff_7/in_2 +buffer local_g0_3 lutff_1/in_2 +buffer local_g1_0 lutff_3/in_2 +buffer local_g1_1 lutff_7/in_1 +buffer local_g1_2 lutff_5/in_2 +buffer local_g1_3 lutff_2/in_2 +buffer local_g1_5 lutff_4/in_2 +buffer local_g1_7 lutff_6/in_2 +buffer local_g3_0 lutff_7/in_0 +buffer lutff_0/cout lutff_1/in_3 +buffer lutff_1/cout lutff_2/in_3 +buffer lutff_2/cout lutff_3/in_3 +buffer lutff_3/cout lutff_4/in_3 +buffer lutff_3/out sp12_h_r_14 +buffer lutff_3/out sp4_v_b_38 +buffer lutff_4/cout lutff_5/in_3 +buffer lutff_4/out sp12_h_r_0 +buffer lutff_5/cout lutff_6/in_3 +buffer lutff_5/out sp12_h_r_18 +buffer lutff_6/out sp4_v_b_44 +buffer lutff_7/out sp4_h_r_14 +buffer neigh_op_lft_0 local_g0_0 +buffer neigh_op_lft_3 local_g1_3 +buffer neigh_op_rgt_0 local_g3_0 +buffer sp12_h_r_21 local_g1_5 +buffer sp12_h_r_8 local_g1_0 +buffer sp4_h_r_17 local_g0_1 +buffer sp4_h_r_23 local_g1_7 +buffer sp4_v_b_1 local_g1_1 +buffer sp4_v_b_2 local_g1_2 +buffer sp4_v_b_3 local_g0_3 +routing sp4_h_l_45 sp4_v_b_2 +routing sp4_h_r_11 sp4_v_b_4 .logic_tile 1 16 -CarryInSet -LC_0 0000000000000000 1000 CarryEnable -LC_1 0000000000000000 1000 CarryEnable -LC_2 0000000000000000 1000 CarryEnable -LC_3 0000000000000000 1000 CarryEnable -LC_4 0000000000000000 1000 CarryEnable -LC_5 0000000000000000 1000 CarryEnable -LC_6 0000000000000000 1000 CarryEnable -LC_7 0000000011111111 0000 -buffer local_g0_0 lutff_6/in_2 -buffer local_g0_1 lutff_0/in_1 -buffer local_g0_4 lutff_2/in_2 -buffer local_g0_5 lutff_5/in_2 -buffer local_g1_1 lutff_4/in_2 -buffer local_g1_2 lutff_3/in_2 -buffer local_g2_3 lutff_1/in_2 -buffer lutff_6/cout lutff_7/in_3 -buffer lutff_7/out sp4_r_v_b_15 -buffer neigh_op_bnr_1 local_g1_1 -buffer neigh_op_bnr_2 local_g1_2 -buffer neigh_op_bnr_4 local_g0_4 -buffer neigh_op_bnr_5 local_g0_5 -buffer sp12_h_r_16 local_g0_0 -buffer sp4_h_r_43 local_g2_3 -buffer sp4_v_b_9 local_g0_1 +routing sp4_v_b_10 sp4_h_r_4 .logic_tile 11 6 -LC_0 1000000000000000 0000 -LC_1 0000101100000000 0000 -LC_2 1010110000000000 0000 -LC_3 1000000000000000 0000 -LC_5 0100000000000000 0100 DffEnable -LC_6 0100000000000000 0100 DffEnable -buffer glb2local_1 local_g0_5 -buffer glb_netwk_5 glb2local_1 +LC_0 1000000011111111 0100 DffEnable +LC_6 0010111100000000 0100 DffEnable +NegClk +buffer glb2local_0 local_g0_4 +buffer glb_netwk_0 glb2local_0 buffer glb_netwk_6 lutff_global/clk -buffer glb_netwk_7 lutff_global/cen -buffer local_g0_0 lutff_2/in_0 -buffer local_g0_2 lutff_6/in_0 -buffer local_g0_5 lutff_1/in_0 -buffer local_g0_6 lutff_2/in_2 -buffer local_g1_2 lutff_1/in_2 -buffer local_g1_5 lutff_global/s_r -buffer local_g1_6 lutff_5/in_0 -buffer local_g2_2 lutff_1/in_1 -buffer local_g2_3 lutff_3/in_0 -buffer local_g2_5 lutff_2/in_1 -buffer local_g2_6 lutff_0/in_0 -buffer local_g2_7 lutff_3/in_2 -buffer local_g3_3 lutff_3/in_1 -buffer lutff_0/out sp4_h_r_0 -buffer lutff_1/out sp4_r_v_b_19 -buffer lutff_2/out local_g2_2 -buffer lutff_3/out sp4_h_r_22 -buffer lutff_3/out sp4_r_v_b_7 -buffer lutff_5/out sp12_h_r_18 -buffer lutff_6/out sp12_h_r_20 -buffer neigh_op_bot_0 local_g0_0 -buffer neigh_op_bot_2 local_g1_2 -buffer neigh_op_top_2 local_g0_2 -buffer neigh_op_top_6 local_g1_6 -buffer sp12_v_b_3 local_g3_3 -buffer sp12_v_b_3 sp4_v_b_13 -buffer sp4_r_v_b_15 local_g2_7 -buffer sp4_v_b_14 local_g0_6 -buffer sp4_v_b_27 local_g2_3 -buffer sp4_v_b_30 local_g2_6 -buffer sp4_v_b_37 local_g2_5 -buffer sp4_v_b_5 local_g1_5 -routing sp4_h_r_0 sp4_v_b_5 -routing sp4_v_b_1 sp4_h_l_43 - -.ramb_tile 3 15 -RamConfig PowerUp -routing sp4_h_l_45 sp4_h_r_11 +buffer local_g0_0 lutff_0/in_2 +buffer local_g0_4 lutff_0/in_0 +buffer local_g0_4 lutff_6/in_0 +buffer local_g0_5 lutff_0/in_3 +buffer local_g1_0 lutff_0/in_1 +buffer local_g1_4 lutff_6/in_1 +buffer local_g1_5 lutff_6/in_2 +buffer local_g3_3 lutff_global/cen +buffer sp12_h_r_16 local_g1_0 +buffer sp12_h_r_20 local_g1_4 +buffer sp12_h_r_5 local_g0_5 +buffer sp12_h_r_5 local_g1_5 +buffer sp12_h_r_8 local_g0_0 +buffer sp4_v_b_35 local_g3_3 +routing sp4_h_l_41 sp4_v_b_10 .ramb_tile 10 5 ColBufCtrl glb_netwk_6 -RamConfig PowerUp -routing sp12_v_b_0 sp12_h_l_23 -routing sp4_h_l_39 sp4_v_t_42 -routing sp4_h_l_40 sp4_v_t_40 -routing sp4_h_r_5 sp4_h_l_45 -routing sp4_v_b_11 sp4_h_l_46 -routing sp4_v_b_2 sp4_h_l_42 -routing sp4_v_b_7 sp4_v_t_47 -routing sp4_v_b_8 sp4_h_r_2 -routing sp4_v_t_36 sp4_h_l_36 -routing sp4_v_t_37 sp4_v_b_0 -routing sp4_v_t_37 sp4_v_b_3 +buffer glb_netwk_6 ram/WCLK +buffer local_g0_2 ram/WCLKE +buffer local_g0_3 ram/WDATA_0 +buffer local_g0_7 ram/WDATA_4 +buffer local_g1_0 ram/WADDR_1 +buffer local_g1_2 ram/WADDR_3 +buffer local_g2_2 ram/WADDR_2 +buffer local_g3_0 ram/WDATA_6 +buffer local_g3_1 ram/WADDR_0 +buffer local_g3_5 ram/WE +buffer local_g3_6 ram/WDATA_2 +buffer neigh_op_lft_3 local_g0_3 +buffer neigh_op_lft_7 local_g0_7 +buffer neigh_op_tnr_0 local_g3_0 +buffer neigh_op_tnr_6 local_g3_6 +buffer ram/RDATA_0 sp12_h_r_8 +buffer ram/RDATA_0 sp4_v_b_16 +buffer ram/RDATA_2 sp12_h_r_12 +buffer ram/RDATA_4 sp4_h_r_40 +buffer ram/RDATA_6 sp12_h_r_20 +buffer ram/RDATA_6 sp4_v_b_12 +buffer sp4_h_r_25 local_g3_1 +buffer sp4_r_v_b_0 local_g1_0 +buffer sp4_r_v_b_26 local_g1_2 +buffer sp4_r_v_b_34 local_g2_2 +buffer sp4_v_b_18 local_g0_2 +buffer sp4_v_b_37 local_g3_5 .ramb_tile 10 11 -ColBufCtrl glb_netwk_6 RamConfig PowerUp -routing sp4_h_r_10 sp4_v_b_3 -routing sp4_h_r_4 sp4_v_b_9 -routing sp4_h_r_6 sp4_v_b_11 -routing sp4_h_r_8 sp4_v_b_8 -routing sp4_v_t_43 sp4_h_r_11 +routing sp4_h_l_36 sp4_v_t_36 .ramb_tile 3 3 -RamConfig PowerUp -routing sp4_h_r_1 sp4_v_t_36 -routing sp4_h_r_11 sp4_v_t_46 -routing sp4_v_b_0 sp4_h_r_6 -routing sp4_v_b_2 sp4_h_r_8 +ColBufCtrl glb_netwk_6 +buffer local_g3_3 ram/WCLKE +buffer ram/RDATA_0 sp4_h_r_16 +buffer ram/RDATA_0 sp4_r_v_b_33 +buffer ram/RDATA_1 sp12_h_r_10 +buffer ram/RDATA_1 sp4_h_r_2 +buffer ram/RDATA_1 sp4_v_b_18 +buffer ram/RDATA_2 sp4_h_r_4 +buffer ram/RDATA_2 sp4_r_v_b_37 +buffer ram/RDATA_3 sp4_v_b_38 +buffer ram/RDATA_4 sp4_r_v_b_25 +buffer ram/RDATA_4 sp4_v_b_40 +buffer ram/RDATA_5 sp4_r_v_b_43 +buffer ram/RDATA_5 sp4_v_b_26 +buffer ram/RDATA_6 sp12_h_r_4 +buffer ram/RDATA_6 sp4_r_v_b_29 +buffer ram/RDATA_7 sp4_r_v_b_31 +buffer ram/RDATA_7 sp4_r_v_b_47 +buffer sp12_h_r_4 sp4_h_r_14 +buffer sp4_v_b_35 local_g3_3 routing sp4_v_t_37 sp4_h_r_5 -routing sp4_v_t_47 sp4_h_r_10 .ramb_tile 3 13 RamConfig PowerUp -buffer sp12_h_r_14 sp4_h_r_19 -routing sp4_h_r_10 sp4_v_t_47 +routing sp4_v_t_46 sp4_v_b_2 .ramb_tile 10 7 -buffer local_g2_2 ram/WCLKE -buffer ram/RDATA_0 sp4_r_v_b_1 -buffer ram/RDATA_1 sp4_r_v_b_35 -buffer ram/RDATA_2 sp12_v_b_4 -buffer ram/RDATA_3 sp4_v_b_22 -buffer ram/RDATA_4 sp4_r_v_b_9 -buffer ram/RDATA_5 sp4_v_b_26 -buffer ram/RDATA_6 sp4_v_b_44 -buffer ram/RDATA_7 sp4_r_v_b_31 -buffer sp4_v_b_34 local_g2_2 -routing sp12_v_b_0 sp12_h_l_23 -routing sp4_h_l_37 sp4_v_b_6 +RamConfig PowerUp +buffer sp12_v_b_17 sp4_v_b_20 routing sp4_h_l_38 sp4_v_b_9 -routing sp4_v_b_1 sp4_h_l_43 +routing sp4_h_l_42 sp4_v_b_7 +routing sp4_h_r_10 sp4_h_l_43 routing sp4_v_b_3 sp4_h_l_38 .ramb_tile 10 13 RamConfig PowerUp -routing sp4_h_l_41 sp4_v_t_41 -routing sp4_h_l_41 sp4_v_t_44 -routing sp4_h_l_44 sp4_v_b_3 -routing sp4_v_b_10 sp4_h_l_47 -routing sp4_v_t_44 sp4_v_b_0 +routing sp4_h_l_47 sp4_v_b_4 .ramb_tile 3 11 RamConfig PowerUp -routing sp4_h_l_45 sp4_h_r_11 -routing sp4_h_r_3 sp4_v_t_44 -routing sp4_h_r_8 sp4_h_l_45 -routing sp4_h_r_8 sp4_v_b_1 -routing sp4_v_b_0 sp4_v_t_37 -routing sp4_v_b_2 sp4_h_r_2 -routing sp4_v_t_44 sp4_v_b_0 +routing sp12_h_l_23 sp12_h_r_0 +routing sp4_v_b_9 sp4_h_r_9 -.ramb_tile 10 15 +.ramb_tile 3 9 RamConfig PowerUp -routing sp4_h_l_36 sp4_v_b_1 -routing sp4_h_l_36 sp4_v_b_7 -routing sp4_h_l_44 sp4_v_b_3 -routing sp4_h_r_1 sp4_h_l_44 -routing sp4_v_t_47 sp4_h_r_3 +routing sp4_h_l_41 sp4_h_r_0 +routing sp4_h_l_41 sp4_h_r_4 +routing sp4_h_r_6 sp4_v_b_6 +routing sp4_v_t_39 sp4_h_r_7 .ramb_tile 10 1 -buffer local_g0_2 ram/WCLKE -buffer ram/RDATA_0 sp12_h_r_8 +RamConfig PowerUp +routing sp4_h_r_9 sp4_v_t_38 + +.ramb_tile 3 7 +buffer local_g2_2 ram/WCLKE buffer ram/RDATA_0 sp4_r_v_b_17 -buffer ram/RDATA_1 sp12_h_r_10 -buffer ram/RDATA_1 sp4_h_r_18 -buffer ram/RDATA_1 sp4_r_v_b_35 -buffer ram/RDATA_2 sp12_h_r_12 -buffer ram/RDATA_2 sp4_v_b_36 -buffer ram/RDATA_3 sp4_h_r_22 +buffer ram/RDATA_1 sp4_r_v_b_19 +buffer ram/RDATA_2 sp4_r_v_b_21 buffer ram/RDATA_3 sp4_r_v_b_23 -buffer ram/RDATA_3 sp4_v_b_38 -buffer ram/RDATA_4 sp12_v_b_8 -buffer ram/RDATA_4 sp4_h_r_24 -buffer ram/RDATA_5 sp12_v_b_10 buffer ram/RDATA_5 sp4_h_r_26 -buffer ram/RDATA_6 sp12_h_r_4 -buffer ram/RDATA_6 sp12_v_b_12 -buffer ram/RDATA_6 sp4_h_r_44 -buffer ram/RDATA_7 sp12_v_b_14 -buffer sp4_v_b_10 local_g0_2 -routing sp4_h_r_4 sp4_v_t_47 -routing sp4_v_b_8 sp4_v_t_41 -routing sp4_v_t_36 sp4_h_r_6 -routing sp4_v_t_37 sp4_v_b_8 -routing sp4_v_t_42 sp4_v_b_10 - -.ramb_tile 3 7 -RamConfig PowerUp -routing sp12_h_r_1 sp12_h_l_22 -routing sp4_h_r_0 sp4_v_b_0 -routing sp4_h_r_2 sp4_v_t_39 -routing sp4_v_b_1 sp4_v_t_44 -routing sp4_v_b_11 sp4_v_t_42 +buffer sp12_h_r_8 sp4_h_r_16 +buffer sp4_v_b_26 local_g2_2 .ramb_tile 10 3 ColBufCtrl glb_netwk_6 buffer glb_netwk_6 ram/WCLK -buffer local_g0_2 ram/WADDR_2 -buffer local_g0_5 ram/WADDR_5 -buffer local_g0_6 ram/WADDR_4 -buffer local_g1_3 ram/WCLKE -buffer local_g1_6 ram/WDATA_0 -buffer local_g1_7 ram/WADDR_0 -buffer local_g2_3 ram/WADDR_7 -buffer local_g2_4 ram/WE -buffer local_g2_5 ram/WDATA_2 -buffer local_g2_7 ram/WADDR_3 -buffer local_g3_1 ram/WADDR_6 -buffer local_g3_2 ram/WDATA_6 -buffer local_g3_4 ram/WDATA_4 -buffer local_g3_5 ram/WADDR_8 -buffer local_g3_6 ram/WADDR_1 -buffer neigh_op_bnl_6 local_g3_6 -buffer neigh_op_bnl_7 local_g2_7 -buffer neigh_op_tnr_4 local_g3_4 -buffer neigh_op_tnr_5 local_g2_5 -buffer ram/RDATA_0 sp4_v_b_32 -buffer ram/RDATA_2 sp4_v_b_20 -buffer ram/RDATA_4 sp4_v_b_40 -buffer sp12_h_r_22 local_g1_6 -buffer sp4_h_r_27 local_g2_3 -buffer sp4_h_r_33 local_g3_1 -buffer sp4_r_v_b_21 local_g3_5 -buffer sp4_r_v_b_3 local_g1_3 -buffer sp4_r_v_b_31 local_g1_7 -buffer sp4_r_v_b_33 local_g0_2 -buffer sp4_r_v_b_42 local_g3_2 +buffer local_g0_0 ram/WADDR_0 +buffer local_g0_1 ram/WDATA_2 +buffer local_g0_2 ram/WADDR_8 +buffer local_g0_3 ram/WADDR_5 +buffer local_g0_4 ram/WADDR_4 +buffer local_g0_5 ram/WDATA_0 +buffer local_g0_6 ram/WADDR_2 +buffer local_g0_7 ram/WADDR_7 +buffer local_g1_0 ram/WDATA_4 +buffer local_g1_2 ram/WADDR_3 +buffer local_g1_5 ram/WE +buffer local_g1_6 ram/WDATA_6 +buffer local_g2_0 ram/WADDR_6 +buffer local_g2_2 ram/WCLKE +buffer local_g3_4 ram/WADDR_1 +buffer ram/RDATA_4 sp4_h_r_8 +buffer ram/RDATA_6 sp4_v_b_12 +buffer sp12_h_r_10 local_g0_2 +buffer sp12_h_r_16 local_g0_0 +buffer sp12_h_r_18 local_g1_2 +buffer sp12_h_r_20 sp4_h_r_22 +buffer sp12_h_r_4 local_g0_4 +buffer sp12_h_r_6 local_g0_6 +buffer sp4_r_v_b_10 local_g2_2 +buffer sp4_r_v_b_32 local_g0_3 +buffer sp4_r_v_b_44 local_g3_4 +buffer sp4_r_v_b_8 local_g2_0 +buffer sp4_v_b_13 local_g1_5 +buffer sp4_v_b_14 local_g1_6 +buffer sp4_v_b_16 local_g1_0 +buffer sp4_v_b_17 local_g0_1 buffer sp4_v_b_21 local_g0_5 -buffer sp4_v_b_22 local_g0_6 -buffer sp4_v_b_28 local_g2_4 -routing sp4_h_l_46 sp4_v_b_5 -routing sp4_h_r_0 sp4_v_t_37 +buffer sp4_v_b_7 local_g0_7 +routing sp12_h_l_22 sp12_v_t_22 +routing sp4_h_l_36 sp4_v_t_36 +routing sp4_h_l_40 sp4_v_t_40 +routing sp4_h_l_44 sp4_v_t_39 +routing sp4_h_r_2 sp4_v_b_7 +routing sp4_h_r_2 sp4_v_t_45 routing sp4_h_r_8 sp4_h_l_46 -routing sp4_h_r_8 sp4_v_b_1 -routing sp4_v_b_2 sp4_h_l_39 +routing sp4_v_b_3 sp4_v_t_38 +routing sp4_v_b_4 sp4_v_t_37 +routing sp4_v_b_4 sp4_v_t_41 +routing sp4_v_t_42 sp4_v_b_3 +routing sp4_v_t_47 sp4_h_r_10 -.ramb_tile 10 9 -buffer glb_netwk_6 ram/WCLK -buffer local_g0_2 ram/WADDR_4 -buffer local_g0_3 ram/WADDR_7 +.ramb_tile 3 5 +ColBufCtrl glb_netwk_6 +buffer local_g0_0 ram/WADDR_2 +buffer local_g0_1 ram/WDATA_2 +buffer local_g0_2 ram/WADDR_0 +buffer local_g0_3 ram/WDATA_0 buffer local_g0_5 ram/WDATA_4 -buffer local_g0_7 ram/WDATA_0 -buffer local_g1_1 ram/WADDR_2 -buffer local_g1_2 ram/WDATA_2 +buffer local_g0_6 ram/WADDR_6 +buffer local_g0_7 ram/WDATA_6 +buffer local_g1_0 ram/WADDR_3 +buffer local_g1_2 ram/WADDR_1 buffer local_g1_3 ram/WCLKE -buffer local_g1_4 ram/WDATA_6 -buffer local_g1_5 ram/WE -buffer local_g1_6 ram/WADDR_3 -buffer local_g2_4 ram/WADDR_0 -buffer local_g3_2 ram/WADDR_1 -buffer local_g3_3 ram/WADDR_6 -buffer local_g3_4 ram/WADDR_5 -buffer neigh_op_lft_2 local_g1_2 -buffer neigh_op_lft_4 local_g1_4 -buffer neigh_op_lft_7 local_g0_7 -buffer ram/RDATA_6 sp4_v_b_12 -buffer sp12_h_r_6 local_g1_6 -buffer sp12_v_b_10 local_g3_2 -buffer sp12_v_b_11 local_g3_3 -buffer sp4_h_r_5 local_g1_5 -buffer sp4_r_v_b_26 local_g0_2 -buffer sp4_r_v_b_27 local_g1_3 -buffer sp4_v_b_13 local_g0_5 -buffer sp4_v_b_17 local_g1_1 -buffer sp4_v_b_19 local_g0_3 -buffer sp4_v_b_36 local_g2_4 -buffer sp4_v_b_44 local_g3_4 -routing sp12_h_l_23 sp12_v_t_23 -routing sp12_v_b_0 sp12_h_r_0 -routing sp4_v_b_2 sp4_v_t_40 -routing sp4_v_t_37 sp4_h_r_5 +buffer local_g1_6 ram/WADDR_5 +buffer local_g1_7 ram/WADDR_4 +buffer local_g2_0 ram/WCLK +buffer local_g2_3 ram/WADDR_7 +buffer local_g2_4 ram/WE +buffer neigh_op_lft_6 local_g1_6 +buffer neigh_op_lft_7 local_g1_7 +buffer ram/RDATA_0 sp4_h_r_16 +buffer ram/RDATA_2 sp4_r_v_b_37 +buffer ram/RDATA_4 sp4_h_r_40 +buffer ram/RDATA_6 sp4_h_r_12 +buffer sp12_h_r_16 local_g1_0 +buffer sp12_h_r_18 local_g0_2 +buffer sp12_h_r_19 local_g0_3 +buffer sp12_h_r_7 local_g0_7 +buffer sp4_h_r_0 local_g0_0 +buffer sp4_h_r_1 local_g0_1 +buffer sp4_h_r_18 local_g1_2 +buffer sp4_h_r_22 local_g0_6 +buffer sp4_h_r_35 local_g2_3 +buffer sp4_h_r_5 local_g0_5 +buffer sp4_v_b_19 local_g1_3 +buffer sp4_v_b_32 local_g2_0 +buffer sp4_v_b_44 local_g2_4 +routing sp4_h_r_11 sp4_v_b_11 +routing sp4_v_b_0 sp4_v_t_38 +routing sp4_v_b_11 sp4_v_t_39 +routing sp4_v_b_2 sp4_h_r_2 routing sp4_v_t_37 sp4_v_b_0 -routing sp4_v_t_38 sp4_h_r_3 -routing sp4_v_t_44 sp4_h_r_2 - -.ramb_tile 3 5 -RamConfig PowerUp -routing sp4_h_r_0 sp4_v_t_43 -routing sp4_h_r_5 sp4_v_b_10 .ramt_tile 10 8 +routing sp4_h_r_7 sp4_v_b_7 + +.ramt_tile 3 12 +buffer sp12_h_r_4 sp4_h_r_14 +routing sp4_h_l_37 sp4_h_r_3 +routing sp4_h_l_37 sp4_v_t_37 + +.ramt_tile 10 4 RamConfig CBIT_0 RamConfig CBIT_2 buffer glb_netwk_6 ram/RCLK +buffer local_g0_7 ram/WDATA_12 +buffer local_g1_2 ram/WDATA_8 buffer local_g1_5 ram/RE -buffer local_g1_6 ram/RADDR_3 -buffer local_g1_7 ram/RADDR_6 -buffer local_g2_3 ram/RADDR_5 -buffer local_g2_6 ram/RADDR_4 +buffer local_g1_6 ram/WDATA_10 +buffer local_g2_0 ram/RADDR_2 +buffer local_g2_3 ram/RADDR_3 +buffer local_g2_4 ram/RADDR_4 +buffer local_g2_5 ram/RADDR_7 buffer local_g3_1 ram/RADDR_0 buffer local_g3_2 ram/RADDR_1 -buffer local_g3_4 ram/RADDR_7 -buffer local_g3_7 ram/RADDR_2 -buffer neigh_op_lft_6 local_g1_6 -buffer neigh_op_lft_7 local_g1_7 -buffer neigh_op_rgt_1 local_g3_1 -buffer neigh_op_rgt_2 local_g3_2 -buffer neigh_op_rgt_3 local_g2_3 -buffer neigh_op_rgt_4 local_g3_4 -buffer neigh_op_rgt_6 local_g2_6 -buffer neigh_op_rgt_7 local_g3_7 -buffer sp12_v_b_1 sp4_v_b_12 -buffer sp4_v_b_13 local_g1_5 -routing sp12_v_b_1 sp12_h_l_22 -routing sp4_h_l_41 sp4_h_r_7 -routing sp4_h_l_43 sp4_h_r_6 -routing sp4_h_l_46 sp4_h_r_11 -routing sp4_v_b_1 sp4_h_l_36 -routing sp4_v_b_11 sp4_v_t_42 -routing sp4_v_b_5 sp4_h_l_47 +buffer local_g3_3 ram/RADDR_8 +buffer local_g3_4 ram/RADDR_5 +buffer local_g3_5 ram/RADDR_6 +buffer local_g3_6 ram/WDATA_14 +buffer neigh_op_tnr_2 local_g3_2 +buffer neigh_op_tnr_4 local_g3_4 +buffer ram/RDATA_10 sp4_h_r_20 +buffer ram/RDATA_12 sp4_r_v_b_41 +buffer ram/RDATA_8 sp4_r_v_b_1 +buffer sp12_h_r_10 local_g1_2 +buffer sp12_h_r_22 local_g1_6 +buffer sp12_h_r_23 local_g0_7 +buffer sp4_h_r_24 local_g2_0 +buffer sp4_h_r_25 local_g3_1 +buffer sp4_h_r_27 local_g2_3 +buffer sp4_h_r_35 local_g3_3 +buffer sp4_h_r_46 local_g3_6 +buffer sp4_h_r_5 local_g1_5 +buffer sp4_r_v_b_36 local_g2_4 +buffer sp4_r_v_b_45 local_g3_5 +buffer sp4_v_b_45 local_g2_5 +routing sp4_h_l_37 sp4_h_r_3 +routing sp4_h_l_41 sp4_v_b_4 +routing sp4_h_l_43 sp4_v_b_0 +routing sp4_h_l_45 sp4_v_b_8 +routing sp4_h_l_46 sp4_v_b_5 +routing sp4_h_l_47 sp4_v_t_38 +routing sp4_h_r_3 sp4_v_b_3 +routing sp4_h_r_5 sp4_v_t_40 +routing sp4_v_b_0 sp4_v_t_37 +routing sp4_v_t_37 sp4_h_r_5 -.ramt_tile 3 2 -routing sp4_v_t_36 sp4_h_r_1 +.ramt_tile 10 10 +routing sp4_h_l_45 sp4_h_r_4 +routing sp4_v_b_6 sp4_h_r_6 +routing sp4_v_t_45 sp4_h_r_1 -.ramt_tile 3 12 -routing sp4_h_l_43 sp4_h_r_6 -routing sp4_h_r_11 sp4_v_t_40 -routing sp4_v_b_2 sp4_h_r_8 -routing sp4_v_t_40 sp4_h_r_5 -routing sp4_v_t_47 sp4_h_r_10 +.ramt_tile 10 16 +routing sp4_v_b_9 sp4_h_r_9 -.ramt_tile 10 4 +.ramt_tile 3 10 +buffer sp12_v_b_17 sp4_v_b_20 +routing sp4_h_r_1 sp4_v_b_1 +routing sp4_h_r_2 sp4_v_t_45 + +.ramt_tile 10 6 RamConfig CBIT_0 RamConfig CBIT_2 buffer glb_netwk_6 ram/RCLK -buffer local_g0_0 ram/RADDR_8 -buffer local_g0_1 ram/WDATA_12 -buffer local_g0_2 ram/RADDR_4 -buffer local_g0_5 ram/RADDR_1 -buffer local_g0_7 ram/RADDR_3 -buffer local_g1_0 ram/RADDR_5 -buffer local_g1_1 ram/RADDR_6 -buffer local_g1_4 ram/RADDR_7 -buffer local_g1_5 ram/RE -buffer local_g1_7 ram/RADDR_0 -buffer local_g2_1 ram/WDATA_14 -buffer local_g2_6 ram/RADDR_2 -buffer local_g3_2 ram/WDATA_8 -buffer local_g3_6 ram/WDATA_10 -buffer neigh_op_rgt_1 local_g2_1 -buffer neigh_op_rgt_2 local_g3_2 -buffer neigh_op_rgt_6 local_g3_6 +buffer local_g1_0 ram/WDATA_12 +buffer local_g2_1 ram/RADDR_3 +buffer local_g2_4 ram/RADDR_0 +buffer local_g3_0 ram/WDATA_8 +buffer local_g3_2 ram/WDATA_14 +buffer local_g3_4 ram/WDATA_10 +buffer local_g3_5 ram/RE +buffer local_g3_6 ram/RADDR_1 +buffer local_g3_7 ram/RADDR_2 +buffer neigh_op_bnl_0 local_g3_0 +buffer neigh_op_bnl_2 local_g3_2 +buffer neigh_op_bnl_4 local_g3_4 +buffer neigh_op_tnr_1 local_g2_1 +buffer neigh_op_tnr_4 local_g2_4 +buffer neigh_op_tnr_6 local_g3_6 +buffer ram/RDATA_10 sp4_h_r_20 buffer ram/RDATA_10 sp4_h_r_36 -buffer ram/RDATA_14 sp4_r_v_b_45 -buffer ram/RDATA_8 sp4_r_v_b_1 -buffer sp12_h_r_10 sp4_h_r_17 -buffer sp12_h_r_14 sp4_h_r_19 -buffer sp12_h_r_2 local_g0_2 -buffer sp12_h_r_8 local_g0_0 -buffer sp12_h_r_9 local_g0_1 -buffer sp12_v_b_7 sp4_v_b_15 -buffer sp4_h_r_17 local_g1_1 -buffer sp4_h_r_20 local_g1_4 -buffer sp4_h_r_8 local_g1_0 -buffer sp4_r_v_b_14 local_g2_6 -buffer sp4_v_b_13 local_g1_5 -buffer sp4_v_b_23 local_g1_7 -buffer sp4_v_b_5 local_g0_5 -buffer sp4_v_b_7 local_g0_7 -routing sp4_h_r_1 sp4_h_l_41 -routing sp4_h_r_3 sp4_h_l_38 -routing sp4_h_r_6 sp4_v_b_11 -routing sp4_h_r_8 sp4_v_b_8 -routing sp4_v_b_1 sp4_v_t_36 -routing sp4_v_b_3 sp4_h_l_45 -routing sp4_v_b_4 sp4_h_r_4 -routing sp4_v_b_6 sp4_h_l_46 -routing sp4_v_b_9 sp4_h_l_44 +buffer ram/RDATA_12 sp4_h_r_40 +buffer ram/RDATA_14 sp12_h_r_20 +buffer ram/RDATA_8 sp12_h_r_8 +buffer sp4_r_v_b_23 local_g3_7 +buffer sp4_v_b_0 local_g1_0 +buffer sp4_v_b_29 local_g3_5 +routing sp4_v_b_1 sp4_h_l_36 +routing sp4_v_b_1 sp4_h_l_43 +routing sp4_v_b_5 sp4_h_l_40 -.ramt_tile 10 10 +.ramt_tile 3 8 RamConfig CBIT_0 RamConfig CBIT_2 buffer glb_netwk_6 ram/RCLK -buffer local_g0_4 ram/RADDR_6 +buffer local_g0_4 ram/RE buffer local_g0_5 ram/RADDR_5 -buffer local_g0_6 ram/RADDR_2 -buffer local_g0_7 ram/RADDR_1 -buffer local_g1_1 ram/RADDR_4 +buffer local_g1_1 ram/RADDR_6 buffer local_g1_6 ram/RADDR_3 -buffer local_g1_7 ram/RADDR_0 -buffer local_g2_1 ram/RADDR_7 -buffer local_g2_3 ram/WDATA_14 -buffer local_g2_5 ram/WDATA_12 -buffer local_g2_7 ram/WDATA_8 -buffer local_g3_0 ram/WDATA_10 -buffer local_g3_5 ram/RE -buffer neigh_op_bnl_3 local_g2_3 -buffer neigh_op_bnl_5 local_g2_5 -buffer neigh_op_bnr_7 local_g0_7 -buffer neigh_op_lft_7 local_g1_7 -buffer ram/RDATA_12 sp4_v_b_8 -buffer ram/RDATA_14 sp4_r_v_b_45 -buffer sp12_v_b_23 local_g2_7 -buffer sp4_h_r_1 local_g1_1 -buffer sp4_h_r_33 local_g2_1 -buffer sp4_r_v_b_40 local_g3_0 -buffer sp4_v_b_14 local_g1_6 -buffer sp4_v_b_20 local_g0_4 -buffer sp4_v_b_21 local_g0_5 -buffer sp4_v_b_22 local_g0_6 -buffer sp4_v_b_37 local_g3_5 -routing sp4_h_l_38 sp4_v_t_45 -routing sp4_h_l_39 sp4_v_t_42 -routing sp4_h_l_40 sp4_v_b_11 -routing sp4_h_l_42 sp4_v_b_7 -routing sp4_v_t_36 sp4_v_b_4 -routing sp4_v_t_38 sp4_h_r_3 -routing sp4_v_t_43 sp4_v_b_6 -routing sp4_v_t_45 sp4_h_l_39 - -.ramt_tile 3 10 -routing sp4_h_r_1 sp4_v_b_1 -routing sp4_h_r_5 sp4_v_b_10 -routing sp4_v_b_8 sp4_h_r_2 - -.ramt_tile 10 6 -buffer sp12_v_b_1 sp4_v_b_12 -buffer sp12_v_b_5 sp4_v_b_14 -routing sp12_v_b_1 sp12_h_l_22 -routing sp4_h_r_11 sp4_h_l_46 -routing sp4_h_r_11 sp4_v_b_4 -routing sp4_h_r_2 sp4_h_l_47 -routing sp4_v_b_3 sp4_v_t_38 -routing sp4_v_t_42 sp4_v_b_3 - -.ramt_tile 10 12 -buffer sp12_h_r_2 sp4_h_r_13 -routing sp4_h_l_37 sp4_v_b_6 -routing sp4_h_l_42 sp4_v_b_1 -routing sp4_h_l_44 sp4_v_b_9 -routing sp4_v_b_11 sp4_h_l_41 - -.ramt_tile 3 8 -buffer sp12_h_r_22 sp4_h_r_23 -routing sp4_h_r_0 sp4_v_t_37 +buffer local_g1_7 ram/RADDR_4 +buffer local_g2_7 ram/RADDR_1 +buffer local_g3_1 ram/RADDR_0 +buffer local_g3_2 ram/RADDR_7 +buffer local_g3_7 ram/RADDR_2 +buffer neigh_op_bnl_7 local_g3_7 +buffer neigh_op_bnr_5 local_g0_5 +buffer neigh_op_bnr_6 local_g1_6 +buffer neigh_op_bnr_7 local_g1_7 +buffer neigh_op_tnl_7 local_g2_7 +buffer sp12_h_r_12 sp4_h_r_18 +buffer sp4_h_r_17 local_g1_1 +buffer sp4_h_r_20 local_g0_4 +buffer sp4_r_v_b_41 local_g3_1 +buffer sp4_r_v_b_42 local_g3_2 +routing sp4_v_t_47 sp4_h_r_10 .ramt_tile 10 14 -routing sp12_h_l_22 sp12_v_b_1 -routing sp12_h_l_23 sp12_v_b_0 -routing sp4_h_l_36 sp4_v_b_1 -routing sp4_h_l_43 sp4_v_b_6 -routing sp4_v_b_0 sp4_h_l_37 +routing sp4_h_l_41 sp4_h_r_4 +routing sp4_h_r_0 sp4_v_t_43 .ramt_tile 3 6 -buffer sp12_h_r_10 sp4_h_r_17 +RamConfig CBIT_0 +RamConfig CBIT_2 +buffer local_g0_1 ram/WDATA_10 +buffer local_g0_3 ram/WDATA_8 +buffer local_g0_5 ram/RADDR_1 +buffer local_g0_7 ram/WDATA_14 +buffer local_g1_0 ram/WDATA_12 +buffer local_g1_1 ram/RCLK +buffer local_g1_4 ram/RADDR_5 +buffer local_g1_7 ram/RADDR_6 +buffer local_g2_5 ram/RADDR_7 +buffer local_g3_1 ram/RADDR_0 +buffer local_g3_3 ram/RADDR_4 +buffer local_g3_5 ram/RE +buffer local_g3_6 ram/RADDR_3 +buffer local_g3_7 ram/RADDR_2 +buffer neigh_op_tnl_7 local_g3_7 +buffer neigh_op_tnr_6 local_g3_6 +buffer ram/RDATA_10 sp4_h_r_20 +buffer ram/RDATA_12 sp4_h_r_40 +buffer ram/RDATA_14 sp4_r_v_b_29 +buffer ram/RDATA_8 sp4_r_v_b_33 +buffer sp12_h_r_19 local_g0_3 +buffer sp12_h_r_7 local_g0_7 +buffer sp4_h_r_21 local_g0_5 +buffer sp4_h_r_8 local_g1_0 +buffer sp4_h_r_9 local_g0_1 +buffer sp4_r_v_b_17 local_g3_1 +buffer sp4_r_v_b_4 local_g1_4 +buffer sp4_v_b_1 local_g1_1 +buffer sp4_v_b_29 local_g3_5 +buffer sp4_v_b_35 local_g3_3 +buffer sp4_v_b_37 local_g2_5 +buffer sp4_v_b_7 local_g1_7 +routing sp12_h_r_1 sp12_v_t_22 +routing sp4_h_l_36 sp4_v_b_1 +routing sp4_h_r_6 sp4_v_b_6 +routing sp4_v_b_3 sp4_h_r_3 +routing sp4_v_b_5 sp4_h_r_5 +routing sp4_v_b_6 sp4_h_r_0 +routing sp4_v_t_42 sp4_v_b_7 .ramt_tile 3 4 -routing sp4_v_b_2 sp4_h_r_8 - -.ramt_tile 3 14 -routing sp4_h_r_5 sp4_h_l_40 -routing sp4_v_b_10 sp4_v_t_36 -routing sp4_v_t_36 sp4_h_r_1 -routing sp4_v_t_47 sp4_h_r_10 - -.ramt_tile 10 2 RamConfig CBIT_0 RamConfig CBIT_2 buffer glb_netwk_6 ram/RCLK +buffer local_g0_0 ram/RADDR_4 buffer local_g0_2 ram/RADDR_0 +buffer local_g0_4 ram/RE +buffer local_g1_0 ram/RADDR_5 +buffer local_g1_5 ram/RADDR_2 +buffer local_g2_1 ram/RADDR_1 buffer local_g2_4 ram/RADDR_6 buffer local_g2_7 ram/RADDR_3 -buffer local_g3_0 ram/RADDR_5 -buffer local_g3_1 ram/RADDR_4 -buffer local_g3_2 ram/RADDR_1 -buffer local_g3_3 ram/RADDR_2 -buffer local_g3_5 ram/RE -buffer local_g3_6 ram/RADDR_7 -buffer neigh_op_rgt_0 local_g3_0 -buffer neigh_op_rgt_1 local_g3_1 -buffer neigh_op_rgt_2 local_g3_2 -buffer neigh_op_rgt_3 local_g3_3 -buffer neigh_op_rgt_4 local_g2_4 -buffer neigh_op_rgt_6 local_g3_6 -buffer neigh_op_rgt_7 local_g2_7 -buffer sp12_v_b_7 sp4_v_b_15 -buffer sp4_r_v_b_26 local_g0_2 -buffer sp4_v_b_37 local_g3_5 -routing sp4_v_t_41 sp4_v_b_4 +buffer neigh_op_bnl_4 local_g2_4 +buffer neigh_op_bnl_7 local_g2_7 +buffer neigh_op_bnr_0 local_g1_0 +buffer sp12_h_r_16 local_g0_0 +buffer sp12_h_r_6 sp4_h_r_15 +buffer sp4_h_r_20 local_g0_4 +buffer sp4_r_v_b_29 local_g1_5 +buffer sp4_r_v_b_33 local_g0_2 +buffer sp4_r_v_b_9 local_g2_1 +routing sp4_h_r_3 sp4_v_t_44 +routing sp4_v_b_5 sp4_v_t_40 +routing sp4_v_b_7 sp4_h_r_1 +routing sp4_v_t_44 sp4_v_b_5 + +.ramt_tile 3 14 +buffer sp12_h_r_12 sp4_h_r_18 +routing sp4_h_l_39 sp4_v_t_39 +routing sp4_h_r_11 sp4_h_l_39 +routing sp4_v_b_8 sp4_h_r_8 + +.ramt_tile 10 2 +routing sp4_h_r_1 sp4_v_t_42 diff --git a/i2c_keyboard/i2c_slave.v b/i2c_keyboard/i2c_slave.v index e521463..8a52d6e 100644 --- a/i2c_keyboard/i2c_slave.v +++ b/i2c_keyboard/i2c_slave.v @@ -1,8 +1,8 @@ module i2c_slave (input CLK, input RESET, input SCL, inout SDA, output IS_TRANSMISSION, output IS_READ, output IS_ACK, output WR, //output ACK_MASTER_CTRL, - output [7:0] RECEIVED_BYTE, input [7:0] BYTE_TO_TRANSMIT, - output [(MAX_I2C_TRANSACTION_EXP2-1):0] COUNTER); + output [7:0] RECEIVED_BYTE, input [7:0] BYTE_TO_TRANSMIT);//, + //output [(MAX_I2C_TRANSACTION_EXP2-1):0] COUNTER); // ALL OPERATIONS WITH MEMORY ARE IN POSEDGE CLK, IN NEGEDGE - ONLY SCL AND SDA LATCH // COUNTER = 0 - ADRESS RECEIVED, COUNTER >=1 - DATA TRANSMISSION // RECEIVED BYTES MUST READ WHEN WR POSEDGE, ADRESS NOT READING ###AND BYTE COUNTER >=1 (BYTE COUNTER = 0 - ADRESS) @@ -11,7 +11,7 @@ module i2c_slave (input CLK, input RESET, // LAST BYTE HAS NO WR ####BUT LAST BYTE NOT TRANSMITTED (BECAUSE MASTER STOPS TRANSMIT) parameter I2C_ADRESS = 7'h34; - parameter MAX_I2C_TRANSACTION_EXP2 = 8; // !!! - FOR LIMIT BYTES TO TX/RX (WITH ADRESS) + //parameter MAX_I2C_TRANSACTION_EXP2 = 8; // !!! - FOR LIMIT BYTES TO TX/RX (WITH ADRESS) reg /*SDA_IN,*/ SDA_DIR, SDA_OUT; wire SDA_IN; @@ -33,10 +33,10 @@ module i2c_slave (input CLK, input RESET, reg [3:0] i2c_bit_counter; reg [7:0] received_byte; reg [7:0] byte_to_transmit; - reg [(MAX_I2C_TRANSACTION_EXP2-1):0] byte_counter; - //reg is_for_me; + //reg [(MAX_I2C_TRANSACTION_EXP2-1):0] byte_counter; + reg is_adress; reg is_ack; - reg wr;//reg ack_master_ctrl; + reg wr; // FILTER reg SCLF, SDAF; @@ -45,54 +45,29 @@ module i2c_slave (input CLK, input RESET, simple_filter FLT_SCL (CLK, RESET, SCLF, SCLD); simple_filter FLT_SDA (CLK, RESET, SDAF, SDAD); - always@(negedge CLK) begin - SCLF <= SCL; - SDAF <= SDA_IN; + always@(posedge CLK) begin + SCLF = SCL; + SDAF = SDA_IN; end - always@(posedge CLK or negedge RESET) begin + always@(negedge CLK/* or negedge RESET*/) begin if (RESET == 0) - i2c_state_machine <= 0; + i2c_state_machine = 0; else begin - /* if (scl_cnt != 0) begin - scl_cnt = scl_cnt - 1; - if (scl_cnt == 0) begin - if (SCLD != SCLF) - SCLD = SCLF; - end - end - else begin - if (SCLD != SCLF) - scl_cnt = 3'd7; - end - if (sda_cnt != 0) begin - sda_cnt = sda_cnt - 1; - if (sda_cnt == 0) begin - if (SDAD != SDAF) - SDAD = SDAF; - end - end - else begin - if (SDAD != SDAF) - sda_cnt = 3'd7; - end*/ - // END OF FILTER //SDA_IN = SDA; // FOR IVERILOG if ((SDAD == 0) && (SDA_LAST == 1) && (SCLD == 1)) begin i2c_state_machine = 1; i2c_start_latency = 0; i2c_bit_counter = 4'd8; - byte_counter = 9'd0; - //is_for_me = 1; // RESETS TO ZERO WHEN ADRESS CHECKING + is_adress = 1;//byte_counter = 9'd0; SDA_DIR = 0; is_ack = 0; - //ack_master_ctrl = 1; wr = 0; + is_read = 0; end else if ((i2c_state_machine == 1) && (i2c_start_latency == 0)) begin i2c_start_latency = 1; - is_read = 0; end if ((SDAD == 1) && (SDA_LAST == 0) && (SCLD == 1)) begin i2c_state_machine = 0; @@ -109,24 +84,29 @@ module i2c_slave (input CLK, input RESET, end else begin if ((SCL_LAST == 1) && (SCLD == 0) && (is_ack == 0)) begin - if (byte_counter == 0) begin + if (is_adress == 1) begin if (received_byte[7:1] != I2C_ADRESS) i2c_state_machine = 0; //is_for_me = 0; is_read = received_byte[0]; + //is_adress = 0; end - else begin + //else begin // EMIT SIGNAL OF BYTE RECEIVING - end - if (byte_counter != ((1< 1)) - wr = 1; + else if ((SCL_LAST == 0) && (SCLD == 1) && (is_ack == 1)) begin//(byte_counter > 1)) + if (is_adress == 1) + is_adress = 0; + else + wr = 1; + end else if ((SCL_LAST == 1) && (SCLD == 0) && (is_ack == 1)) begin is_ack = 0; SDA_DIR = 0; @@ -153,8 +133,8 @@ module i2c_slave (input CLK, input RESET, i2c_bit_counter = 8; i2c_state_machine = (SDAD ^ 1) | SDA_DIR; //ack_master_ctrl = SDAD+1; // MAYBE TRANSMIT BYTE REPEAT wr = (SDAD ^ 1) | SDA_DIR; - if (byte_counter != ((1< (ONE_CALC_TIME_POW - 3); ONE_CALC_TIME_POW > 2 (if 2 or smaller, top module overrun may occur) - reg [12:0] row_time = 0; + reg [ONE_ROW_TIME_POW:0] row_time = 0; reg [3:0] row_counter; reg [7:0] temp; reg [7:0] i; - reg [7:0] report [6:0]; // NO BYTE 2 + //reg [7:0] report [6:0]; // NO BYTE 2 + //reg [7:0] report_byte; reg isr; + reg isr_internal; reg [15:0] ROWS_EN = 0; reg [15:0] ROWS_OUT = 0; wire [15:0] ROWS_IN; reg [7:0] COLS_SHADOW; - reg [7:0] kbd_code; + //reg [7:0] kbd_code; + wire [6:0] kbd_code; + assign kbd_code [2:0] = row_time[7:5]; // COLUMN NUM + assign kbd_code [6:3] = row_counter; // ROW NUM wire [7:0] kbd_code_hid; reg is_pressed; @@ -36,33 +43,72 @@ module matrix_kbd (input CLK, input RESET, input FREEZE, inout [15:0] ROWS, inpu reg [8:0] ram_adr; wire [7:0] ram_rd; - reg [3:0] init_delay_cnt; - reg [8:0] init_ram_cnt; + //reg [3:0] init_delay_cnt; + //reg [8:0] init_ram_cnt; + reg IS_RAM_INIT = 0; /*always @ (negedge CLK) begin COLS_SHADOW <= COLUMNS; end*/ + wire [7:0] report_data_rd; + reg [3:0] report_adress_rd; + reg [7:0] report_data_wr; + wire [3:0] report_adress_wr; + assign report_adress_wr = report_adress_rd; + assign REPORT_DATA = report_data_rd; + reg report_wr_en; + ram REPORT (CLK, report_wr_en, report_adress_wr, report_data_wr, report_adress_rd, report_data_rd); ram RAM (CLK, ram_wr, ram_adr, temp, ram_adr, ram_rd);//module ram(input clk, wen, input [8:0] addr, input [7:0] wdata, output [7:0] rdata); - always @ (posedge CLK) begin + always @ (negedge CLK) begin if (RESET == 0) begin - for (i = 0; i < 6; i = i + 1) - report[i] = 0; + //for (i = 0; i < 6; i = i + 1) + // report[i] = 0; isr = 0; - init_delay_cnt = 0; - init_ram_cnt = 0; + isr_internal = 0; + //init_delay_cnt = 0; + //init_ram_cnt = 0; row_time = 0; + IS_RAM_INIT = 1; + ram_adr = 500; + report_adress_rd = 5; + report_wr_en = 0; + //report_byte = 0; end else begin if (FREEZE == 0) begin - if (init_delay_cnt != 15) + /*if (REPORT_ADRESS == 0) + report_byte <= 10; + else if ((REPORT_ADRESS == 1) || (REPORT_ADRESS == 3)) + report_byte <= 0; + else if (REPORT_ADRESS == 2) + report_byte <= report[0]; + else + report_byte <= report[REPORT_ADRESS-3];*/ + /*if (init_delay_cnt != 15) init_delay_cnt = init_delay_cnt + 1; else if (init_ram_cnt < 256) begin ram_wr = 1; ram_adr = init_ram_cnt; temp = 255; init_ram_cnt = init_ram_cnt + 1; + end*/ + if (IS_RAM_INIT) begin + ram_wr = 1; + ram_adr = ram_adr + 1; + temp = 255; + report_adress_rd = report_adress_rd + 1; + if (report_adress_rd == 0) + report_data_wr = 10; + else + report_data_wr = 0;//report_adress_rd & 1; + report_wr_en = 1; + if (ram_adr == 130) begin + //ram_wr = 0; + IS_RAM_INIT = 0; + report_wr_en = 0; + end end /*else if (init_ram_cnt == 256) begin ram_wr = 0; @@ -85,7 +131,8 @@ module matrix_kbd (input CLK, input RESET, input FREEZE, inout [15:0] ROWS, inpu //if (row_time == 8191/*(ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 7 + 1)*/) // ram_wr = 1; - if ((row_time[12:8] == 31) && (row_time[4:0] == 0)) begin + //if ((row_time[12:8] == 31) && (row_time[4:0] == 0)) begin + if ((row_time[ONE_ROW_TIME_POW:8] == ((1<<(ONE_ROW_TIME_POW-7))-1)) && (row_time[4:0] == 0)) begin //temp = ram_rd; //COLS_SHADOW = COLUMNS; if (row_time[7:5] == 0) begin @@ -96,8 +143,8 @@ module matrix_kbd (input CLK, input RESET, input FREEZE, inout [15:0] ROWS, inpu if (row_time[7:5] == 7) ram_wr = 1; end - else - kbd_code = 255; + //else + // kbd_code = 255; /*if (row_time == (ROW_STT_PROCESS_TIME + ONE_COLUMN_PROCESS_TIME * 0)) check_column (0); @@ -119,7 +166,55 @@ module matrix_kbd (input CLK, input RESET, input FREEZE, inout [15:0] ROWS, inpu kbd_code = 255;*/ // START PACK I2C_HID REPORT - if (kbd_code_hid != 0) begin + + else if ((isr_internal == 1)/* && (row_time[4:0] > 1)*/) begin + if (report_wr_en == 1) begin + report_wr_en = 0; + isr_internal = 0; + isr = 1; + end + else if (kbd_code_hid == 0) // IF KEY NOT EXIST, DO NOTHING + isr_internal = 0; + else if (kbd_code_hid[7:3] == 5'b11100) begin // BYTE WITH MODIFIERS IS READ AT START OF ALG + if (is_pressed) + report_data_wr = report_data_rd | (1<<(kbd_code_hid & 8'h07)); + else + report_data_wr = report_data_rd & (~(1<<(kbd_code_hid & 8'h07))); + report_wr_en = 1; + end + //else + // isr_internal = 0; + else if (report_adress_rd == 2) // IF BUTTON IS NOT MODIFIER, SET ADRESS TO FIRST BUTTON BYTE + report_adress_rd = 4; + else if (report_adress_rd == 10) // IF TOO MUTCH ADRESSES SEEK, END ALG (BUTTONS ARE IN ADRESSES 4-9) + isr_internal = 0; + else begin + if (is_pressed) begin + if (report_data_rd == kbd_code_hid) // IF BUTTON WITH SAME CODE IS IN REPORT + isr_internal = 0; // CLEAR INTERNAL INTERRUPT, NO EXT INTERRUPT + else if (report_data_rd == 0) begin // IF FREE ADRESS FOUND + report_data_wr = kbd_code_hid; // WRITE CODE TO THIS ADRESS + report_wr_en = 1; + end + else + report_adress_rd = report_adress_rd + 1; // IF NO FREE PLACE, CONTINUE SEEK + end + else begin // BUTTON RELEASED + if (report_data_rd == kbd_code_hid) begin // IF ADRESS WITH THIS CODE FOUND + report_data_wr = 0; // WRITE 0 TO THIS ADRESS (BTN RELEASED) + report_wr_en = 1; + end + else + report_adress_rd = report_adress_rd + 1; // IF THIS CODE NOT FOUND, CONTINUE SEEK + end + end + end + + else begin// if (isr_internal == 0) begin + report_adress_rd = REPORT_ADRESS /*- 1*/; // IF REPORT FILLING PROCESS IS ENDED, SET ADRESS FROM TOP MODULE + isr <= 0; + end + /*if (kbd_code_hid != 0) begin if (kbd_code_hid[7:3] == 5'b11100) begin //if ((kbd_code_hid > 8'hDF) && (kbd_code_hid < 8'hE8)) begin if (is_pressed) @@ -149,7 +244,8 @@ module matrix_kbd (input CLK, input RESET, input FREEZE, inout [15:0] ROWS, inpu else begin for (i = 1; i < 7; i = i + 1) begin - if (report [i] == kbd_code_hid/*kbd_code*/) begin + if (report [i] == kbd_code_hid) begin + //if (report [i] == kbd_code) begin report [i] = 0; isr = 1; end @@ -158,7 +254,7 @@ module matrix_kbd (input CLK, input RESET, input FREEZE, inout [15:0] ROWS, inpu end end // END OF KBD CODE SEND ALG else - isr <= 0; + isr <= 0;*/ end end end @@ -168,22 +264,25 @@ module matrix_kbd (input CLK, input RESET, input FREEZE, inout [15:0] ROWS, inpu input [2:0] column; begin if (COLS_SHADOW[column] != temp[column]) begin - kbd_code = row_counter*8 + column; + //kbd_code = row_counter*8 + column; if ((COLS_SHADOW[column] == 0) && (temp[column] == 1)) is_pressed = 1; else is_pressed = 0; + isr_internal = 1; // INTERNAL ISR AT NEXT TACT + report_adress_rd = 2; // ADRESS TO MODIFIERS end - else kbd_code = 255; + //else kbd_code = 255; temp[column] = COLS_SHADOW[column]; end endtask - assign kbd_r0 = report[0]; + /*assign kbd_r0 = report[0]; assign kbd_r2 = report[1]; assign kbd_r3 = report[2]; assign kbd_r4 = report[3]; assign kbd_r5 = report[4]; assign kbd_r6 = report[5]; - assign kbd_r7 = report[6]; + assign kbd_r7 = report[6];*/ + //assign REPORT_DATA = report_byte; assign INT = isr; SB_RAM40_4K #( diff --git a/i2c_keyboard/top.v b/i2c_keyboard/top.v index 7fa52d9..bc50f18 100644 --- a/i2c_keyboard/top.v +++ b/i2c_keyboard/top.v @@ -4,6 +4,7 @@ module top (input CLK, output LED1, LED2, LED3, LED4, LED5, input COM_RX, output COM_TX, COM_DCD, COM_DSR, COM_RTS, input [7:0] KBD_COLUMNS, inout [15:0] KBD_ROWS); + parameter INTERRUPT_TMR_REFLESH = 14; // 14 - 2^14=16384 tacts or 1.37 ms, 19 - 2^19=524288 tacts or 43.7 ms, 23 - 2^23=8388608 tacts or 0.7 s // 23 - 1119 LCs, 14 - 1081 LCs (in commit 1b6fc60221b595c2a0f69509d29b6e5c3110feb0) @@ -11,276 +12,253 @@ module top (input CLK, output LED1, LED2, LED3, LED4, LED5, reg [3:0] rststate = 0; assign RESET = &rststate; - //reg [7:0] I2C_TX; // TRANSMITTED TO MASTER wire [7:0] I2C_TX; - reg [7:0] I2C_TX_DESC; - //reg [7:0] I2C_TX_REPORT; + wire [7:0] I2C_TX_DESC; wire [7:0] I2C_RX; // RECEIVED FROM MASTER - wire I2C_TRANS, I2C_READ, I2C_ACK, I2C_ACK_MSTR_CTRL, I2C_WR; - wire [7:0] I2C_COUNTER; + wire I2C_TRANS, I2C_READ, I2C_ACK, /*I2C_ACK_MSTR_CTRL,*/ I2C_WR; + //wire [7:0] I2C_COUNTER; i2c_slave I2C (CLK, RESET, SCL, SDA, I2C_TRANS, I2C_READ, I2C_ACK, I2C_WR, //I2C_ACK_MSTR_CTRL, - I2C_RX, I2C_TX, I2C_COUNTER); + I2C_RX, I2C_TX);//, I2C_COUNTER); - reg UART_WR, UART_DTR, UART_RTS, UART_DCD; + reg UART_WR, UART_DTR, UART_RTS, UART_DCD;//, UART_WR2; reg [7:0] UART_TX_DATA; wire UART_ACTIVE, UART_TX_LINE; - initial begin + /*initial begin UART_WR = 0; UART_RTS = 1; UART_DTR = 0; UART_DCD = 0; - end + end*/ uart UART (CLK, RESET, UART_WR, UART_TX_DATA, UART_ACTIVE, UART_TX_LINE); - //wire [63:0] kbd_report; - wire [7:0] kbd_report [6:0]; + //wire [7:0] kbd_report [6:0]; + wire [7:0] report_byte; wire ISR; reg INT = 1; // INTERRUPT LINE TO HOST reg [INTERRUPT_TMR_REFLESH:0] int_tmr; reg KBD_FREEZE = 1; // LOGIC REG FOR BLOCK KBD ACTIVITY WHEN I2C IS WORKING - //reg IS_EMPTY_REPORT = 0; // REGISTER FOR CORRECT START (HOST MUST REQUEST EMPTY REGISTER AFTER INTERRUPT. THEN INTERRRUPT SET TO 1) - matrix_kbd KEYBOARD (CLK, RESET, 0 /*KBD_FREEZE*/, KBD_ROWS, KBD_COLUMNS, kbd_report[0], kbd_report[1], kbd_report[2], kbd_report[3], kbd_report[4], kbd_report[5], kbd_report[6], ISR); + matrix_kbd KEYBOARD (CLK, RESET, IS_RAM_INIT /*KBD_FREEZE*/, KBD_ROWS, KBD_COLUMNS, wr_cnt, report_data_wr, ISR);//kbd_report[0], kbd_report[1], kbd_report[2], kbd_report[3], kbd_report[4], kbd_report[5], kbd_report[6], ISR); - descriptors I2C_HID_DESC (CLK, RESET, I2C_WR, I2C_OUTPUT_TYPE[1:0], I2C_COUNTER, I2C_TX_DESC/*, kbd_report*/); + descriptors I2C_HID_DESC (CLK, /*RESET, I2C_WR,*/ I2C_OUTPUT_TYPE[/*1:*/0], I2C_COUNTER, I2C_TX_DESC/*, kbd_report*/); - //reg [7:0] ring_report [(8*8-1):0]; - reg [7:0] init_ram_cnt; reg [3:0] ring_wr, ring_rd; reg [3:0] wr_cnt; reg report_wr_en; - reg [7:0] report_data_wadr, report_data_radr, report_data_wr; + //reg [7:0] /*report_data_wadr,*/ /*report_data_radr,*/ //report_data_wr; + wire [7:0] report_data_radr, report_data_wadr, report_data_wr; + assign report_data_radr[7:4] = ring_rd; + assign report_data_radr[3:0] = I2C_COUNTER; + assign report_data_wadr[7:4] = ring_wr; + assign report_data_wadr[3:0] = wr_cnt; wire [7:0] report_data_rd; - ram REPORT_DATA (CLK, report_wr_en, report_data_wadr, report_data_wr, report_data_radr, report_data_rd); + ram REPORT_DATA ((1^CLK), report_wr_en, report_data_wadr, report_data_wr, report_data_radr, report_data_rd); assign I2C_TX = (I2C_TX_DESC & I2C_OUT_DESC_MASK) | (/*I2C_TX_REPORT*/report_data_rd & (~I2C_OUT_DESC_MASK)); - //parameter MAX_INPUT_LEN = 10; - //reg [7:0] I2C_INPUT_DATA [MAX_INPUT_LEN:0]; - reg [7:0] temp_output_report; + reg [2:0] temp_output_report; reg [3:0] i2c_input_data_type; // 0 - UNKNOWN, 1 - I2C_HID_DESC_REQUEST, 2 - HID_REPORT_DESC_REQUEST, 3 - INPUT_REPORT_REQUEST, 4 - OUTPUT_REPORT_SET // 5 - RESET, 6 - GET_INPUT_REPORT, 7 - SET_OUTPUT_REPORT - reg [7:0] I2C_INPUT_LEN = 0; - reg [2:0] I2C_OUTPUT_TYPE = 0; // 0 - ALL ZERO DATA, 1 - I2C HID DESCR, 2 - OUTPUT REPORT, 3 - HID REPORT DESCR + reg [/*7*/6:0] I2C_COUNTER = 0; + reg [2:0] I2C_OUTPUT_TYPE = 0; // 0 - I2C HID DESCR, 1 - HID REPORT DESC, 2 - INPUT REPORT reg [7:0] I2C_OUT_DESC_MASK = 0; - reg [7:0] KBD_LED_STATUS = 0; + reg [2:0] KBD_LED_STATUS = 0; - - reg last_wr = 0, last_trans = 0, last_uart_active = 0, last_isr = 0, uart_double_ff = 0; + reg last_wr = 0, last_trans = 0, last_isr = 0; + reg IS_RAM_INIT = 0; always @(posedge CLK) begin // RESET LOGIC rststate <= rststate + !RESET; if (RESET == 0) begin - I2C_OUTPUT_TYPE = 3;//0; + I2C_OUTPUT_TYPE = 2;//3;//0; I2C_OUT_DESC_MASK = 0; - KBD_LED_STATUS = 5; // BIT 0 - NUM LOCK, BIT 1 - CAPS LOCK, BIT 2 - SCROOL LOCK - uart_double_ff = 0; last_trans = 0; last_uart_active = 0; last_isr = 0; - I2C_INPUT_LEN = 0; - INT = 1; int_tmr = 0; UART_WR = 0; + KBD_LED_STATUS = 0; // BIT 0 - NUM LOCK, BIT 1 - CAPS LOCK, BIT 2 - SCROOL LOCK + last_trans = 0; last_isr = 0; last_wr = 0; + I2C_COUNTER = 0; + INT = 1; int_tmr = 0; ring_wr = 0; ring_rd = 15; wr_cnt = 0; - init_ram_cnt = 0; + IS_RAM_INIT = 1; + //report_data_wadr = 245; // FIRST 10 TACTS ARE EMPTY + report_wr_en = 0; end // NOT RESET MODE LOGIC else begin - if (init_ram_cnt < 170) begin - report_wr_en = 1; - if (init_ram_cnt < 10) - report_data_wadr = 0; - else - report_data_wadr = init_ram_cnt - 10; - report_data_wr = 0;//report_data_adr + 1; - init_ram_cnt = init_ram_cnt + 1; - end - else if (init_ram_cnt == 170) begin - report_wr_en = 0; - init_ram_cnt = init_ram_cnt + 1; - end - - else if ((last_isr == 0) && (ISR == 1)/* && (INT == 1)*/) begin // INTERRUPT FROM KEYBOARD - if ((ring_wr + 1) != ring_rd) - ring_wr = ring_wr + 1; - report_wr_en = 1; - report_data_wadr = ring_wr * 10; - report_data_wr = 10;//kbd_report [0]; - wr_cnt = 1; - INT = 0; - I2C_OUTPUT_TYPE = 3; - I2C_OUT_DESC_MASK = 8'h00; - last_isr = ISR; - end - else if ((last_isr == 1) && (ISR == 0)) - last_isr = ISR; - else if (wr_cnt != 0) begin - if (wr_cnt == 10) begin - wr_cnt = 0; + if (IS_RAM_INIT) begin + //report_wr_en = 1; + //report_data_wadr = report_data_wadr + 1; + //report_data_wr = 0; + wr_cnt = wr_cnt + 1; + if ((wr_cnt == 0) && (report_wr_en == 0)) + report_wr_en = 1; + else if ((wr_cnt == 0) && (report_wr_en == 1)) begin report_wr_en = 0; + IS_RAM_INIT = 0; end - else begin - report_data_wadr = ring_wr * 10 + wr_cnt; - if ((wr_cnt == 1) || (wr_cnt == 3)) - report_data_wr = 0; - else if (wr_cnt == 2) - report_data_wr = kbd_report [wr_cnt - 2]; - else - report_data_wr = kbd_report [wr_cnt - 3]; - wr_cnt = wr_cnt + 1; - end + /*if (report_data_wadr == 17) begin + report_wr_en = 0; + IS_RAM_INIT = 0; + end*/ end - else if ((last_wr == 0) && (I2C_WR == 1)) begin // I2C NEW BYTE TX/RX - I2C_INPUT_LEN = I2C_COUNTER - 1; - if (I2C_READ == 0) begin // I2C_FROM_HOST + else begin // START OF NON RESET AND NON INIT LOGIC - if (I2C_COUNTER == 2) begin - if ((I2C_RX > 5) || (I2C_RX < 1)) - i2c_input_data_type = 0; - else - i2c_input_data_type = I2C_RX; +// ------------------------- KBD INTERRUPT ------------------------ // + + if ((last_isr == 1/*0*/) && (ISR == 0/*1*/)) begin // INTERRUPT FROM KEYBOARD + if ((ring_wr + 1) != ring_rd) + ring_wr = ring_wr + 1; + report_wr_en = 1; + //report_data_wadr = ring_wr * 16 + 1; + //report_data_wr = 10;//kbd_report [0]; + wr_cnt = 1; + //INT = 0; + //I2C_OUTPUT_TYPE = 2;//3; + //I2C_OUT_DESC_MASK = 8'h00; + end + + else if (wr_cnt != 0) begin // WRITING TO RAM REPORT FROM KEYBOARD + if (wr_cnt == 11) begin + wr_cnt = 0; + report_wr_en = 0; end - else if (I2C_COUNTER == 3) begin - if (I2C_RX != 0) - i2c_input_data_type = 0; + else begin + //report_data_wadr = ring_wr * 16 + wr_cnt + 1; + /*if ((wr_cnt == 1) || (wr_cnt == 3)) + report_data_wr = 0; + else if (wr_cnt == 2) + report_data_wr = kbd_report [wr_cnt - 2]; + else + report_data_wr = kbd_report [wr_cnt - 3];*/ + wr_cnt = wr_cnt + 1; end - else if (I2C_COUNTER == 4) begin - if (i2c_input_data_type == 5) begin - case (I2C_RX) 0: i2c_input_data_type = 5; 16: i2c_input_data_type = 6; - 32: i2c_input_data_type = 7; default: i2c_input_data_type = 0; endcase + end + +// ---------------------------- I2C NEW BYTE TX/RX RISING/FALLING EDGE, RISING - ALL LOGIC, FALLING - UART TX -------------- // + + if ((last_wr == 0) && (I2C_WR == 1)) begin // I2C NEW BYTE TX/RX + //I2C_COUNTER = I2C_COUNTER - 1; + if (I2C_READ == 0) begin // I2C_FROM_HOST + + if (I2C_COUNTER == 0) begin + if ((I2C_RX > 5) || (I2C_RX < 1)) + i2c_input_data_type = 0; + else + i2c_input_data_type = I2C_RX; + end + else if (I2C_COUNTER == 1) begin + if (I2C_RX != 0) + i2c_input_data_type = 0; + end + else if (I2C_COUNTER == 2) begin + if (i2c_input_data_type == 5) begin + case (I2C_RX) 0: i2c_input_data_type = 5; 16: i2c_input_data_type = 6; + 32: i2c_input_data_type = 7; default: i2c_input_data_type = 0; endcase + end + end + else if (I2C_COUNTER == 3) begin + if (((i2c_input_data_type == 5) && (I2C_RX != 1)) || ((i2c_input_data_type == 6) && (I2C_RX != 2)) || ((i2c_input_data_type == 7) && (I2C_RX != 3))) + i2c_input_data_type = 0; + end + else if (I2C_COUNTER == 4) begin + if (i2c_input_data_type == 4) + temp_output_report = I2C_RX[2:0]; + else if (((i2c_input_data_type == 6) || (i2c_input_data_type == 7)) && (I2C_RX != 6)) + i2c_input_data_type = 0; + end + else if (I2C_COUNTER == 5) begin + if (((i2c_input_data_type == 6) || (i2c_input_data_type == 7)) && (I2C_RX != 0)) + i2c_input_data_type = 0; + end + else if (I2C_COUNTER == 8) begin + if (i2c_input_data_type == 7) + temp_output_report = I2C_RX[2:0]; end - end - else if (I2C_COUNTER == 5) begin - if (((i2c_input_data_type == 5) && (I2C_RX != 1)) || ((i2c_input_data_type == 6) && (I2C_RX != 2)) || ((i2c_input_data_type == 7) && (I2C_RX != 3))) - i2c_input_data_type = 0; - end - else if (I2C_COUNTER == 6) begin - if (i2c_input_data_type == 4) - temp_output_report = I2C_RX; - else if (((i2c_input_data_type == 6) || (i2c_input_data_type == 7)) && (I2C_RX != 6)) - i2c_input_data_type = 0; - end - else if (I2C_COUNTER == 7) begin - if (((i2c_input_data_type == 6) || (i2c_input_data_type == 7)) && (I2C_RX != 0)) - i2c_input_data_type = 0; - end - else if (I2C_COUNTER == 10) begin - if (i2c_input_data_type == 7) - temp_output_report = I2C_RX; - end - end + end - else begin // I2C_TO_HOST - if (I2C_OUTPUT_TYPE == 3) begin - //if ((I2C_COUNTER < 2) || (I2C_COUNTER > (2 + 10 - 1))) - // I2C_TX_REPORT <= 0; - /*else */if (I2C_COUNTER == 2) begin - if (ring_rd != ring_wr) - ring_rd = ring_rd + 1; - report_data_radr = ring_rd * 10; + else begin // I2C_TO_HOST + if (I2C_OUTPUT_TYPE == 2/*3*/) begin + if (I2C_COUNTER == 0) begin + if (ring_rd != ring_wr) + ring_rd = ring_rd + 1; + //report_data_radr = ring_rd * 10; + end + //else + // report_data_radr = report_data_radr + 1; end - else - report_data_radr = report_data_radr + 1; - //else if (I2C_COUNTER == 2) - // I2C_TX_REPORT <= 10; - //else if ((I2C_COUNTER == 3) || (I2C_COUNTER == 5)) begin - // I2C_TX_REPORT <= 0; - // if (ring_rd != ring_wr) - // ring_rd = ring_rd + 1; - // report_data_radr = ring_rd * 10; - //end - /*else if (I2C_COUNTER == 4) - I2C_TX_REPORT <= kbd_report[0];*/ - //else begin - // I2C_TX_REPORT = report_data_rd; - // report_data_radr = report_data_radr + 1; - //I2C_TX_REPORT <= kbd_report[I2C_COUNTER - 5]; - //end end - //else - // I2C_TX_REPORT <= 0; - end - last_wr = I2C_WR; - end // I2C NEW BYTE TX/RX - END - - else if ((last_wr == 1) && (I2C_WR == 0)) begin // I2C_NEW_BYTE_NEGEDGE_FOR_UART - UART_WR = 1; - if (I2C_READ == 0) - UART_TX_DATA = I2C_RX; - else - UART_TX_DATA = I2C_TX; - last_wr = I2C_WR; - end // I2C_NEW_BYTE_NEGEDGE_FOR_UART - END - - else if ((last_trans == 0) && (I2C_TRANS == 1)) begin // I2C_START_CONDITION OR REPEAT START (UART FF) - i2c_input_data_type = 0; // UNKNOWN DATA IN - uart_double_ff = 1; - UART_TX_DATA = 8'hFF; - UART_WR = 1; - last_trans = I2C_TRANS; - end // I2C_START_CONDITION (UART FF) - END - - else if ((last_trans == 1) && (I2C_TRANS == 0)) begin // I2C_STOP CONDITION (OR REPEAT START DETECTED) - KBD_FREEZE <= 0; - if (I2C_READ == 0) begin // DECODING PACKET RECEIVED FROM HOST - if (((i2c_input_data_type < 4) && (I2C_INPUT_LEN != 2)) || ((i2c_input_data_type == 4) && (I2C_INPUT_LEN != 5)) || ((i2c_input_data_type == 5) && (I2C_INPUT_LEN != 4)) || ((i2c_input_data_type == 6) && (I2C_INPUT_LEN != 6)) || ((i2c_input_data_type == 7) && (I2C_INPUT_LEN != 9))) - i2c_input_data_type = 0; - if ((i2c_input_data_type == 1) || (i2c_input_data_type == 2) || (i2c_input_data_type == 3)) - I2C_OUTPUT_TYPE = i2c_input_data_type; - else if ((i2c_input_data_type == 4) || (i2c_input_data_type == 7)) - KBD_LED_STATUS = temp_output_report; - else if (i2c_input_data_type == 6) - I2C_OUTPUT_TYPE = 3; - else if (i2c_input_data_type == 5) - rststate <= 4'h0; // RESET COMMAND - - if ((I2C_OUTPUT_TYPE == 1) || (I2C_OUTPUT_TYPE == 2)) - I2C_OUT_DESC_MASK = 8'hFF; + UART_WR <= 0; + if (I2C_COUNTER != 127) + I2C_COUNTER = I2C_COUNTER + 1; + end // I2C NEW BYTE TX/RX - END + + else if ((last_wr == 1) && (I2C_WR == 0)) begin // I2C_NEW_BYTE_NEGEDGE_FOR_UART + UART_WR = 1; + if (I2C_READ == 0) + UART_TX_DATA = I2C_RX; else - I2C_OUT_DESC_MASK = 8'h00; - end // END OF I2C_READ == 0 + UART_TX_DATA = I2C_TX; + //UART_TX_DATA = I2C_COUNTER; + end // I2C_NEW_BYTE_NEGEDGE_FOR_UART - END + +// ---------------------- I2C START/STOP CONDITIONS, START - ONLY UART FF TX, STOP - ALL LOGIC ----------------- // + + if ((last_trans == 0) && (I2C_TRANS == 1)) begin // I2C_START_CONDITION OR REPEAT START (UART FF) + i2c_input_data_type = 0; // UNKNOWN DATA IN + UART_TX_DATA = 8'hFF; + UART_WR = 1; + I2C_COUNTER = 0; + end // I2C_START_CONDITION (UART FF) - END + + else if ((last_trans == 1) && (I2C_TRANS == 0)) begin // I2C_STOP CONDITION (OR REPEAT START DETECTED) + //KBD_FREEZE <= 0; + if (I2C_READ == 0) begin // DECODING PACKET RECEIVED FROM HOST + if (((i2c_input_data_type < 4) && (I2C_COUNTER != 2)) || ((i2c_input_data_type == 4) && (I2C_COUNTER != 5)) || ((i2c_input_data_type == 5) && (I2C_COUNTER != 4)) || ((i2c_input_data_type == 6) && (I2C_COUNTER != 6)) || ((i2c_input_data_type == 7) && (I2C_COUNTER != 9))) + i2c_input_data_type = 0; + if ((i2c_input_data_type == 1) || (i2c_input_data_type == 2) || (i2c_input_data_type == 3)) + I2C_OUTPUT_TYPE = i2c_input_data_type - 1; + else if ((i2c_input_data_type == 4) || (i2c_input_data_type == 7)) + KBD_LED_STATUS = temp_output_report; + else if (i2c_input_data_type == 6) + I2C_OUTPUT_TYPE = 2;//3; + else if (i2c_input_data_type == 5) + rststate <= 4'h0; // RESET COMMAND + + if ((I2C_OUTPUT_TYPE == 1) || (I2C_OUTPUT_TYPE == 0))//2)) + I2C_OUT_DESC_MASK = 8'hFF; + else + I2C_OUT_DESC_MASK = 8'h00; + end // END OF I2C_READ == 0 - else begin - if (((I2C_OUTPUT_TYPE == 3) /*|| (I2C_OUTPUT_TYPE == 0)*/) && (I2C_INPUT_LEN > 1)) begin - // DEACTIVATING INTERRRUPT IF HOST READ INPUT REPORT (LEN 10) AFTER INTERRUPT OR EMPTY DATA (>=2 BYTES) AFTER RESET - //if (ring_rd == ring_wr) + else begin + if ((I2C_OUTPUT_TYPE == 2/*3*/) && (I2C_COUNTER > 1)) begin + // DEACTIVATING INTERRRUPT IF HOST READ INPUT REPORT (LEN 10) AFTER INTERRUPT OR EMPTY DATA (>=2 BYTES) AFTER RESET INT = 1; int_tmr = 0; - - //if (ring_rd != ring_wr) - // ring_rd = ring_rd + 1; + end + I2C_OUTPUT_TYPE = 2;//3; + I2C_OUT_DESC_MASK = 0; end - I2C_OUTPUT_TYPE = 3; - I2C_OUT_DESC_MASK = 0; - end - last_trans = I2C_TRANS; - end // I2C_STOP CONDITION (OR REPEAT START DETECTED) - END + UART_WR <= 0; + //last_trans = I2C_TRANS; + end // I2C_STOP CONDITION (OR REPEAT START DETECTED) - END - else if ((last_uart_active == 1) && (UART_ACTIVE == 0)) begin - if (uart_double_ff == 1) begin - UART_WR = 1; - UART_TX_DATA = 8'hFF; - uart_double_ff = 0; - end - last_uart_active = UART_ACTIVE; - end - else if ((last_uart_active == 0) && (UART_ACTIVE == 1)) - last_uart_active = UART_ACTIVE; +// ---------------- INTERRUPT TO HOST GENERATING LOGIC: DELAY AND INTERRUPT GENERATING (IF NEED) --------------- // - else if (UART_WR == 1) - UART_WR = 0; + //if (int_tmr != ((1<<(INTERRUPT_TMR_REFLESH+1))-1)) + if (int_tmr[INTERRUPT_TMR_REFLESH] != 1) + int_tmr = int_tmr + 1; - else if (int_tmr != ((1<<(INTERRUPT_TMR_REFLESH+1))-1))//[INTERRUPT_TMR_REFLESH] != 1) - int_tmr = int_tmr + 1; + else if ((I2C_OUTPUT_TYPE == 2/*3*/) && (I2C_TRANS == 0)) begin + if (ring_rd != ring_wr) + INT = 0; + end - else if (/*(int_tmr[INTERRUPT_TMR_REFLESH] == 1) &&*/ (I2C_OUTPUT_TYPE == 3) && (I2C_TRANS == 0)) begin - if (ring_rd != ring_wr) - INT = 0; - end - /*else if (wr_cnt != 0) begin - ring_report[ring_wr * 8 + wr_cnt] <= kbd_report[ (8 * wr_cnt + 7) : (8 * wr_cnt + 0) ]; - wr_cnt = wr_cnt + 1; - // if (wr_cnt == 0) // START ISR - end*/ + last_trans <= I2C_TRANS; + last_wr <= I2C_WR; + last_isr <= ISR; + end // END OF NON RESET AND NON INIT LOGIC end diff --git a/i2c_keyboard/uart.v b/i2c_keyboard/uart.v index b672f3a..87fce84 100644 --- a/i2c_keyboard/uart.v +++ b/i2c_keyboard/uart.v @@ -22,9 +22,10 @@ initial begin tx_line = 1; end -always @ (posedge CLK) begin +always @ (negedge CLK) begin if (RESET == 0) begin - /*tx_data = 0;*/ tx_clk_counter = 0; + /*tx_data = 0;*/ //tx_clk_counter = 0; + tx_activity = 0; end else begin