# Generated by Yosys 0.8 (Apio build) (git sha1 40e220b, gcc 4.8.4-2ubuntu1~14.04.3 -fPIC -Os) .model top .inputs CLK SCL SDA COM_RX KBD_COLUMNS[0] KBD_COLUMNS[1] KBD_COLUMNS[2] KBD_COLUMNS[3] KBD_COLUMNS[4] KBD_COLUMNS[5] KBD_COLUMNS[6] KBD_COLUMNS[7] KBD_ROWS[0] KBD_ROWS[1] KBD_ROWS[2] KBD_ROWS[3] KBD_ROWS[4] KBD_ROWS[5] KBD_ROWS[6] KBD_ROWS[7] KBD_ROWS[8] KBD_ROWS[9] KBD_ROWS[10] KBD_ROWS[11] KBD_ROWS[12] KBD_ROWS[13] KBD_ROWS[14] KBD_ROWS[15] .outputs LED1 LED2 LED3 LED4 LED5 SDA INTERRUPT COM_TX COM_DCD COM_DSR COM_RTS KBD_ROWS[0] KBD_ROWS[1] KBD_ROWS[2] KBD_ROWS[3] KBD_ROWS[4] KBD_ROWS[5] KBD_ROWS[6] KBD_ROWS[7] KBD_ROWS[8] KBD_ROWS[9] KBD_ROWS[10] KBD_ROWS[11] KBD_ROWS[12] KBD_ROWS[13] KBD_ROWS[14] KBD_ROWS[15] .names $false .names $true 1 .names $undef .gate SB_LUT4 I0=$abc$25847$n1173 I1=$abc$25847$n589 I2=$abc$25847$n584_1 I3=UART.tx_activity O=$abc$25847$n5 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000111111111 .gate SB_LUT4 I0=$abc$25847$n588 I1=$abc$25847$n585 I2=$abc$25847$n1245 I3=$abc$25847$n1231 O=$abc$25847$n584_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000110000001010 .gate SB_LUT4 I0=UART_TX_DATA[6] I1=UART_TX_DATA[4] I2=$abc$25847$n586_1 I3=$false O=$abc$25847$n585 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$25847$n1907 I1=$abc$25847$n1908 I2=$false I3=$false O=$abc$25847$n586_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0110 .gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=$false I3=$false O=$abc$25847$n1907 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0110 .gate SB_LUT4 I0=UART_TX_DATA[2] I1=UART_TX_DATA[0] I2=$abc$25847$n586_1 I3=$false O=$abc$25847$n588 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$25847$n591_1 I1=$abc$25847$n590 I2=$abc$25847$n1231 I3=$abc$25847$n1245 O=$abc$25847$n589 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100101000000000 .gate SB_LUT4 I0=UART_TX_DATA[7] I1=UART_TX_DATA[5] I2=$abc$25847$n586_1 I3=$false O=$abc$25847$n590 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=UART_TX_DATA[3] I1=UART_TX_DATA[1] I2=$abc$25847$n586_1 I3=$false O=$abc$25847$n591_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$25847$n710 I1=$abc$25847$n593 I2=$abc$25847$n719_1 I3=I2C.FLT_SCL.RESET O=$abc$25847$n7 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111100000000000 .gate SB_LUT4 I0=$abc$25847$n687 I1=I2C_TRANS I2=$3\int_tmr[14:0][14] I3=$2\I2C_OUTPUT_TYPE[2:0][1] O=$abc$25847$n593 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=$abc$25847$n595 I1=$abc$25847$n683 I2=I2C_OUTPUT_TYPE[1] I3=$abc$25847$n685 O=$2\I2C_OUTPUT_TYPE[2:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1011101111110000 .gate SB_LUT4 I0=$abc$25847$n681 I1=I2C_OUTPUT_TYPE[1] I2=$abc$25847$n679 I3=$abc$25847$n596 O=$abc$25847$n595 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1110000011101110 .gate SB_LUT4 I0=$abc$25847$n1911 I1=$abc$25847$n716 I2=$false I3=$false O=$abc$25847$n596 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$25847$n1223_1 I1=$abc$25847$n720 I2=$abc$25847$n598_1 I3=$abc$25847$n671 O=$abc$25847$n597_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000011111 .gate SB_LUT4 I0=$abc$25847$n642 I1=$abc$25847$n599 I2=$abc$25847$n644 I3=$abc$25847$n716 O=$abc$25847$n598_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000110100000000 .gate SB_LUT4 I0=$abc$25847$n607 I1=$abc$25847$n627 I2=$abc$25847$n600 I3=$abc$25847$n633 O=$abc$25847$n599 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111000100000000 .gate SB_LUT4 I0=I2C_COUNTER[1] I1=$abc$25847$n601_1 I2=$false I3=$false O=$abc$25847$n600 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n602 I1=I2C_COUNTER[0] I2=$false I3=$false O=$abc$25847$n601_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=I2C.received_byte[4] I1=$abc$25847$n604 I2=$abc$25847$n603_1 I3=$false O=$abc$25847$n602 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=I2C.received_byte[7] I1=I2C.received_byte[0] I2=I2C.received_byte[3] I3=I2C.received_byte[6] O=$abc$25847$n603_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=I2C.received_byte[1] I1=I2C.received_byte[2] I2=I2C.received_byte[5] I3=$false O=$abc$25847$n604 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000001 .gate SB_LUT4 I0=I2C_COUNTER[2] I1=I2C_COUNTER[4] I2=I2C_COUNTER[5] I3=I2C_COUNTER[6] O=$abc$25847$n606_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=$abc$25847$n610 I1=$abc$25847$n608 I2=$abc$25847$n1218 I3=$false O=$abc$25847$n607 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10001111 .gate SB_LUT4 I0=I2C_COUNTER[0] I1=$abc$25847$n602 I2=$false I3=$false O=$abc$25847$n608 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0111 .gate SB_LUT4 I0=$abc$25847$n614 I1=$abc$25847$n611 I2=$abc$25847$n617 I3=$abc$25847$n618 O=$abc$25847$n610 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0111000000000000 .gate SB_LUT4 I0=I2C_COUNTER[0] I1=I2C_COUNTER[1] I2=$abc$25847$n612 I3=$abc$25847$n613 O=$abc$25847$n611 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=I2C_COUNTER[4] I1=I2C_COUNTER[5] I2=I2C_COUNTER[6] I3=$false O=$abc$25847$n612 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000001 .gate SB_LUT4 I0=I2C_COUNTER[3] I1=I2C_COUNTER[2] I2=$false I3=$false O=$abc$25847$n613 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n616 I1=I2C.received_byte[1] I2=I2C.received_byte[2] I3=$abc$25847$n603_1 O=$abc$25847$n614 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[7] I2=I2C.received_byte[5] I3=I2C.received_byte[6] O=$abc$25847$n616 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=I2C_COUNTER[1] I1=$abc$25847$n613 I2=$abc$25847$n612 I3=$false O=$abc$25847$n617 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[1] I2=i2c_input_data_type[2] I3=$false O=$abc$25847$n618 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$25847$n622 I1=$abc$25847$n616 I2=$abc$25847$n621 I3=$abc$25847$n618 O=$abc$25847$n620 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0111111100000000 .gate SB_LUT4 I0=I2C.received_byte[2] I1=I2C.received_byte[3] I2=$false I3=$false O=$abc$25847$n621 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 .gate SB_LUT4 I0=i2c_input_data_type[0] I1=I2C.received_byte[0] I2=I2C.received_byte[1] I3=$false O=$abc$25847$n622 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10010000 .gate SB_LUT4 I0=$abc$25847$n625 I1=$abc$25847$n621 I2=$abc$25847$n616 I3=$abc$25847$n624 O=$abc$25847$n623 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0111111100000000 .gate SB_LUT4 I0=i2c_input_data_type[1] I1=i2c_input_data_type[3] I2=i2c_input_data_type[0] I3=i2c_input_data_type[2] O=$abc$25847$n624 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=I2C.received_byte[1] I1=I2C.received_byte[0] I2=$false I3=$false O=$abc$25847$n625 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n628 I1=$abc$25847$n629 I2=$abc$25847$n631 I3=$false O=$abc$25847$n627 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$25847$n603_1 I1=$abc$25847$n604 I2=$false I3=$false O=$abc$25847$n628 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$25847$n603_1 I1=$abc$25847$n630 I2=$false I3=$false O=$abc$25847$n629 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=I2C.received_byte[1] I1=I2C.received_byte[2] I2=I2C.received_byte[4] I3=I2C.received_byte[5] O=$abc$25847$n630 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=I2C_COUNTER[3] I1=$abc$25847$n624 I2=$abc$25847$n632 I3=$abc$25847$n606_1 O=$abc$25847$n631 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=I2C_COUNTER[0] I1=I2C_COUNTER[1] I2=$false I3=$false O=$abc$25847$n632 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n634 I1=i2c_input_data_type[2] I2=$false I3=$false O=$abc$25847$n633 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=I2C_COUNTER[0] I1=I2C_COUNTER[3] I2=I2C_COUNTER[1] I3=$abc$25847$n606_1 O=$abc$25847$n634 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=I2C.is_read I1=$abc$25847$n639 I2=$false I3=$false O=$abc$25847$n638 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=last_wr I1=I2C.wr I2=$false I3=$false O=$abc$25847$n639 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n641 I1=$abc$25847$n634 I2=$abc$25847$n638 I3=$abc$25847$n1951 O=$abc$25847$n640 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=$abc$25847$n616 I1=$abc$25847$n621 I2=$abc$25847$n625 I3=$abc$25847$n1955 O=$abc$25847$n641 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0111111100000000 .gate SB_LUT4 I0=$abc$25847$n643 I1=$abc$25847$n638 I2=$false I3=$false O=$abc$25847$n642 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n641 I1=$abc$25847$n634 I2=I2C.received_byte[2] I3=$abc$25847$n1951 O=$abc$25847$n643 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=$abc$25847$n638 I1=i2c_input_data_type[2] I2=$false I3=$false O=$abc$25847$n644 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$25847$n600 I1=$abc$25847$n607 I2=$abc$25847$n649 I3=$abc$25847$n647 O=$abc$25847$n719 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100010011110000 .gate SB_LUT4 I0=i2c_input_data_type[1] I1=$abc$25847$n648 I2=$abc$25847$n634 I3=$abc$25847$n638 O=$abc$25847$n647 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000111000000000 .gate SB_LUT4 I0=$abc$25847$n628 I1=I2C.received_byte[4] I2=$abc$25847$n629 I3=$abc$25847$n631 O=$abc$25847$n648 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111100000000000 .gate SB_LUT4 I0=$abc$25847$n638 I1=i2c_input_data_type[1] I2=$abc$25847$n640 I3=I2C.received_byte[1] O=$abc$25847$n649 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000101110111011 .gate SB_LUT4 I0=$abc$25847$n1923 I1=$abc$25847$n1921 I2=$abc$25847$n1922 I3=$false O=$abc$25847$n652 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=I2C_COUNTER[6] I1=$abc$25847$n1415 I2=$abc$25847$n654 I3=$false O=$abc$25847$n1923 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=$abc$25847$n656 I1=$abc$25847$n655 I2=$abc$25847$n639 I3=$false O=$abc$25847$n654 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01110000 .gate SB_LUT4 I0=I2C_COUNTER[4] I1=I2C_COUNTER[5] I2=I2C_COUNTER[6] I3=$false O=$abc$25847$n655 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=I2C_COUNTER[0] I1=I2C_COUNTER[2] I2=I2C_COUNTER[3] I3=I2C_COUNTER[1] O=$abc$25847$n656 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=I2C_COUNTER[4] I1=$abc$25847$n1411 I2=$abc$25847$n654 I3=$false O=$abc$25847$n1921 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=I2C_COUNTER[5] I1=$abc$25847$n1413 I2=$abc$25847$n654 I3=$false O=$abc$25847$n1922 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=I2C_COUNTER[3] I1=$abc$25847$n1409 I2=$abc$25847$n654 I3=$false O=$abc$25847$n1920 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=I2C_COUNTER[2] I1=$abc$25847$n1407 I2=$abc$25847$n654 I3=$false O=$abc$25847$n1919 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=I2C_COUNTER[0] I1=$abc$25847$n654 I2=I2C_COUNTER[1] I3=$false O=$abc$25847$n1918 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000111 .gate SB_LUT4 I0=I2C_COUNTER[0] I1=$abc$25847$n1403 I2=$abc$25847$n654 I3=$false O=$abc$25847$n663 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$25847$n1919 I1=$abc$25847$n1920 I2=$false I3=$false O=$abc$25847$n665 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n667 I1=$abc$25847$n669 I2=i2c_input_data_type[0] I3=$abc$25847$n670_1 O=$abc$25847$n720 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000001001111 .gate SB_LUT4 I0=$abc$25847$n610 I1=$abc$25847$n608 I2=$abc$25847$n1218 I3=$abc$25847$n668 O=$abc$25847$n667 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0111000000000000 .gate SB_LUT4 I0=$abc$25847$n629 I1=$abc$25847$n602 I2=$abc$25847$n631 I3=$abc$25847$n634 O=$abc$25847$n668 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000011101111 .gate SB_LUT4 I0=I2C_COUNTER[1] I1=$abc$25847$n601_1 I2=$abc$25847$n638 I3=$false O=$abc$25847$n669 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10110000 .gate SB_LUT4 I0=$abc$25847$n640 I1=I2C.received_byte[0] I2=$false I3=$false O=$abc$25847$n670_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$25847$n1918 I1=$abc$25847$n672 I2=$abc$25847$n652 I3=$abc$25847$n1952 O=$abc$25847$n671 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1011111100000000 .gate SB_LUT4 I0=$abc$25847$n663 I1=$abc$25847$n1919 I2=$abc$25847$n1920 I3=$false O=$abc$25847$n672 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$25847$n599 I1=$abc$25847$n642 I2=$abc$25847$n644 I3=$false O=$abc$25847$n1911 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11110100 .gate SB_LUT4 I0=$abc$25847$n597_1 I1=$abc$25847$n1226_1 I2=$abc$25847$n719 I3=$22\i2c_input_data_type[3:0][0] O=$abc$25847$n679 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000011110100 .gate SB_LUT4 I0=$abc$25847$n1223_1 I1=$abc$25847$n598_1 I2=$abc$25847$n720 I3=$abc$25847$n671 O=$22\i2c_input_data_type[3:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000111 .gate SB_LUT4 I0=$abc$25847$n1226_1 I1=$abc$25847$n597_1 I2=$abc$25847$n719 I3=$false O=$abc$25847$n681 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000001 .gate SB_LUT4 I0=$abc$25847$n684 I1=$abc$25847$n596 I2=I2C.is_read I3=$false O=$abc$25847$n683 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000111 .gate SB_LUT4 I0=$abc$25847$n719 I1=$22\i2c_input_data_type[3:0][0] I2=$false I3=$false O=$abc$25847$n684 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=$false I3=$false O=$abc$25847$n685 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=I2C.i2c_state_machine I1=I2C.i2c_start_latency I2=$false I3=$false O=I2C_TRANS .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$2\ring_rd[3:0][3] I1=$2\ring_wr[3:0][3] I2=$abc$25847$n688 I3=$abc$25847$n703 O=$abc$25847$n687 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000000000 .gate SB_LUT4 I0=$abc$25847$n689 I1=$2\ring_wr[3:0][1] I2=ring_rd[1] I3=$false O=$abc$25847$n688 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01101001 .gate SB_LUT4 I0=$abc$25847$n690_1 I1=ring_rd[0] I2=$false I3=$false O=$abc$25847$n689 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$25847$n698 I1=$abc$25847$n691 I2=$abc$25847$n701 I3=I2C.is_read O=$abc$25847$n690_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0111000000000000 .gate SB_LUT4 I0=$2\ring_wr[3:0][3] I1=ring_rd[3] I2=ring_rd[2] I3=$2\ring_wr[3:0][2] O=$abc$25847$n691 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=ring_wr[3] I1=$abc$25847$n1540 I2=$abc$25847$n693_1 I3=$false O=$2\ring_wr[3:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$25847$n696 I1=$abc$25847$n694 I2=KEYBOARD.isr I3=last_isr O=$abc$25847$n693_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000011100000000 .gate SB_LUT4 I0=$abc$25847$n526 I1=ring_rd[2] I2=$abc$25847$n1537 I3=$abc$25847$n695 O=$abc$25847$n694 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000100000000 .gate SB_LUT4 I0=ring_rd[0] I1=$abc$25847$n1531 I2=ring_rd[3] I3=$abc$25847$n1540 O=$abc$25847$n695 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=ring_rd[1] I1=ring_wr[0] I2=ring_wr[1] I3=$false O=$abc$25847$n696 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01101001 .gate SB_LUT4 I0=ring_wr[2] I1=$abc$25847$n1537 I2=$abc$25847$n693_1 I3=$false O=$2\ring_wr[3:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$2\ring_wr[3:0][0] I1=ring_rd[0] I2=$2\ring_wr[3:0][1] I3=ring_rd[1] O=$abc$25847$n698 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=ring_wr[0] I1=$abc$25847$n1531 I2=$abc$25847$n693_1 I3=$false O=$2\ring_wr[3:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$25847$n693_1 I1=ring_wr[0] I2=ring_wr[1] I3=$false O=$2\ring_wr[3:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01111000 .gate SB_LUT4 I0=$abc$25847$n634 I1=$abc$25847$n702_1 I2=$abc$25847$n639 I3=$false O=$abc$25847$n701 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=I2C_HID_DESC.DESC_TYPE I1=I2C_OUTPUT_TYPE[2] I2=I2C_OUTPUT_TYPE[1] I3=$false O=$abc$25847$n702_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$2\ring_rd[3:0][2] I1=$2\ring_wr[3:0][2] I2=$2\ring_rd[3:0][0] I3=$2\ring_wr[3:0][0] O=$abc$25847$n703 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=ring_rd[2] I1=$abc$25847$n1424 I2=$abc$25847$n690_1 I3=$false O=$2\ring_rd[3:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=ring_rd[0] I1=$abc$25847$n1418 I2=$abc$25847$n690_1 I3=$false O=$2\ring_rd[3:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=ring_rd[3] I1=$abc$25847$n1427 I2=$abc$25847$n690_1 I3=$false O=$2\ring_rd[3:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$25847$n708_1 I1=int_tmr[14] I2=$false I3=$false O=$3\int_tmr[14:0][14] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n1947 I1=$abc$25847$n702_1 I2=$abc$25847$n709 I3=$false O=$abc$25847$n708_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$25847$n685 I1=I2C.is_read I2=$false I3=$false O=$abc$25847$n709 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$25847$n715 I1=$abc$25847$n716_1 I2=$2\I2C_OUTPUT_TYPE[2:0][0] I3=$abc$25847$n718 O=$abc$25847$n710 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000111 .gate SB_LUT4 I0=$abc$25847$n713 I1=$abc$25847$n712_1 I2=$abc$25847$n715 I3=$abc$25847$n714 O=$2\I2C_OUTPUT_TYPE[2:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111100010000 .gate SB_LUT4 I0=$abc$25847$n679 I1=$abc$25847$n1333 I2=$abc$25847$n596 I3=$false O=$abc$25847$n712_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$25847$n681 I1=I2C_HID_DESC.DESC_TYPE I2=$abc$25847$n679 I3=$abc$25847$n596 O=$abc$25847$n713 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1011000010111011 .gate SB_LUT4 I0=$abc$25847$n685 I1=I2C_HID_DESC.DESC_TYPE I2=$false I3=$false O=$abc$25847$n714 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=I2C.is_read I1=$abc$25847$n685 I2=$false I3=$false O=$abc$25847$n715 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n1335 I1=$abc$25847$n717 I2=$abc$25847$n679 I3=$abc$25847$n596 O=$abc$25847$n716_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100101011001100 .gate SB_LUT4 I0=$abc$25847$n681 I1=I2C_OUTPUT_TYPE[2] I2=$false I3=$false O=$abc$25847$n717 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n685 I1=I2C_OUTPUT_TYPE[2] I2=$false I3=$false O=$abc$25847$n718 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n708_1 I1=LED1 I2=$false I3=$false O=$abc$25847$n719_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=rststate[3] I1=rststate[2] I2=rststate[1] I3=rststate[0] O=I2C.FLT_SCL.RESET .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=I2C.i2c_start_latency I1=I2C.i2c_state_machine I2=$abc$25847$n1053 I3=I2C.FLT_SCL.RESET O=$abc$25847$n101 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100111100000000 .gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$25847$n8 I2=I2C.FLT_SCL.out I3=$false O=$abc$25847$n1053 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11101111 .gate SB_LUT4 I0=$abc$25847$n724_1 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$25847$n115 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=UART.TX_sig_last I1=UART_WR I2=UART.tx_activity I3=$false O=$abc$25847$n724_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00001011 .gate SB_LUT4 I0=UART.tx_activity I1=$abc$25847$n726_1 I2=$abc$25847$n728 I3=$abc$25847$n115 O=$abc$25847$n116 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000110100000000 .gate SB_LUT4 I0=$abc$25847$n1169 I1=$abc$25847$n883 I2=$abc$25847$n886 I3=$abc$25847$n887 O=$abc$25847$n726_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=UART.tx_clk_counter[1] I1=UART.tx_clk_counter[0] I2=$false I3=$false O=$abc$25847$n1169 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1001 .gate SB_LUT4 I0=UART.tx_bit_counter[3] I1=$abc$25847$n729_1 I2=$false I3=$false O=$abc$25847$n728 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=UART.tx_bit_counter[2] I3=UART.tx_activity O=$abc$25847$n729_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$abc$25847$n149 I3=$abc$25847$n732_1 O=$abc$25847$n129 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100111111111111 .gate SB_LUT4 I0=IS_RAM_INIT I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$25847$n149 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=wr_cnt[2] I3=wr_cnt[3] O=$abc$25847$n732_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=$abc$25847$n135 I1=$abc$25847$n736 I2=$abc$25847$n734 I3=$false O=$abc$25847$n131 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00001110 .gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$abc$25847$n735 I3=$abc$25847$n149 O=$abc$25847$n734 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000101100000000 .gate SB_LUT4 I0=wr_cnt[2] I1=wr_cnt[1] I2=wr_cnt[0] I3=wr_cnt[3] O=$abc$25847$n735 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=$abc$25847$n737 I3=$false O=$abc$25847$n736 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10010000 .gate SB_LUT4 I0=$abc$25847$n897 I1=$abc$25847$n900 I2=$abc$25847$n901 I3=$false O=$abc$25847$n737 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000001 .gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=IS_RAM_INIT I2=$false I3=$false O=$abc$25847$n135 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0111 .gate SB_LUT4 I0=$abc$25847$n740 I1=I2C.wr I2=last_wr I3=$abc$25847$n135 O=$abc$25847$n136 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0111110100000000 .gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=I2C.FLT_SCL.RESET I3=$false O=$abc$25847$n740 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10010000 .gate SB_LUT4 I0=last_trans I1=I2C_TRANS I2=$false I3=$false O=$abc$25847$n141 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$abc$25847$n141 I3=$abc$25847$n149 O=$abc$25847$n150 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111010000000000 .gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$25847$n685 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$25847$n157 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01001111 .gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$25847$n715 I2=I2C.FLT_SCL.RESET I3=$abc$25847$n745 O=$abc$25847$n184 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000001001111 .gate SB_LUT4 I0=$abc$25847$n679 I1=$abc$25847$n684 I2=$abc$25847$n746 I3=I2C.FLT_SCL.RESET O=$abc$25847$n745 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001111100000000 .gate SB_LUT4 I0=$22\i2c_input_data_type[3:0][2] I1=$abc$25847$n716 I2=$false I3=$false O=$abc$25847$n746 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$25847$n748 I1=$abc$25847$n1911 I2=$false I3=$false O=$22\i2c_input_data_type[3:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$25847$n597_1 I1=$abc$25847$n1226_1 I2=$false I3=$false O=$abc$25847$n748 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n751 I1=$abc$25847$n1700 I2=$false I3=$false O=$abc$25847$n236 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n752 I1=$abc$25847$n754 I2=$abc$25847$n755 I3=$false O=$abc$25847$n751 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$25847$n753 I1=KEYBOARD.row_time[10] I2=KEYBOARD.row_time[11] I3=KEYBOARD.row_time[13] O=$abc$25847$n752 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=KEYBOARD.row_time[5] I1=KEYBOARD.row_time[6] I2=KEYBOARD.row_time[7] I3=KEYBOARD.row_time[9] O=$abc$25847$n753 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[1] I2=KEYBOARD.row_time[2] I3=KEYBOARD.row_time[3] O=$abc$25847$n754 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[8] I2=KEYBOARD.row_time[12] I3=KEYBOARD.row_time[14] O=$abc$25847$n755 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=$abc$25847$n757 I1=$abc$25847$n787 I2=$abc$25847$n794 I3=$abc$25847$n828_1 O=$abc$25847$n267 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=$abc$25847$n783 I1=$abc$25847$n780 I2=$abc$25847$n758 I3=$abc$25847$n149 O=$abc$25847$n757 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000011100000000 .gate SB_LUT4 I0=$abc$25847$n778 I1=$abc$25847$n759 I2=$abc$25847$n779 I3=$false O=$abc$25847$n758 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11100000 .gate SB_LUT4 I0=$abc$25847$n760 I1=$abc$25847$n775 I2=$false I3=$false O=$abc$25847$n759 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$25847$n761 I1=$abc$25847$n774 I2=$false I3=$false O=$abc$25847$n760 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$25847$n762_1 I1=$abc$25847$n770 I2=$abc$25847$n939 I3=$false O=$abc$25847$n761 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$25847$n763 I1=$abc$25847$n768_1 I2=$abc$25847$n1697 I3=$false O=$abc$25847$n762_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$25847$n943 I1=$abc$25847$n944 I2=$abc$25847$n946 I3=$abc$25847$n947 O=$abc$25847$n763 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=$abc$25847$n751 I1=$abc$25847$n1704 I2=$false I3=$false O=$abc$25847$n943 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n751 I1=$abc$25847$n1705 I2=$false I3=$false O=$abc$25847$n944 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n751 I1=$abc$25847$n1706 I2=$false I3=$false O=$abc$25847$n946 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n751 I1=$abc$25847$n1707 I2=$false I3=$false O=$abc$25847$n947 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n817 I1=$abc$25847$n1698 I2=$abc$25847$n1700 I3=$false O=$abc$25847$n768_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$25847$n751 I1=$abc$25847$n1699 I2=$false I3=$false O=$abc$25847$n817 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n805 I1=$abc$25847$n1695 I2=$abc$25847$n823 I3=$false O=$abc$25847$n770 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$25847$n751 I1=$abc$25847$n1693 I2=$false I3=$false O=$abc$25847$n805 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[1] I2=$false I3=$false O=$abc$25847$n823 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0110 .gate SB_LUT4 I0=$abc$25847$n751 I1=$abc$25847$n1696 I2=$false I3=$false O=$abc$25847$n939 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n1950 I1=$abc$25847$n763 I2=$false I3=$false O=$abc$25847$n774 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n823 I1=$abc$25847$n776 I2=$abc$25847$n762_1 I3=$false O=$abc$25847$n775 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$25847$n805 I1=$abc$25847$n808 I2=$abc$25847$n1696 I3=$false O=$abc$25847$n776 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$25847$n751 I1=$abc$25847$n1695 I2=$false I3=$false O=$abc$25847$n808 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n774 I1=$abc$25847$n761 I2=$false I3=$false O=$abc$25847$n778 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$25847$n149 I2=$false I3=$false O=$abc$25847$n779 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n781 I1=$abc$25847$n779 I2=$abc$25847$n782 I3=$false O=$abc$25847$n780 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$25847$n775 I1=$abc$25847$n760 I2=$false I3=$false O=$abc$25847$n781 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=KEYBOARD.report_wr_en I1=KEYBOARD.isr_internal I2=$false I3=$false O=$abc$25847$n782 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[6] I1=$abc$25847$n786_1 I2=$abc$25847$n784 I3=$false O=$abc$25847$n783 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=$abc$25847$n785_1 I2=$false I3=$false O=$abc$25847$n784 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=$false I3=$false O=$abc$25847$n785_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[4] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.kbd_code_hid[7] O=$abc$25847$n786_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=$abc$25847$n790 I1=$abc$25847$n789 I2=KEYBOARD.isr_internal I3=$abc$25847$n788 O=$abc$25847$n787 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0111000001110111 .gate SB_LUT4 I0=$abc$25847$n781 I1=$abc$25847$n779 I2=$false I3=$false O=$abc$25847$n788 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$25847$n779 I1=$abc$25847$n781 I2=$abc$25847$n782 I3=$false O=$abc$25847$n789 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$25847$n791 I1=KEYBOARD.report_adress_rd[2] I2=$abc$25847$n793 I3=KEYBOARD.report_adress_rd[3] O=$abc$25847$n790 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[4] I2=$abc$25847$n792 I3=$false O=$abc$25847$n791 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.kbd_code_hid[7] I3=$false O=$abc$25847$n792 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=KEYBOARD.report_adress_rd[0] I1=KEYBOARD.report_adress_rd[1] I2=$false I3=$false O=$abc$25847$n793 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n795 I1=$abc$25847$n801 I2=$abc$25847$n809 I3=$abc$25847$n280 O=$abc$25847$n794 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=$abc$25847$n796 I1=$abc$25847$n800 I2=$abc$25847$n781 I3=$false O=$abc$25847$n795 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$25847$n783 I1=$abc$25847$n797 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$25847$n796 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$25847$n799 I1=$abc$25847$n798 I2=$false I3=$false O=$abc$25847$n797 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=KEYBOARD.report_adress_rd[2] I1=$abc$25847$n793 I2=KEYBOARD.report_adress_rd[3] I3=$abc$25847$n791 O=$abc$25847$n798 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000010111111 .gate SB_LUT4 I0=KEYBOARD.report_adress_rd[2] I1=KEYBOARD.report_adress_rd[3] I2=$abc$25847$n793 I3=$false O=$abc$25847$n799 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.IS_RAM_INIT I2=$abc$25847$n782 I3=$false O=$abc$25847$n800 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$25847$n802 I1=$abc$25847$n808_1 I2=$abc$25847$n781 I3=$false O=$abc$25847$n801 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$25847$n803 I1=$abc$25847$n796 I2=$false I3=$false O=$abc$25847$n802 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n804 I1=$abc$25847$n805_1 I2=$abc$25847$n806 I3=$abc$25847$n807 O=$abc$25847$n803 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[0] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.REPORT.r_data[2] I3=KEYBOARD.kbd_code_hid[2] O=$abc$25847$n804 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[5] I1=KEYBOARD.kbd_code_hid[5] I2=KEYBOARD.REPORT.r_data[6] I3=KEYBOARD.kbd_code_hid[6] O=$abc$25847$n805_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.REPORT.r_data[4] I2=KEYBOARD.REPORT.r_data[7] I3=KEYBOARD.kbd_code_hid[7] O=$abc$25847$n806 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.REPORT.r_data[3] I2=KEYBOARD.REPORT.r_data[1] I3=KEYBOARD.kbd_code_hid[1] O=$abc$25847$n807 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KEYBOARD.is_pressed I2=$abc$25847$n782 I3=$false O=$abc$25847$n808_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$25847$n821 I1=$abc$25847$n820 I2=$abc$25847$n810 I3=I2C.FLT_SCL.RESET O=$abc$25847$n809 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$25847$n236 I2=$abc$25847$n1755 I3=$false O=$abc$25847$n810 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$25847$n817_1 I1=$abc$25847$n818 I2=$abc$25847$n1228 I3=$abc$25847$n819 O=$abc$25847$n1755 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111000000010001 .gate SB_LUT4 I0=$abc$25847$n751 I1=$abc$25847$n1703 I2=$false I3=$false O=$abc$25847$n814 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n751 I1=$abc$25847$n1701 I2=$false I3=$false O=$abc$25847$n815 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=KEYBOARD.COLUMN_SHADOW[0] I1=KEYBOARD.COLUMN_SHADOW[1] I2=$abc$25847$n814 I3=$abc$25847$n815 O=$abc$25847$n817_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000110000001010 .gate SB_LUT4 I0=KEYBOARD.COLUMN_SHADOW[4] I1=KEYBOARD.COLUMN_SHADOW[5] I2=$abc$25847$n815 I3=$abc$25847$n814 O=$abc$25847$n818 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100101000000000 .gate SB_LUT4 I0=$abc$25847$n751 I1=$abc$25847$n1702 I2=$false I3=$false O=$abc$25847$n819 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$25847$n774 I2=$false I3=$false O=$abc$25847$n820 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=KEYBOARD.is_ghost I1=$abc$25847$n805 I2=$abc$25847$n822 I3=$false O=$abc$25847$n821 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$25847$n817 I1=$abc$25847$n823 I2=$abc$25847$n823_1 I3=$false O=$abc$25847$n822 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$25847$n939 I1=$abc$25847$n808 I2=$abc$25847$n940 I3=$abc$25847$n941 O=$abc$25847$n823_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=$abc$25847$n751 I1=$abc$25847$n1697 I2=$false I3=$false O=$abc$25847$n940 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n751 I1=$abc$25847$n1698 I2=$false I3=$false O=$abc$25847$n941 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n236 I1=$abc$25847$n1755 I2=$abc$25847$n827 I3=$false O=$abc$25847$n280 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$25847$n820 I1=$abc$25847$n149 I2=$false I3=$false O=$abc$25847$n827 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$25847$n1262_1 I1=$abc$25847$n859_1 I2=$abc$25847$n789 I3=$false O=$abc$25847$n828_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00011111 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=$false I3=$false O=$abc$25847$n836 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.REPORT.r_data[2] I2=KEYBOARD.kbd_code_hid[2] I3=$abc$25847$n838 O=$abc$25847$n837 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100101011001100 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=$false I3=$false O=$abc$25847$n838 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[0] I1=KEYBOARD.is_pressed I2=$abc$25847$n784 I3=$false O=$abc$25847$n840_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=$false I3=$false O=$abc$25847$n842 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.REPORT.r_data[3] I2=KEYBOARD.kbd_code_hid[2] I3=$abc$25847$n842 O=$abc$25847$n844 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100101011001100 .gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.REPORT.r_data[5] I2=$abc$25847$n836 I3=KEYBOARD.kbd_code_hid[2] O=$abc$25847$n846_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0101001100110011 .gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[1] I1=KEYBOARD.is_pressed I2=$abc$25847$n850 I3=$false O=$abc$25847$n849 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=$abc$25847$n836 I2=$false I3=$false O=$abc$25847$n850 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.REPORT.r_data[6] I2=$abc$25847$n838 I3=KEYBOARD.kbd_code_hid[2] O=$abc$25847$n851 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0101001100110011 .gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.REPORT.r_data[4] I2=$abc$25847$n785_1 I3=KEYBOARD.kbd_code_hid[2] O=$abc$25847$n855 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0101001100110011 .gate SB_LUT4 I0=$abc$25847$n842 I1=KEYBOARD.kbd_code_hid[2] I2=$false I3=$false O=$abc$25847$n857 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$25847$n791 I1=$abc$25847$n799 I2=$false I3=$false O=$abc$25847$n859_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n788 I1=$abc$25847$n820 I2=$abc$25847$n149 I3=$false O=$abc$25847$n284 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$25847$n781 I1=KEYBOARD.isr_internal I2=$abc$25847$n779 I3=$abc$25847$n135 O=$abc$25847$n290 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000111100000000 .gate SB_LUT4 I0=$abc$25847$n757 I1=$abc$25847$n787 I2=$abc$25847$n863_1 I3=$abc$25847$n868 O=$abc$25847$n318 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=$abc$25847$n864 I1=$abc$25847$n865_1 I2=$abc$25847$n867 I3=$abc$25847$n820 O=$abc$25847$n863_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=$abc$25847$n802 I1=$abc$25847$n800 I2=$false I3=$false O=$abc$25847$n864 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$25847$n866 I1=$abc$25847$n808_1 I2=$abc$25847$n781 I3=$false O=$abc$25847$n865_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$25847$n796 I1=$abc$25847$n803 I2=$false I3=$false O=$abc$25847$n866 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$25847$n781 I1=$abc$25847$n779 I2=KEYBOARD.report_wr_en I3=KEYBOARD.isr_internal O=$abc$25847$n867 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=$abc$25847$n869 I1=$abc$25847$n801 I2=$abc$25847$n789 I3=$abc$25847$n859_1 O=$abc$25847$n868 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000101110111011 .gate SB_LUT4 I0=$abc$25847$n870 I1=$abc$25847$n871_1 I2=$false I3=$false O=$abc$25847$n869 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[4] I1=KEYBOARD.REPORT.r_data[5] I2=KEYBOARD.REPORT.r_data[6] I3=KEYBOARD.REPORT.r_data[7] O=$abc$25847$n870 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[0] I1=KEYBOARD.REPORT.r_data[1] I2=KEYBOARD.REPORT.r_data[2] I3=KEYBOARD.REPORT.r_data[3] O=$abc$25847$n871_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=$abc$25847$n874 I1=$abc$25847$n873 I2=$abc$25847$n875 I3=$abc$25847$n878_1 O=$abc$25847$n367 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=$abc$25847$n783 I1=$abc$25847$n780 I2=$abc$25847$n758 I3=$false O=$abc$25847$n873 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000111 .gate SB_LUT4 I0=$abc$25847$n798 I1=$abc$25847$n789 I2=$false I3=$false O=$abc$25847$n874 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n869 I1=$abc$25847$n801 I2=$abc$25847$n867 I3=$abc$25847$n876 O=$abc$25847$n875 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000011100000000 .gate SB_LUT4 I0=$abc$25847$n1755 I1=$abc$25847$n877_1 I2=$abc$25847$n827 I3=$abc$25847$n135 O=$abc$25847$n876 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1110111100000000 .gate SB_LUT4 I0=$abc$25847$n1700 I1=$abc$25847$n805 I2=$abc$25847$n822 I3=$false O=$abc$25847$n877_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$25847$n865_1 I1=$abc$25847$n886_1 I2=$abc$25847$n887_1 I3=$abc$25847$n879 O=$abc$25847$n878_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=$abc$25847$n236 I1=$abc$25847$n880_1 I2=$abc$25847$n1755 I3=$abc$25847$n827 O=$abc$25847$n879 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1010001111111111 .gate SB_LUT4 I0=$abc$25847$n819 I1=$abc$25847$n884 I2=$abc$25847$n885 I3=$abc$25847$n881_1 O=$abc$25847$n880_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111000000000 .gate SB_LUT4 I0=$abc$25847$n882 I1=$abc$25847$n883_1 I2=$abc$25847$n819 I3=$abc$25847$n877_1 O=$abc$25847$n881_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1110111100000000 .gate SB_LUT4 I0=KEYBOARD.RAM.r_data[6] I1=KEYBOARD.RAM.r_data[7] I2=$abc$25847$n815 I3=$abc$25847$n814 O=$abc$25847$n882 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100101000000000 .gate SB_LUT4 I0=KEYBOARD.RAM.r_data[2] I1=KEYBOARD.RAM.r_data[3] I2=$abc$25847$n814 I3=$abc$25847$n815 O=$abc$25847$n883_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000110000001010 .gate SB_LUT4 I0=KEYBOARD.RAM.r_data[0] I1=KEYBOARD.RAM.r_data[1] I2=$abc$25847$n814 I3=$abc$25847$n815 O=$abc$25847$n884 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000110000001010 .gate SB_LUT4 I0=KEYBOARD.RAM.r_data[4] I1=KEYBOARD.RAM.r_data[5] I2=$abc$25847$n815 I3=$abc$25847$n814 O=$abc$25847$n885 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100101000000000 .gate SB_LUT4 I0=$abc$25847$n866 I1=$abc$25847$n800 I2=$abc$25847$n781 I3=$false O=$abc$25847$n886_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$25847$n888 I1=$abc$25847$n820 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$25847$n887_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$25847$n889_1 I1=$abc$25847$n821 I2=$abc$25847$n810 I3=$false O=$abc$25847$n888 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01110000 .gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[1] I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[3] I2=$abc$25847$n890 I3=$false O=$abc$25847$n889_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[0] I1=$abc$25847$n891 I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[2] I3=$false O=$abc$25847$n890 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[4] I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[7] I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[6] I3=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[5] O=$abc$25847$n891 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=IS_RAM_INIT I1=KEYBOARD.IS_RAM_INIT I2=I2C.FLT_SCL.RESET I3=$false O=$abc$25847$n384 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00011111 .gate SB_LUT4 I0=$abc$25847$n888 I1=$abc$25847$n894 I2=$abc$25847$n827 I3=$false O=$abc$25847$n387 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$25847$n1755 I1=$abc$25847$n877_1 I2=$abc$25847$n827 I3=$abc$25847$n879 O=$abc$25847$n894 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1110111100000000 .gate SB_LUT4 I0=$abc$25847$n896_1 I1=$abc$25847$n135 I2=$false I3=$false O=$abc$25847$n392 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n751 I1=KEYBOARD.IS_RAM_INIT I2=I2C.FLT_SCL.RESET I3=$false O=$abc$25847$n896_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$25847$n898 I2=$abc$25847$n896_1 I3=$false O=$abc$25847$n396 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$25847$n899 I1=$abc$25847$n754 I2=$abc$25847$n755 I3=$false O=$abc$25847$n898 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=KEYBOARD.row_time[10] I1=KEYBOARD.row_time[11] I2=KEYBOARD.row_time[13] I3=$abc$25847$n900_1 O=$abc$25847$n899 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=KEYBOARD.row_time[5] I1=KEYBOARD.row_time[6] I2=KEYBOARD.row_time[7] I3=KEYBOARD.row_time[9] O=$abc$25847$n900_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=$abc$25847$n758 I1=$abc$25847$n887_1 I2=$abc$25847$n894 I3=$abc$25847$n384 O=$abc$25847$n410 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=$abc$25847$n782 I1=$abc$25847$n781 I2=$abc$25847$n779 I3=$abc$25847$n384 O=$abc$25847$n415 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100111100000000 .gate SB_LUT4 I0=$abc$25847$n908 I1=$abc$25847$n912 I2=$abc$25847$n904 I3=$false O=$abc$25847$n434 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$25847$n906 I1=$abc$25847$n905 I2=I2C.FLT_SCL.RESET I3=$abc$25847$n907 O=$abc$25847$n904 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$abc$25847$n10 I2=$abc$25847$n906 I3=$abc$25847$n416 O=$abc$25847$n905 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000011111000 .gate SB_LUT4 I0=$abc$25847$n1053 I1=I2C.is_read I2=$false I3=$false O=$abc$25847$n906 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$25847$n8 I2=I2C.FLT_SCL.out I3=I2C.i2c_state_machine O=$abc$25847$n907 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0111111100010000 .gate SB_LUT4 I0=$abc$25847$n45 I1=$abc$25847$n909 I2=$false I3=$false O=$abc$25847$n908 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=I2C.i2c_bit_counter[0] I1=I2C.i2c_bit_counter[1] I2=$abc$25847$n1053 I3=$false O=$abc$25847$n909 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01100000 .gate SB_LUT4 I0=$abc$25847$n26 I1=$abc$25847$n52 I2=$abc$25847$n53 I3=$abc$25847$n1892 O=$abc$25847$n912 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=$abc$25847$n914_1 I1=$abc$25847$n912 I2=$abc$25847$n904 I3=$false O=$abc$25847$n441 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$25847$n909 I1=$abc$25847$n45 I2=$false I3=$false O=$abc$25847$n914_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$25847$n916_1 I1=$abc$25847$n912 I2=$abc$25847$n904 I3=$false O=$abc$25847$n452 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$25847$n909 I1=$abc$25847$n45 I2=$false I3=$false O=$abc$25847$n916_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$25847$n918 I1=$abc$25847$n912 I2=$abc$25847$n904 I3=$false O=$abc$25847$n462 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$25847$n909 I1=$abc$25847$n45 I2=$false I3=$false O=$abc$25847$n918 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n908 I1=$abc$25847$n920_1 I2=$abc$25847$n904 I3=$false O=$abc$25847$n469 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$25847$n26 I1=$abc$25847$n53 I2=$abc$25847$n52 I3=$abc$25847$n1892 O=$abc$25847$n920_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=$abc$25847$n914_1 I1=$abc$25847$n920_1 I2=$abc$25847$n904 I3=$false O=$abc$25847$n476 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$25847$n916_1 I1=$abc$25847$n920_1 I2=$abc$25847$n904 I3=$false O=$abc$25847$n485 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$25847$n918 I1=$abc$25847$n920_1 I2=$abc$25847$n904 I3=$false O=$abc$25847$n492 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$25847$n1174 I1=$abc$25847$n926 I2=$abc$25847$n1175 I3=$abc$25847$n892 O=$abc$25847$n501 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=I2C.FLT_SDA.counter[2] I3=$abc$25847$n889 O=$abc$25847$n1174 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111100000001 .gate SB_LUT4 I0=I2C.FLT_SDA.out I1=I2C.SDAF I2=$false I3=$false O=$abc$25847$n926 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1001 .gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=$false I3=$false O=$abc$25847$n1175 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1001 .gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=I2C.FLT_SDA.counter[2] I3=$abc$25847$n926 O=$abc$25847$n502 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111011111111 .gate SB_LUT4 I0=$abc$25847$n1177 I1=$abc$25847$n931 I2=$abc$25847$n1178 I3=$abc$25847$n896 O=$abc$25847$n511 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$abc$25847$n893 O=$abc$25847$n1177 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111100000001 .gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.SCLF I2=$false I3=$false O=$abc$25847$n931 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1001 .gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=$false I3=$false O=$abc$25847$n1178 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1001 .gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$abc$25847$n931 O=$abc$25847$n512 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111011111111 .gate SB_LUT4 I0=$abc$25847$n748 I1=$abc$25847$n719 I2=$false I3=$false O=$22\i2c_input_data_type[3:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$25847$n715 I1=$abc$25847$n716_1 I2=$abc$25847$n718 I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11111000 .gate SB_LUT4 I0=$abc$25847$n1053 I1=I2C.i2c_bit_counter[0] I2=$false I3=$false O=$abc$25847$n1056 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$25847$n1053 I1=I2C.i2c_bit_counter[1] I2=$false I3=$false O=$abc$25847$n1058 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$25847$n1053 I1=I2C.i2c_bit_counter[2] I2=$false I3=$false O=$abc$25847$n1060 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=I2C.i2c_bit_counter[3] I1=$abc$25847$n1053 I2=$false I3=$false O=$abc$25847$n1914 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=REPORT_DATA.r_data[2] I1=I2C_HID_DESC.VAL[2] I2=I2C_OUT_DESC_MASK[2] I3=$false O=$abc$25847$n945 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=REPORT_DATA.r_data[0] I1=I2C_HID_DESC.VAL[0] I2=I2C_OUT_DESC_MASK[0] I3=$false O=$abc$25847$n946_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=REPORT_DATA.r_data[4] I1=I2C_HID_DESC.VAL[4] I2=I2C_OUT_DESC_MASK[4] I3=$false O=$abc$25847$n949 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=REPORT_DATA.r_data[6] I1=I2C_HID_DESC.VAL[6] I2=I2C_OUT_DESC_MASK[6] I3=$false O=$abc$25847$n950_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=$abc$25847$n952_1 I1=$abc$25847$n955_1 I2=$abc$25847$n416 I3=$abc$25847$n958_1 O=$abc$25847$n951 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=$abc$25847$n954 I1=$abc$25847$n953_1 I2=$abc$25847$n52 I3=$abc$25847$n918 O=$abc$25847$n952_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0101001100000000 .gate SB_LUT4 I0=REPORT_DATA.r_data[3] I1=I2C_HID_DESC.VAL[3] I2=I2C_OUT_DESC_MASK[3] I3=$false O=$abc$25847$n953_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=REPORT_DATA.r_data[7] I1=I2C_HID_DESC.VAL[7] I2=I2C_OUT_DESC_MASK[7] I3=$false O=$abc$25847$n954 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=$abc$25847$n957_1 I1=$abc$25847$n956 I2=$abc$25847$n52 I3=$abc$25847$n914_1 O=$abc$25847$n955_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0101001100000000 .gate SB_LUT4 I0=REPORT_DATA.r_data[1] I1=I2C_HID_DESC.VAL[1] I2=I2C_OUT_DESC_MASK[1] I3=$false O=$abc$25847$n956 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=REPORT_DATA.r_data[5] I1=I2C_HID_DESC.VAL[5] I2=I2C_OUT_DESC_MASK[5] I3=$false O=$abc$25847$n957_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$abc$25847$n10 I2=$false I3=$false O=$abc$25847$n958_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$25847$n962 I1=$abc$25847$n963 I2=$false I3=$false O=$abc$25847$n961 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n1053 I1=I2C.is_ack I2=$false I3=$false O=$abc$25847$n962 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$25847$n958_1 I1=$abc$25847$n416 I2=$false I3=$false O=$abc$25847$n963 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$25847$n965 I1=$abc$25847$n966 I2=$false I3=$false O=$abc$25847$n964 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 .gate SB_LUT4 I0=I2C.is_adress I1=$abc$25847$n1053 I2=$false I3=$false O=$abc$25847$n965 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=I2C.received_byte[7] I1=$abc$25847$n630 I2=I2C.received_byte[3] I3=I2C.received_byte[6] O=$abc$25847$n966 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=$abc$25847$n8 I3=I2C.SDA_DIR O=$abc$25847$n968_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0111110100000000 .gate SB_LUT4 I0=$abc$25847$n962 I1=$abc$25847$n416 I2=$abc$25847$n958_1 I3=$abc$25847$n968_1 O=$abc$25847$n969 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000111100000000 .gate SB_LUT4 I0=$abc$25847$n972_1 I1=$abc$25847$n971_1 I2=$abc$25847$n906 I3=$abc$25847$n907 O=$abc$25847$n1074 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0101001100000000 .gate SB_LUT4 I0=$abc$25847$n961 I1=$abc$25847$n964 I2=$false I3=$false O=$abc$25847$n971_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$25847$n973 I1=$abc$25847$n974 I2=$false I3=$false O=$abc$25847$n972_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$25847$n962 I1=I2C.FLT_SCL.out I2=$abc$25847$n10 I3=$abc$25847$n416 O=$abc$25847$n973 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$abc$25847$n8 I2=I2C.SDA_DIR I3=I2C.FLT_SDA.out O=$abc$25847$n974 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000111100000000 .gate SB_LUT4 I0=$abc$25847$n976 I1=$abc$25847$n961 I2=$abc$25847$n906 I3=$false O=$abc$25847$n1076 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11111000 .gate SB_LUT4 I0=$abc$25847$n965 I1=$abc$25847$n907 I2=I2C.received_byte[0] I3=$false O=$abc$25847$n976 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$25847$n982 I1=$abc$25847$n45 I2=$abc$25847$n978 I3=$abc$25847$n1056 O=$abc$25847$n1078 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000111110001000 .gate SB_LUT4 I0=$abc$25847$n973 I1=$abc$25847$n982 I2=$abc$25847$n979 I3=$abc$25847$n980 O=$abc$25847$n978 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000110010101111 .gate SB_LUT4 I0=$abc$25847$n416 I1=$abc$25847$n958_1 I2=$abc$25847$n906 I3=$false O=$abc$25847$n979 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10110000 .gate SB_LUT4 I0=$abc$25847$n962 I1=$abc$25847$n963 I2=$abc$25847$n906 I3=$abc$25847$n907 O=$abc$25847$n980 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000011111111111 .gate SB_LUT4 I0=$abc$25847$n958_1 I1=$abc$25847$n906 I2=$abc$25847$n907 I3=$abc$25847$n905 O=$abc$25847$n982 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1011000000000000 .gate SB_LUT4 I0=$abc$25847$n909 I1=$abc$25847$n982 I2=$abc$25847$n978 I3=$abc$25847$n1058 O=$abc$25847$n1080 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100111101000100 .gate SB_LUT4 I0=$abc$25847$n982 I1=$abc$25847$n52 I2=$abc$25847$n978 I3=$abc$25847$n1060 O=$abc$25847$n1082 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000111110001000 .gate SB_LUT4 I0=$abc$25847$n26 I1=$abc$25847$n982 I2=$abc$25847$n978 I3=$abc$25847$n1914 O=$abc$25847$n1084 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1011000010111011 .gate SB_LUT4 I0=$abc$25847$n906 I1=$abc$25847$n973 I2=$abc$25847$n907 I3=$abc$25847$n965 O=$abc$25847$n1086 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000010111111 .gate SB_LUT4 I0=$abc$25847$n992 I1=$abc$25847$n993 I2=$abc$25847$n991 I3=$abc$25847$n980 O=$abc$25847$n1090 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1110111011100000 .gate SB_LUT4 I0=$abc$25847$n972_1 I1=$abc$25847$n979 I2=$false I3=$false O=$abc$25847$n991 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n965 I1=$abc$25847$n906 I2=$abc$25847$n973 I3=$abc$25847$n907 O=$abc$25847$n992 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1110000000000000 .gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=$abc$25847$n8 I3=I2C.wr O=$abc$25847$n993 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0111110100000000 .gate SB_LUT4 I0=$abc$25847$n728 I1=$abc$25847$n726_1 I2=$abc$25847$n724_1 I3=$false O=$abc$25847$n1166 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000111 .gate SB_LUT4 I0=$abc$25847$n726_1 I1=$abc$25847$n883 I2=$false I3=$false O=$abc$25847$n1168 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 .gate SB_LUT4 I0=$abc$25847$n726_1 I1=$abc$25847$n886 I2=$false I3=$false O=$abc$25847$n1170 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 .gate SB_LUT4 I0=$abc$25847$n726_1 I1=$abc$25847$n887 I2=$false I3=$false O=$abc$25847$n1171 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 .gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=I2C.FLT_SDA.counter[2] I3=$abc$25847$n892 O=$abc$25847$n1176 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111100000001 .gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$abc$25847$n896 O=$abc$25847$n1179 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111100000001 .gate SB_LUT4 I0=$abc$25847$n708_1 I1=int_tmr[0] I2=$false I3=$false O=$3\int_tmr[14:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n1249 I1=int_tmr[0] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$25847$n708_1 I1=int_tmr[1] I2=$false I3=$false O=$3\int_tmr[14:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=int_tmr[14] I1=int_tmr[0] I2=$abc$25847$n708_1 I3=int_tmr[1] O=$2\int_tmr[14:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000101100000100 .gate SB_LUT4 I0=$abc$25847$n708_1 I1=int_tmr[2] I2=$false I3=$false O=$3\int_tmr[14:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n1256 I1=int_tmr[2] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$25847$n708_1 I1=int_tmr[3] I2=$false I3=$false O=$3\int_tmr[14:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n1259 I1=int_tmr[3] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$25847$n708_1 I1=int_tmr[4] I2=$false I3=$false O=$3\int_tmr[14:0][4] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n1262 I1=int_tmr[4] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][4] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$25847$n708_1 I1=int_tmr[5] I2=$false I3=$false O=$3\int_tmr[14:0][5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n1265 I1=int_tmr[5] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$25847$n708_1 I1=int_tmr[6] I2=$false I3=$false O=$3\int_tmr[14:0][6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n1268 I1=int_tmr[6] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$25847$n708_1 I1=int_tmr[7] I2=$false I3=$false O=$3\int_tmr[14:0][7] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n1271 I1=int_tmr[7] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][7] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$25847$n708_1 I1=int_tmr[8] I2=$false I3=$false O=$3\int_tmr[14:0][8] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n1274 I1=int_tmr[8] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][8] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$25847$n708_1 I1=int_tmr[9] I2=$false I3=$false O=$3\int_tmr[14:0][9] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n1277 I1=int_tmr[9] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][9] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$25847$n708_1 I1=int_tmr[10] I2=$false I3=$false O=$3\int_tmr[14:0][10] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n1280 I1=int_tmr[10] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][10] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$25847$n708_1 I1=int_tmr[11] I2=$false I3=$false O=$3\int_tmr[14:0][11] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n1283 I1=int_tmr[11] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][11] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$25847$n708_1 I1=int_tmr[12] I2=$false I3=$false O=$3\int_tmr[14:0][12] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n1286 I1=int_tmr[12] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][12] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$25847$n708_1 I1=int_tmr[13] I2=$false I3=$false O=$3\int_tmr[14:0][13] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n1289 I1=int_tmr[13] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][13] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$3\int_tmr[14:0][14] I1=$abc$25847$n1292 I2=$false I3=$false O=$2\int_tmr[14:0][14] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 .gate SB_LUT4 I0=$abc$25847$n716_1 I1=$abc$25847$n595 I2=$abc$25847$n683 I3=$false O=$2\I2C_OUT_DESC_MASK[7:0][7] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=i2c_input_data_type[0] I1=$abc$25847$n1031 I2=$abc$25847$n709 I3=$false O=$20\i2c_input_data_type[3:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10101100 .gate SB_LUT4 I0=$abc$25847$n685 I1=$abc$25847$n597_1 I2=$abc$25847$n720 I3=$false O=$abc$25847$n1031 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00001101 .gate SB_LUT4 I0=$abc$25847$n709 I1=$abc$25847$n1033_1 I2=$abc$25847$n719 I3=$false O=$20\i2c_input_data_type[3:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00001110 .gate SB_LUT4 I0=$abc$25847$n748 I1=$abc$25847$n685 I2=$false I3=$false O=$abc$25847$n1033_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0111 .gate SB_LUT4 I0=$abc$25847$n709 I1=$abc$25847$n1033_1 I2=$abc$25847$n1911 I3=$false O=$20\i2c_input_data_type[3:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00001110 .gate SB_LUT4 I0=$abc$25847$n748 I1=$abc$25847$n715 I2=$abc$25847$n716 I3=$false O=$20\i2c_input_data_type[3:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000111 .gate SB_LUT4 I0=$abc$25847$n141 I1=$abc$25847$n663 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n1918 I1=$abc$25847$n141 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$25847$n1919 I1=$abc$25847$n141 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$25847$n1920 I1=$abc$25847$n141 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$25847$n1921 I1=$abc$25847$n141 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][4] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$25847$n1922 I1=$abc$25847$n141 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$25847$n1923 I1=$abc$25847$n141 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 .gate SB_LUT4 I0=I2C_TRANS I1=$abc$25847$n639 I2=last_trans I3=$false O=$2\UART_WR[0:0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00101011 .gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$25847$n946_1 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 .gate SB_LUT4 I0=I2C.received_byte[1] I1=$abc$25847$n956 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 .gate SB_LUT4 I0=I2C.received_byte[2] I1=$abc$25847$n945 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 .gate SB_LUT4 I0=I2C.received_byte[3] I1=$abc$25847$n953_1 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 .gate SB_LUT4 I0=I2C.received_byte[4] I1=$abc$25847$n949 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][4] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 .gate SB_LUT4 I0=I2C.received_byte[5] I1=$abc$25847$n957_1 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 .gate SB_LUT4 I0=I2C.received_byte[6] I1=$abc$25847$n950_1 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 .gate SB_LUT4 I0=I2C.received_byte[7] I1=$abc$25847$n954 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][7] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 .gate SB_LUT4 I0=temp_output_report[1] I1=I2C.received_byte[1] I2=$abc$25847$n1246 I3=$false O=$0\temp_output_report[2:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=temp_output_report[2] I1=I2C.received_byte[2] I2=$abc$25847$n1246 I3=$false O=$0\temp_output_report[2:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$25847$n689 I1=ring_rd[1] I2=$false I3=$false O=$2\ring_rd[3:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0110 .gate SB_LUT4 I0=report_wr_en I1=$abc$25847$n1061_1 I2=$abc$25847$n736 I3=IS_RAM_INIT O=$2\report_wr_en[0:0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1101000011001100 .gate SB_LUT4 I0=IS_RAM_INIT I1=KEYBOARD.isr I2=last_isr I3=$false O=$abc$25847$n1061_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=report_wr_en I1=$abc$25847$n736 I2=IS_RAM_INIT I3=$false O=$2\IS_RAM_INIT[0:0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01110000 .gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$25847$n735 I2=$abc$25847$n897 I3=$abc$25847$n1061_1 O=$2\wr_cnt[3:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111110110000 .gate SB_LUT4 I0=$abc$25847$n1061_1 I1=wr_cnt[0] I2=wr_cnt[1] I3=$false O=$2\wr_cnt[3:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010100 .gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$25847$n735 I2=$abc$25847$n1061_1 I3=$abc$25847$n900 O=$2\wr_cnt[3:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000101100000000 .gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$25847$n735 I2=$abc$25847$n1061_1 I3=$abc$25847$n901 O=$2\wr_cnt[3:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000101100000000 .gate SB_LUT4 I0=$abc$25847$n1068 I1=$abc$25847$n1558 I2=$false I3=$false O=$0\rststate[3:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n746 I1=$22\i2c_input_data_type[3:0][0] I2=$abc$25847$n719 I3=$abc$25847$n1069 O=$abc$25847$n1068 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=$abc$25847$n715 I1=$abc$25847$n149 I2=$false I3=$false O=$abc$25847$n1069 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$25847$n1068 I1=$abc$25847$n1560 I2=$false I3=$false O=$0\rststate[3:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n1068 I1=$abc$25847$n1562 I2=$false I3=$false O=$0\rststate[3:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n1068 I1=$abc$25847$n1564 I2=$false I3=$false O=$0\rststate[3:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n856 I1=$abc$25847$n1074_1 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$25847$n1599 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10101100 .gate SB_LUT4 I0=$abc$25847$n1078_1 I1=$abc$25847$n1077 I2=$abc$25847$n1075 I3=$false O=$abc$25847$n1074_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=$abc$25847$n1076_1 I1=$abc$25847$n774 I2=$false I3=$false O=$abc$25847$n1075 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n1693 I1=$abc$25847$n236 I2=$abc$25847$n822 I3=$abc$25847$n1755 O=$abc$25847$n1076_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1011111100000000 .gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=$abc$25847$n1708 I2=$abc$25847$n751 I3=$false O=$abc$25847$n1077 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=KEYBOARD.last_adr[0] I1=KEYBOARD.row_counter[0] I2=$abc$25847$n898 I3=$false O=$abc$25847$n1078_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=$abc$25847$n1080_1 I1=KEYBOARD.last_adr[0] I2=KEYBOARD.last_adr[1] I3=KEYBOARD.IS_RAM_INIT O=$abc$25847$n1602 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0011110010101010 .gate SB_LUT4 I0=$abc$25847$n1082_1 I1=$abc$25847$n1081 I2=$abc$25847$n1075 I3=$false O=$abc$25847$n1080_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=$abc$25847$n751 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$25847$n1081 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000111 .gate SB_LUT4 I0=KEYBOARD.last_adr[1] I1=KEYBOARD.row_counter[1] I2=$abc$25847$n898 I3=$false O=$abc$25847$n1082_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=$abc$25847$n859 I1=$abc$25847$n1084_1 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$25847$n1604 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10101100 .gate SB_LUT4 I0=$abc$25847$n1086_1 I1=$abc$25847$n1085 I2=$abc$25847$n1075 I3=$false O=$abc$25847$n1084_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=KEYBOARD.row_counter[2] I1=$abc$25847$n1712 I2=$abc$25847$n751 I3=$false O=$abc$25847$n1085 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=KEYBOARD.last_adr[2] I1=KEYBOARD.row_counter[2] I2=$abc$25847$n898 I3=$false O=$abc$25847$n1086_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=$abc$25847$n860 I1=$abc$25847$n1088_1 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$25847$n1606 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10101100 .gate SB_LUT4 I0=$abc$25847$n1090_1 I1=$abc$25847$n1089 I2=$abc$25847$n1075 I3=$false O=$abc$25847$n1088_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=KEYBOARD.row_counter[3] I1=$abc$25847$n18 I2=$abc$25847$n751 I3=$false O=$abc$25847$n1089 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=KEYBOARD.last_adr[3] I1=KEYBOARD.row_counter[3] I2=$abc$25847$n898 I3=$false O=$abc$25847$n1090_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=$abc$25847$n862 I1=KEYBOARD.IS_RAM_INIT I2=$abc$25847$n1092 I3=KEYBOARD.last_adr[4] O=$abc$25847$n1608 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111100010001000 .gate SB_LUT4 I0=$abc$25847$n1075 I1=$abc$25847$n898 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$25847$n1092 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000001 .gate SB_LUT4 I0=$abc$25847$n863 I1=KEYBOARD.IS_RAM_INIT I2=$abc$25847$n1092 I3=KEYBOARD.last_adr[5] O=$abc$25847$n1610 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111100010001000 .gate SB_LUT4 I0=$abc$25847$n865 I1=KEYBOARD.IS_RAM_INIT I2=$abc$25847$n1092 I3=KEYBOARD.last_adr[6] O=$abc$25847$n1612 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111100010001000 .gate SB_LUT4 I0=$abc$25847$n1614 I1=KEYBOARD.IS_RAM_INIT I2=$abc$25847$n1092 I3=KEYBOARD.last_adr[7] O=$abc$25847$n1615 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111100010001000 .gate SB_LUT4 I0=$abc$25847$n871 I1=KEYBOARD.IS_RAM_INIT I2=$abc$25847$n1092 I3=KEYBOARD.last_adr[8] O=$abc$25847$n1617 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111100010001000 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[0] I2=$false I3=$false O=$abc$25847$n1619 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[1] I2=$false I3=$false O=$abc$25847$n1621 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[2] I2=$false I3=$false O=$abc$25847$n1623 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[3] I2=$false I3=$false O=$abc$25847$n1625 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[4] I2=$false I3=$false O=$abc$25847$n1627 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[5] I2=$false I3=$false O=$abc$25847$n1629 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[6] I2=$false I3=$false O=$abc$25847$n1631 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[7] I2=$false I3=$false O=$abc$25847$n1633 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 .gate SB_LUT4 I0=$abc$25847$n860 I1=$abc$25847$n1077 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$25847$n1635 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10100011 .gate SB_LUT4 I0=$abc$25847$n862 I1=$abc$25847$n1081 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$25847$n1637 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10100011 .gate SB_LUT4 I0=$abc$25847$n863 I1=$abc$25847$n1085 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$25847$n1639 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10100011 .gate SB_LUT4 I0=$abc$25847$n865 I1=$abc$25847$n1089 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$25847$n1641 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10100011 .gate SB_LUT4 I0=$abc$25847$n856 I1=$abc$25847$n815 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$25847$n1642 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10101100 .gate SB_LUT4 I0=$abc$25847$n819 I1=KEYBOARD.last_adr[0] I2=KEYBOARD.last_adr[1] I3=KEYBOARD.IS_RAM_INIT O=$abc$25847$n1643 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0011110010101010 .gate SB_LUT4 I0=$abc$25847$n859 I1=$abc$25847$n814 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$25847$n1644 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10101100 .gate SB_LUT4 I0=KEYBOARD.isr_internal I1=$abc$25847$n799 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$25847$n1114 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00001101 .gate SB_LUT4 I0=$abc$25847$n971 I1=$abc$25847$n1114 I2=$abc$25847$n1120 I3=$abc$25847$n820 O=$abc$25847$n1650 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000001110 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KEYBOARD.isr_internal I2=wr_cnt[2] I3=$false O=$abc$25847$n1120 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000001 .gate SB_LUT4 I0=$abc$25847$n1122 I1=$abc$25847$n774 I2=$abc$25847$n972 I3=KEYBOARD.IS_RAM_INIT O=$abc$25847$n1652 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111000000010001 .gate SB_LUT4 I0=$abc$25847$n799 I1=$abc$25847$n972 I2=wr_cnt[3] I3=KEYBOARD.isr_internal O=$abc$25847$n1122 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1011101100001111 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$25847$n1124 I2=$abc$25847$n1125 I3=$false O=$abc$25847$n1654 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11110100 .gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.kbd_code_hid[1] I2=$abc$25847$n849 I3=$abc$25847$n791 O=$abc$25847$n1124 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111000010001000 .gate SB_LUT4 I0=KEYBOARD.report_adress_rd[0] I1=KEYBOARD.report_adress_rd[1] I2=$abc$25847$n1126 I3=$false O=$abc$25847$n1125 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10010000 .gate SB_LUT4 I0=$abc$25847$n968 I1=$abc$25847$n971 I2=$abc$25847$n972 I3=KEYBOARD.IS_RAM_INIT O=$abc$25847$n1126 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=$abc$25847$n1128 I1=KEYBOARD.IS_RAM_INIT I2=$abc$25847$n1125 I3=$false O=$abc$25847$n1656 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11110001 .gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.kbd_code_hid[3] I2=$abc$25847$n791 I3=$abc$25847$n844 O=$abc$25847$n1128 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=$abc$25847$n1134 I1=KEYBOARD.IS_RAM_INIT I2=$false I3=$false O=$abc$25847$n1659 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=KEYBOARD.last_adr[0] I1=KEYBOARD.last_adr[1] I2=$abc$25847$n1135 I3=$abc$25847$n1136 O=$abc$25847$n1134 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0110000000000000 .gate SB_LUT4 I0=$abc$25847$n863 I1=$abc$25847$n865 I2=$abc$25847$n871 I3=$abc$25847$n1614 O=$abc$25847$n1135 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=$abc$25847$n856 I1=$abc$25847$n859 I2=$abc$25847$n860 I3=$abc$25847$n862 O=$abc$25847$n1136 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=$abc$25847$n1138 I1=$abc$25847$n1262_1 I2=$abc$25847$n1139 I3=$abc$25847$n1659 O=$abc$25847$n1661 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111111100000 .gate SB_LUT4 I0=$abc$25847$n869 I1=$abc$25847$n803 I2=KEYBOARD.is_pressed I3=$abc$25847$n797 O=$abc$25847$n1138 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0010110000000000 .gate SB_LUT4 I0=$abc$25847$n783 I1=KEYBOARD.report_wr_en I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$25847$n1139 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000001 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$25847$n761 I2=$abc$25847$n1659 I3=$false O=$abc$25847$n1662 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11110100 .gate SB_LUT4 I0=$abc$25847$n1142 I1=$abc$25847$n774 I2=$false I3=$false O=$abc$25847$n1717 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 .gate SB_LUT4 I0=$abc$25847$n1143 I1=$abc$25847$n1262_1 I2=$abc$25847$n783 I3=$abc$25847$n782 O=$abc$25847$n1142 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000110100000000 .gate SB_LUT4 I0=$abc$25847$n803 I1=KEYBOARD.is_pressed I2=$abc$25847$n798 I3=$abc$25847$n859_1 O=$abc$25847$n1143 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000010001111 .gate SB_LUT4 I0=$abc$25847$n1145 I1=$abc$25847$n1755 I2=$abc$25847$n1146 I3=$abc$25847$n774 O=$abc$25847$n1733 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100010011110000 .gate SB_LUT4 I0=$abc$25847$n889_1 I1=$abc$25847$n1782 I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[0] I3=$abc$25847$n1948 O=$abc$25847$n1145 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1011101100001111 .gate SB_LUT4 I0=$abc$25847$n1824 I1=KEYBOARD.tmr_to_ram[0] I2=$abc$25847$n1147 I3=$false O=$abc$25847$n1146 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=KEYBOARD.report_wr_en I1=$abc$25847$n791 I2=KEYBOARD.is_pressed I3=$false O=$abc$25847$n1147 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00001110 .gate SB_LUT4 I0=$abc$25847$n1150 I1=$abc$25847$n1149 I2=$abc$25847$n774 I3=$false O=$abc$25847$n1736 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[0] I1=$abc$25847$n1948 I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[1] I3=$abc$25847$n1755 O=$abc$25847$n1149 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0111100000000000 .gate SB_LUT4 I0=$abc$25847$n1147 I1=KEYBOARD.tmr_to_ram[0] I2=KEYBOARD.tmr_to_ram[1] I3=$false O=$abc$25847$n1150 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10110100 .gate SB_LUT4 I0=$abc$25847$n1154 I1=$abc$25847$n1152 I2=$abc$25847$n774 I3=$false O=$abc$25847$n1739 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[2] I1=$abc$25847$n1786 I2=$abc$25847$n1153 I3=$abc$25847$n1755 O=$abc$25847$n1152 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100101000000000 .gate SB_LUT4 I0=$abc$25847$n889_1 I1=$abc$25847$n1948 I2=$false I3=$false O=$abc$25847$n1153 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$25847$n1828 I1=KEYBOARD.tmr_to_ram[2] I2=$abc$25847$n1147 I3=$false O=$abc$25847$n1154 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$25847$n1157 I1=$abc$25847$n1156 I2=$abc$25847$n774 I3=$false O=$abc$25847$n1742 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[3] I1=$abc$25847$n1788 I2=$abc$25847$n1153 I3=$abc$25847$n1755 O=$abc$25847$n1156 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100101000000000 .gate SB_LUT4 I0=$abc$25847$n1830 I1=KEYBOARD.tmr_to_ram[3] I2=$abc$25847$n1147 I3=$false O=$abc$25847$n1157 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$25847$n1160 I1=$abc$25847$n1159 I2=$abc$25847$n774 I3=$false O=$abc$25847$n1745 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[4] I1=$abc$25847$n1790 I2=$abc$25847$n1153 I3=$abc$25847$n1755 O=$abc$25847$n1159 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100101000000000 .gate SB_LUT4 I0=$abc$25847$n1832 I1=KEYBOARD.tmr_to_ram[4] I2=$abc$25847$n1147 I3=$false O=$abc$25847$n1160 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$25847$n1163 I1=$abc$25847$n1162 I2=$abc$25847$n774 I3=$false O=$abc$25847$n1748 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[5] I1=$abc$25847$n1792 I2=$abc$25847$n1153 I3=$abc$25847$n1755 O=$abc$25847$n1162 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100101000000000 .gate SB_LUT4 I0=$abc$25847$n1834 I1=KEYBOARD.tmr_to_ram[5] I2=$abc$25847$n1147 I3=$false O=$abc$25847$n1163 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$25847$n1166_1 I1=$abc$25847$n1165 I2=$abc$25847$n774 I3=$false O=$abc$25847$n1751 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[6] I1=$abc$25847$n1794 I2=$abc$25847$n1153 I3=$abc$25847$n1755 O=$abc$25847$n1165 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100101000000000 .gate SB_LUT4 I0=$abc$25847$n1836 I1=KEYBOARD.tmr_to_ram[6] I2=$abc$25847$n1147 I3=$false O=$abc$25847$n1166_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$25847$n1169_1 I1=$abc$25847$n1168_1 I2=$abc$25847$n774 I3=$false O=$abc$25847$n1754 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[7] I1=$abc$25847$n1796 I2=$abc$25847$n1153 I3=$abc$25847$n1755 O=$abc$25847$n1168_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100101000000000 .gate SB_LUT4 I0=$abc$25847$n1838 I1=KEYBOARD.tmr_to_ram[7] I2=$abc$25847$n1147 I3=$false O=$abc$25847$n1169_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.kbd_code_hid[0] I2=$abc$25847$n840_1 I3=$abc$25847$n791 O=$abc$25847$n1843 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111000010001000 .gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.kbd_code_hid[2] I2=$abc$25847$n837 I3=$abc$25847$n791 O=$abc$25847$n1848 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111000010001000 .gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.kbd_code_hid[4] I2=$abc$25847$n855 I3=$abc$25847$n791 O=$abc$25847$n1853 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000111110001000 .gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.kbd_code_hid[5] I2=$abc$25847$n846_1 I3=$abc$25847$n791 O=$abc$25847$n1856 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000111110001000 .gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.kbd_code_hid[6] I2=$abc$25847$n851 I3=$abc$25847$n791 O=$abc$25847$n1859 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000111110001000 .gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.kbd_code_hid[7] I2=$abc$25847$n1176_1 I3=$abc$25847$n791 O=$abc$25847$n1862 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000111110001000 .gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[7] I1=KEYBOARD.is_pressed I2=$abc$25847$n857 I3=$false O=$abc$25847$n1176_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=$abc$25847$n1708 I1=$abc$25847$n1712 I2=KEYBOARD.row_counter[1] I3=KEYBOARD.row_counter[0] O=$abc$25847$n2018 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000001 .gate SB_LUT4 I0=$abc$25847$n1712 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$25847$n1708 O=$abc$25847$n2019 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000100000000 .gate SB_LUT4 I0=$abc$25847$n1708 I1=$abc$25847$n1712 I2=KEYBOARD.row_counter[0] I3=KEYBOARD.row_counter[1] O=$abc$25847$n2020 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100010000 .gate SB_LUT4 I0=$abc$25847$n1712 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$25847$n1708 O=$abc$25847$n2021 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001010000000000 .gate SB_LUT4 I0=$abc$25847$n1708 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$25847$n1712 O=$abc$25847$n2022 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000100000000 .gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=KEYBOARD.row_counter[1] I2=$abc$25847$n1708 I3=$abc$25847$n1712 O=$abc$25847$n2023 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000000000 .gate SB_LUT4 I0=$abc$25847$n1708 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$25847$n1712 O=$abc$25847$n2024 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001010000000000 .gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=KEYBOARD.row_counter[1] I2=$abc$25847$n1708 I3=$abc$25847$n1712 O=$abc$25847$n2025 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0110000000000000 .gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$false I2=$false I3=$false O=$abc$25847$n1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$false I2=$false I3=$false O=$abc$25847$n3 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$25847$n12 I1=$false I2=$false I3=$false O=COM_TX .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=LED1 I1=$false I2=$false I3=$false O=COM_DCD .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=UART.tx_activity I1=$false I2=$false I3=$false O=$abc$25847$n17 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$25847$n18 I1=$false I2=$false I3=$false O=$abc$25847$n19 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$false I2=$false I3=$false O=$abc$25847$n21 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[7] I1=$false I2=$false I3=$false O=$abc$25847$n702 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[4] I1=$false I2=$false I3=$false O=$abc$25847$n706 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[3] I1=$false I2=$false I3=$false O=$abc$25847$n708 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[1] I1=$false I2=$false I3=$false O=$abc$25847$n711 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[0] I1=$false I2=$false I3=$false O=$abc$25847$n712 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.received_byte[7] I1=$false I2=$false I3=$false O=$abc$25847$n723 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.received_byte[6] I1=$false I2=$false I3=$false O=$abc$25847$n724 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.received_byte[5] I1=$false I2=$false I3=$false O=$abc$25847$n726 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.received_byte[4] I1=$false I2=$false I3=$false O=$abc$25847$n727 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.received_byte[3] I1=$false I2=$false I3=$false O=$abc$25847$n729 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.received_byte[2] I1=$false I2=$false I3=$false O=$abc$25847$n730 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.received_byte[1] I1=$false I2=$false I3=$false O=$abc$25847$n732 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$25847$n1914 I1=$false I2=$false I3=$false O=$abc$25847$n1062 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$25847$n1058 I1=$false I2=$false I3=$false O=$abc$25847$n1893 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$25847$n1907 I1=$false I2=$false I3=$false O=$abc$25847$n1906 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$25847$n1056 I1=$false I2=$false I3=$false O=$abc$25847$n1912 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$25847$n1060 I1=$false I2=$false I3=$false O=$abc$25847$n1913 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[5] I1=$false I2=$false I3=$false O=$abc$25847$n1916 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[6] I1=$false I2=$false I3=$false O=$abc$25847$n1917 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$25847$n1924 I1=$false I2=$false I3=$false O=$abc$25847$n1925 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[2] I1=$false I2=$false I3=$false O=$abc$25847$n1926 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$25847$n1908 I1=$false I2=$false I3=$false O=$abc$25847$n1927 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$25847$n1928 I1=$false I2=$false I3=$false O=$abc$25847$n1929 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=CLK I1=$false I2=$false I3=$false O=I2C_HID_DESC.CLK .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C_COUNTER[3] I1=I2C_COUNTER[0] I2=$abc$25847$n606_1 I3=$false O=$abc$25847$n1217 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$25847$n620 I1=$abc$25847$n623 I2=I2C_COUNTER[1] I3=$abc$25847$n1217 O=$abc$25847$n1218 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000011111111 .gate SB_LUT4 I0=I2C_COUNTER[0] I1=$abc$25847$n641 I2=$abc$25847$n1951 I3=I2C.received_byte[3] O=$abc$25847$n1219_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=I2C_COUNTER[3] I1=I2C_COUNTER[1] I2=$abc$25847$n606_1 I3=$abc$25847$n638 O=$abc$25847$n1220_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=$abc$25847$n601_1 I1=i2c_input_data_type[3] I2=$abc$25847$n1219_1 I3=$abc$25847$n1220_1 O=$abc$25847$n716 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000011100110011 .gate SB_LUT4 I0=$abc$25847$n1920 I1=$abc$25847$n1919 I2=$abc$25847$n663 I3=$abc$25847$n1918 O=$abc$25847$n1222_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100001000000000 .gate SB_LUT4 I0=$abc$25847$n719 I1=$abc$25847$n1920 I2=$abc$25847$n652 I3=$abc$25847$n1222_1 O=$abc$25847$n1223_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0110111111111111 .gate SB_LUT4 I0=$abc$25847$n1952 I1=$abc$25847$n719 I2=$abc$25847$n1918 I3=$abc$25847$n663 O=$abc$25847$n1224_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100000000000001 .gate SB_LUT4 I0=$abc$25847$n652 I1=$abc$25847$n665 I2=$abc$25847$n720 I3=$false O=$abc$25847$n1225_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$25847$n719 I1=$abc$25847$n598_1 I2=$abc$25847$n1224_1 I3=$abc$25847$n1225_1 O=$abc$25847$n1226_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0010111111111111 .gate SB_LUT4 I0=KEYBOARD.COLUMN_SHADOW[6] I1=KEYBOARD.COLUMN_SHADOW[7] I2=$abc$25847$n814 I3=$abc$25847$n815 O=$abc$25847$n1227 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0011111101010000 .gate SB_LUT4 I0=KEYBOARD.COLUMN_SHADOW[2] I1=KEYBOARD.COLUMN_SHADOW[3] I2=$abc$25847$n814 I3=$abc$25847$n1227 O=$abc$25847$n1228 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111001100000101 .gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[7] I1=KEYBOARD.REPORT.r_data[3] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$25847$n1231_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10101100 .gate SB_LUT4 I0=$abc$25847$n946_1 I1=$abc$25847$n949 I2=$abc$25847$n52 I3=$abc$25847$n908 O=$abc$25847$n1237_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100101011111111 .gate SB_LUT4 I0=$abc$25847$n945 I1=$abc$25847$n950_1 I2=$abc$25847$n52 I3=$abc$25847$n916_1 O=$abc$25847$n1238 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100101011111111 .gate SB_LUT4 I0=$abc$25847$n1238 I1=$abc$25847$n951 I2=$abc$25847$n1237_1 I3=$abc$25847$n969 O=$abc$25847$n1239_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000001111111 .gate SB_LUT4 I0=I2C.i2c_state_machine I1=$abc$25847$n968_1 I2=$abc$25847$n1264_1 I3=$false O=$abc$25847$n1072 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01001111 .gate SB_LUT4 I0=$abc$25847$n964 I1=$abc$25847$n906 I2=$abc$25847$n962 I3=$abc$25847$n963 O=$abc$25847$n1242 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0011110111000000 .gate SB_LUT4 I0=$abc$25847$n958_1 I1=$abc$25847$n1242 I2=$abc$25847$n907 I3=$abc$25847$n962 O=$abc$25847$n1088 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0111111111000000 .gate SB_LUT4 I0=I2C_COUNTER[0] I1=I2C_COUNTER[1] I2=I2C_COUNTER[3] I3=$abc$25847$n606_1 O=$abc$25847$n1244 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=$abc$25847$n1244 I1=$abc$25847$n611 I2=i2c_input_data_type[0] I3=i2c_input_data_type[1] O=$abc$25847$n1245_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0010000000001100 .gate SB_LUT4 I0=i2c_input_data_type[3] I1=$abc$25847$n1245_1 I2=i2c_input_data_type[2] I3=$abc$25847$n638 O=$abc$25847$n1246 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=wr_cnt[0] I1=$abc$25847$n799 I2=$abc$25847$n774 I3=KEYBOARD.isr_internal O=$abc$25847$n1247 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111110000001010 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$25847$n1247 I2=$abc$25847$n968 I3=KEYBOARD.isr_internal O=$abc$25847$n1646 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1011000011100100 .gate SB_LUT4 I0=KEYBOARD.isr_internal I1=wr_cnt[1] I2=$abc$25847$n774 I3=KEYBOARD.IS_RAM_INIT O=$abc$25847$n1249_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111100001011 .gate SB_LUT4 I0=$abc$25847$n1249_1 I1=$abc$25847$n1114 I2=KEYBOARD.report_adress_rd[0] I3=KEYBOARD.report_adress_rd[1] O=$abc$25847$n1648 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0101011101110101 .gate SB_LUT4 I0=$abc$25847$n1701 I1=$abc$25847$n1702 I2=$abc$25847$n778 I3=$abc$25847$n814 O=$abc$25847$n1251_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0111111111111111 .gate SB_LUT4 I0=$abc$25847$n898 I1=KEYBOARD.last_wr I2=$abc$25847$n1251_1 I3=$abc$25847$n759 O=$abc$25847$n1252_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000001001111 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$25847$n1252_1 I2=$abc$25847$n1659 I3=$false O=$abc$25847$n1658 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11110100 .gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[2] I1=$abc$25847$n837 I2=KEYBOARD.REPORT.r_data[4] I3=$abc$25847$n855 O=$abc$25847$n1254_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000101110110000 .gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[5] I1=KEYBOARD.REPORT.r_data[6] I2=$abc$25847$n851 I3=$abc$25847$n846_1 O=$abc$25847$n1256_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001010000101000 .gate SB_LUT4 I0=$abc$25847$n850 I1=KEYBOARD.REPORT.r_data[1] I2=KEYBOARD.is_pressed I3=$abc$25847$n1256_1 O=$abc$25847$n1257_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1101011100000000 .gate SB_LUT4 I0=$abc$25847$n784 I1=KEYBOARD.REPORT.r_data[0] I2=KEYBOARD.is_pressed I3=$abc$25847$n1254_1 O=$abc$25847$n1259_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1101011100000000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.REPORT.r_data[2] I2=$abc$25847$n838 I3=$false O=$abc$25847$n1260_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$25847$n1260_1 I1=$abc$25847$n1231_1 I2=$abc$25847$n842 I3=KEYBOARD.is_pressed O=$abc$25847$n1261_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100111100010101 .gate SB_LUT4 I0=$abc$25847$n1261_1 I1=$abc$25847$n1259_1 I2=$abc$25847$n1257_1 I3=$abc$25847$n791 O=$abc$25847$n1262_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0111111100000000 .gate SB_LUT4 I0=$abc$25847$n964 I1=$abc$25847$n962 I2=$abc$25847$n968_1 I3=$abc$25847$n963 O=$abc$25847$n1263_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000111110000 .gate SB_LUT4 I0=$abc$25847$n1263_1 I1=$abc$25847$n1239_1 I2=$abc$25847$n906 I3=$abc$25847$n907 O=$abc$25847$n1264_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100010111111111 .gate SB_CARRY CI=$abc$25847$n1927 CO=$auto$alumacc.cc:474:replace_alu$6688.C[2] I0=$false I1=$abc$25847$n1907 .attr src "top.v:25|uart.v:40|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6688.C[2] CO=$auto$alumacc.cc:474:replace_alu$6688.C[3] I0=$false I1=$abc$25847$n1929 .attr src "top.v:25|uart.v:40|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6688.C[3] CO=$abc$25847$n1173 I0=$false I1=$abc$25847$n1925 .attr src "top.v:25|uart.v:40|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$abc$25847$n1918 CO=$auto$alumacc.cc:474:replace_alu$6693.C[3] I0=$false I1=$abc$25847$n1919 .attr src "top.v:206|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6693.C[3] CO=$auto$alumacc.cc:474:replace_alu$6693.C[4] I0=$false I1=$abc$25847$n1920 .attr src "top.v:206|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6693.C[4] CO=$auto$alumacc.cc:474:replace_alu$6693.C[5] I0=$false I1=$abc$25847$n1921 .attr src "top.v:206|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6693.C[5] CO=$auto$alumacc.cc:474:replace_alu$6693.C[6] I0=$false I1=$abc$25847$n1922 .attr src "top.v:206|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6693.C[6] CO=$abc$25847$n1947 I0=$false I1=$abc$25847$n1923 .attr src "top.v:206|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$abc$25847$n712 CO=$auto$alumacc.cc:474:replace_alu$6698.C[2] I0=$false I1=$abc$25847$n711 .attr src "top.v:34|matrix_kbd.v:148|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6698.C[2] CO=$auto$alumacc.cc:474:replace_alu$6698.C[3] I0=$true I1=$abc$25847$n1926 .attr src "top.v:34|matrix_kbd.v:148|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6698.C[3] CO=$auto$alumacc.cc:474:replace_alu$6698.C[4] I0=$false I1=$abc$25847$n708 .attr src "top.v:34|matrix_kbd.v:148|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6698.C[4] CO=$auto$alumacc.cc:474:replace_alu$6698.C[5] I0=$false I1=$abc$25847$n706 .attr src "top.v:34|matrix_kbd.v:148|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6698.C[5] CO=$auto$alumacc.cc:474:replace_alu$6698.C[6] I0=$true I1=$abc$25847$n1916 .attr src "top.v:34|matrix_kbd.v:148|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6698.C[6] CO=$auto$alumacc.cc:474:replace_alu$6698.C[7] I0=$true I1=$abc$25847$n1917 .attr src "top.v:34|matrix_kbd.v:148|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6698.C[7] CO=$abc$25847$n1948 I0=$false I1=$abc$25847$n702 .attr src "top.v:34|matrix_kbd.v:148|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$abc$25847$n808 CO=$auto$alumacc.cc:474:replace_alu$6709.C[4] I0=$abc$25847$n939 I1=$false .attr src "top.v:34|matrix_kbd.v:130|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6709.C[4] CO=$auto$alumacc.cc:474:replace_alu$6709.C[5] I0=$abc$25847$n940 I1=$true .attr src "top.v:34|matrix_kbd.v:130|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6709.C[5] CO=$auto$alumacc.cc:474:replace_alu$6709.C[6] I0=$abc$25847$n941 I1=$true .attr src "top.v:34|matrix_kbd.v:130|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6709.C[6] CO=$auto$alumacc.cc:474:replace_alu$6709.C[7] I0=$abc$25847$n817 I1=$true .attr src "top.v:34|matrix_kbd.v:130|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6709.C[7] CO=$abc$25847$n1950 I0=$abc$25847$n236 I1=$false .attr src "top.v:34|matrix_kbd.v:130|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$abc$25847$n732 CO=$auto$alumacc.cc:474:replace_alu$6714.C[3] I0=$true I1=$abc$25847$n730 .attr src "top.v:119|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6714.C[3] CO=$auto$alumacc.cc:474:replace_alu$6714.C[4] I0=$false I1=$abc$25847$n729 .attr src "top.v:119|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6714.C[4] CO=$auto$alumacc.cc:474:replace_alu$6714.C[5] I0=$false I1=$abc$25847$n727 .attr src "top.v:119|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6714.C[5] CO=$auto$alumacc.cc:474:replace_alu$6714.C[6] I0=$false I1=$abc$25847$n726 .attr src "top.v:119|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6714.C[6] CO=$auto$alumacc.cc:474:replace_alu$6714.C[7] I0=$false I1=$abc$25847$n724 .attr src "top.v:119|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6714.C[7] CO=$abc$25847$n1951 I0=$false I1=$abc$25847$n723 .attr src "top.v:119|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$abc$25847$n1912 CO=$auto$alumacc.cc:474:replace_alu$6719.C[2] I0=$false I1=$abc$25847$n1893 .attr src "top.v:19|i2c_slave.v:119|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6719.C[2] CO=$auto$alumacc.cc:474:replace_alu$6719.C[3] I0=$false I1=$abc$25847$n1913 .attr src "top.v:19|i2c_slave.v:119|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6719.C[3] CO=$abc$25847$n416 I0=$false I1=$abc$25847$n1914 .attr src "top.v:19|i2c_slave.v:119|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$abc$25847$n720 CO=$auto$alumacc.cc:474:replace_alu$6724.C[2] I0=$false I1=$abc$25847$n719 .attr src "top.v:188|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6724.C[2] CO=$auto$alumacc.cc:474:replace_alu$6724.C[3] I0=$true I1=$abc$25847$n1911 .attr src "top.v:188|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6724.C[3] CO=$abc$25847$n1952 I0=$false I1=$abc$25847$n716 .attr src "top.v:188|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$abc$25847$n732 CO=$auto$alumacc.cc:474:replace_alu$6735.C[3] I0=$false I1=$abc$25847$n730 .attr src "top.v:119|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6735.C[3] CO=$auto$alumacc.cc:474:replace_alu$6735.C[4] I0=$false I1=$abc$25847$n729 .attr src "top.v:119|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6735.C[4] CO=$auto$alumacc.cc:474:replace_alu$6735.C[5] I0=$false I1=$abc$25847$n727 .attr src "top.v:119|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6735.C[5] CO=$auto$alumacc.cc:474:replace_alu$6735.C[6] I0=$false I1=$abc$25847$n726 .attr src "top.v:119|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6735.C[6] CO=$auto$alumacc.cc:474:replace_alu$6735.C[7] I0=$false I1=$abc$25847$n724 .attr src "top.v:119|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6735.C[7] CO=$abc$25847$n1955 I0=$false I1=$abc$25847$n723 .attr src "top.v:119|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$true I2=wr_cnt[0] I3=$false O=$abc$25847$n897 .attr src "top.v:109|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=wr_cnt[0] CO=$auto$alumacc.cc:474:replace_alu$6748.C[2] I0=$false I1=wr_cnt[1] .attr src "top.v:109|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[2] I3=$auto$alumacc.cc:474:replace_alu$6748.C[2] O=$abc$25847$n900 .attr src "top.v:109|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6748.C[2] CO=$auto$alumacc.cc:474:replace_alu$6748.C[3] I0=$false I1=wr_cnt[2] .attr src "top.v:109|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[3] I3=$auto$alumacc.cc:474:replace_alu$6748.C[3] O=$abc$25847$n901 .attr src "top.v:109|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=ring_rd[0] I3=$false O=$abc$25847$n1418 .attr src "top.v:159|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=ring_rd[0] CO=$auto$alumacc.cc:474:replace_alu$6751.C[2] I0=$false I1=ring_rd[1] .attr src "top.v:159|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=ring_rd[2] I3=$auto$alumacc.cc:474:replace_alu$6751.C[2] O=$abc$25847$n1424 .attr src "top.v:159|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6751.C[2] CO=$auto$alumacc.cc:474:replace_alu$6751.C[3] I0=$false I1=ring_rd[2] .attr src "top.v:159|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=ring_rd[3] I3=$auto$alumacc.cc:474:replace_alu$6751.C[3] O=$abc$25847$n1427 .attr src "top.v:159|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=I2C_COUNTER[0] I3=$false O=$abc$25847$n1403 .attr src "top.v:165|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=I2C_COUNTER[0] CO=$auto$alumacc.cc:474:replace_alu$6754.C[2] I0=$false I1=I2C_COUNTER[1] .attr src "top.v:165|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[2] I3=$auto$alumacc.cc:474:replace_alu$6754.C[2] O=$abc$25847$n1407 .attr src "top.v:165|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6754.C[2] CO=$auto$alumacc.cc:474:replace_alu$6754.C[3] I0=$false I1=I2C_COUNTER[2] .attr src "top.v:165|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[3] I3=$auto$alumacc.cc:474:replace_alu$6754.C[3] O=$abc$25847$n1409 .attr src "top.v:165|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6754.C[3] CO=$auto$alumacc.cc:474:replace_alu$6754.C[4] I0=$false I1=I2C_COUNTER[3] .attr src "top.v:165|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[4] I3=$auto$alumacc.cc:474:replace_alu$6754.C[4] O=$abc$25847$n1411 .attr src "top.v:165|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6754.C[4] CO=$auto$alumacc.cc:474:replace_alu$6754.C[5] I0=$false I1=I2C_COUNTER[4] .attr src "top.v:165|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[5] I3=$auto$alumacc.cc:474:replace_alu$6754.C[5] O=$abc$25847$n1413 .attr src "top.v:165|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6754.C[5] CO=$auto$alumacc.cc:474:replace_alu$6754.C[6] I0=$false I1=I2C_COUNTER[5] .attr src "top.v:165|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[6] I3=$auto$alumacc.cc:474:replace_alu$6754.C[6] O=$abc$25847$n1415 .attr src "top.v:165|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=$3\int_tmr[14:0][0] I3=$false O=$abc$25847$n1249 .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][10] I3=$auto$alumacc.cc:474:replace_alu$6757.C[10] O=$abc$25847$n1280 .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6757.C[10] CO=$auto$alumacc.cc:474:replace_alu$6757.C[11] I0=$false I1=$3\int_tmr[14:0][10] .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][11] I3=$auto$alumacc.cc:474:replace_alu$6757.C[11] O=$abc$25847$n1283 .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6757.C[11] CO=$auto$alumacc.cc:474:replace_alu$6757.C[12] I0=$false I1=$3\int_tmr[14:0][11] .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][12] I3=$auto$alumacc.cc:474:replace_alu$6757.C[12] O=$abc$25847$n1286 .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6757.C[12] CO=$auto$alumacc.cc:474:replace_alu$6757.C[13] I0=$false I1=$3\int_tmr[14:0][12] .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][13] I3=$auto$alumacc.cc:474:replace_alu$6757.C[13] O=$abc$25847$n1289 .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6757.C[13] CO=$auto$alumacc.cc:474:replace_alu$6757.C[14] I0=$false I1=$3\int_tmr[14:0][13] .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][14] I3=$auto$alumacc.cc:474:replace_alu$6757.C[14] O=$abc$25847$n1292 .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$3\int_tmr[14:0][0] CO=$auto$alumacc.cc:474:replace_alu$6757.C[2] I0=$false I1=$3\int_tmr[14:0][1] .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][2] I3=$auto$alumacc.cc:474:replace_alu$6757.C[2] O=$abc$25847$n1256 .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6757.C[2] CO=$auto$alumacc.cc:474:replace_alu$6757.C[3] I0=$false I1=$3\int_tmr[14:0][2] .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][3] I3=$auto$alumacc.cc:474:replace_alu$6757.C[3] O=$abc$25847$n1259 .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6757.C[3] CO=$auto$alumacc.cc:474:replace_alu$6757.C[4] I0=$false I1=$3\int_tmr[14:0][3] .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][4] I3=$auto$alumacc.cc:474:replace_alu$6757.C[4] O=$abc$25847$n1262 .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6757.C[4] CO=$auto$alumacc.cc:474:replace_alu$6757.C[5] I0=$false I1=$3\int_tmr[14:0][4] .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][5] I3=$auto$alumacc.cc:474:replace_alu$6757.C[5] O=$abc$25847$n1265 .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6757.C[5] CO=$auto$alumacc.cc:474:replace_alu$6757.C[6] I0=$false I1=$3\int_tmr[14:0][5] .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][6] I3=$auto$alumacc.cc:474:replace_alu$6757.C[6] O=$abc$25847$n1268 .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6757.C[6] CO=$auto$alumacc.cc:474:replace_alu$6757.C[7] I0=$false I1=$3\int_tmr[14:0][6] .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][7] I3=$auto$alumacc.cc:474:replace_alu$6757.C[7] O=$abc$25847$n1271 .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6757.C[7] CO=$auto$alumacc.cc:474:replace_alu$6757.C[8] I0=$false I1=$3\int_tmr[14:0][7] .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][8] I3=$auto$alumacc.cc:474:replace_alu$6757.C[8] O=$abc$25847$n1274 .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6757.C[8] CO=$auto$alumacc.cc:474:replace_alu$6757.C[9] I0=$false I1=$3\int_tmr[14:0][8] .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][9] I3=$auto$alumacc.cc:474:replace_alu$6757.C[9] O=$abc$25847$n1277 .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6757.C[9] CO=$auto$alumacc.cc:474:replace_alu$6757.C[10] I0=$false I1=$3\int_tmr[14:0][9] .attr src "top.v:221|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$abc$25847$n21 I2=rststate[0] I3=$false O=$abc$25847$n1558 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$false CO=$auto$alumacc.cc:474:replace_alu$6760.C[1] I0=$abc$25847$n21 I1=rststate[0] .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=rststate[1] I3=$auto$alumacc.cc:474:replace_alu$6760.C[1] O=$abc$25847$n1560 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6760.C[1] CO=$auto$alumacc.cc:474:replace_alu$6760.C[2] I0=$false I1=rststate[1] .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=rststate[2] I3=$auto$alumacc.cc:474:replace_alu$6760.C[2] O=$abc$25847$n1562 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6760.C[2] CO=$auto$alumacc.cc:474:replace_alu$6760.C[3] I0=$false I1=rststate[2] .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=rststate[3] I3=$auto$alumacc.cc:474:replace_alu$6760.C[3] O=$abc$25847$n1564 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=ring_wr[0] I3=$false O=$abc$25847$n1531 .attr src "top.v:97|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=ring_wr[0] CO=$auto$alumacc.cc:474:replace_alu$6763.C[2] I0=$false I1=ring_wr[1] .attr src "top.v:97|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=ring_wr[2] I3=$auto$alumacc.cc:474:replace_alu$6763.C[2] O=$abc$25847$n1537 .attr src "top.v:97|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6763.C[2] CO=$auto$alumacc.cc:474:replace_alu$6763.C[3] I0=$false I1=ring_wr[2] .attr src "top.v:97|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=ring_wr[3] I3=$auto$alumacc.cc:474:replace_alu$6763.C[3] O=$abc$25847$n1540 .attr src "top.v:97|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6763.C[3] CO=$auto$alumacc.cc:474:replace_alu$6763.C[4] I0=$false I1=ring_wr[3] .attr src "top.v:97|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$alumacc.cc:474:replace_alu$6763.C[4] O=$abc$25847$n526 .attr src "top.v:97|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$22\i2c_input_data_type[3:0][0] I2=$false I3=$true O=$abc$25847$n1333 .attr src "top.v:191|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$22\i2c_input_data_type[3:0][0] CO=$auto$alumacc.cc:474:replace_alu$6766.C[2] I0=$22\i2c_input_data_type[3:0][1] I1=$true .attr src "top.v:191|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$22\i2c_input_data_type[3:0][2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$6766.C[2] O=$abc$25847$n1335 .attr src "top.v:191|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$abc$25847$n1056 I2=$false I3=$true O=$abc$25847$n45 .attr src "top.v:19|i2c_slave.v:122|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$abc$25847$n1056 CO=$auto$alumacc.cc:474:replace_alu$6769.C[2] I0=$abc$25847$n1058 I1=$true .attr src "top.v:19|i2c_slave.v:122|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$abc$25847$n1060 I2=$true I3=$auto$alumacc.cc:474:replace_alu$6769.C[2] O=$abc$25847$n52 .attr src "top.v:19|i2c_slave.v:122|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6769.C[2] CO=$auto$alumacc.cc:474:replace_alu$6769.C[3] I0=$abc$25847$n1060 I1=$true .attr src "top.v:19|i2c_slave.v:122|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$abc$25847$n1062 I2=$true I3=$auto$alumacc.cc:474:replace_alu$6769.C[3] O=$abc$25847$n26 .attr src "top.v:19|i2c_slave.v:122|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6769.C[3] CO=$abc$25847$n1892 I0=$abc$25847$n1062 I1=$true .attr src "top.v:19|i2c_slave.v:122|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$true I3=$abc$25847$n1892 O=$abc$25847$n53 .attr src "top.v:19|i2c_slave.v:122|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[0] I2=$false I3=$true O=$abc$25847$n893 .attr src "top.v:19|i2c_slave.v:45|simple_filter.v:14|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=I2C.FLT_SCL.counter[0] CO=$auto$alumacc.cc:474:replace_alu$6772.C[2] I0=I2C.FLT_SCL.counter[1] I1=$true .attr src "top.v:19|i2c_slave.v:45|simple_filter.v:14|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$6772.C[2] O=$abc$25847$n896 .attr src "top.v:19|i2c_slave.v:45|simple_filter.v:14|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[0] I2=$false I3=$true O=$abc$25847$n889 .attr src "top.v:19|i2c_slave.v:46|simple_filter.v:14|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=I2C.FLT_SDA.counter[0] CO=$auto$alumacc.cc:474:replace_alu$6775.C[2] I0=I2C.FLT_SDA.counter[1] I1=$true .attr src "top.v:19|i2c_slave.v:46|simple_filter.v:14|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$6775.C[2] O=$abc$25847$n892 .attr src "top.v:19|i2c_slave.v:46|simple_filter.v:14|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.report_adress_rd[0] I3=$false O=$abc$25847$n968 .attr src "top.v:34|matrix_kbd.v:100|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=KEYBOARD.report_adress_rd[0] CO=$auto$alumacc.cc:474:replace_alu$6778.C[2] I0=$false I1=KEYBOARD.report_adress_rd[1] .attr src "top.v:34|matrix_kbd.v:100|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.report_adress_rd[2] I3=$auto$alumacc.cc:474:replace_alu$6778.C[2] O=$abc$25847$n971 .attr src "top.v:34|matrix_kbd.v:100|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6778.C[2] CO=$auto$alumacc.cc:474:replace_alu$6778.C[3] I0=$false I1=KEYBOARD.report_adress_rd[2] .attr src "top.v:34|matrix_kbd.v:100|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.report_adress_rd[3] I3=$auto$alumacc.cc:474:replace_alu$6778.C[3] O=$abc$25847$n972 .attr src "top.v:34|matrix_kbd.v:100|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_counter[0] I3=$false O=$abc$25847$n1708 .attr src "top.v:34|matrix_kbd.v:117|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=KEYBOARD.row_counter[0] CO=$auto$alumacc.cc:474:replace_alu$6781.C[2] I0=$false I1=KEYBOARD.row_counter[1] .attr src "top.v:34|matrix_kbd.v:117|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[2] I3=$auto$alumacc.cc:474:replace_alu$6781.C[2] O=$abc$25847$n1712 .attr src "top.v:34|matrix_kbd.v:117|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6781.C[2] CO=$auto$alumacc.cc:474:replace_alu$6781.C[3] I0=$false I1=KEYBOARD.row_counter[2] .attr src "top.v:34|matrix_kbd.v:117|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[3] I3=$auto$alumacc.cc:474:replace_alu$6781.C[3] O=$abc$25847$n18 .attr src "top.v:34|matrix_kbd.v:117|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_time[0] I3=$false O=$abc$25847$n1693 .attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[10] I3=$auto$alumacc.cc:474:replace_alu$6784.C[10] O=$abc$25847$n1703 .attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6784.C[10] CO=$auto$alumacc.cc:474:replace_alu$6784.C[11] I0=$false I1=KEYBOARD.row_time[10] .attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[11] I3=$auto$alumacc.cc:474:replace_alu$6784.C[11] O=$abc$25847$n1704 .attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6784.C[11] CO=$auto$alumacc.cc:474:replace_alu$6784.C[12] I0=$false I1=KEYBOARD.row_time[11] .attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[12] I3=$auto$alumacc.cc:474:replace_alu$6784.C[12] O=$abc$25847$n1705 .attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6784.C[12] CO=$auto$alumacc.cc:474:replace_alu$6784.C[13] I0=$false I1=KEYBOARD.row_time[12] .attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[13] I3=$auto$alumacc.cc:474:replace_alu$6784.C[13] O=$abc$25847$n1706 .attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6784.C[13] CO=$auto$alumacc.cc:474:replace_alu$6784.C[14] I0=$false I1=KEYBOARD.row_time[13] .attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[14] I3=$auto$alumacc.cc:474:replace_alu$6784.C[14] O=$abc$25847$n1707 .attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=KEYBOARD.row_time[0] CO=$auto$alumacc.cc:474:replace_alu$6784.C[2] I0=$false I1=KEYBOARD.row_time[1] .attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[2] I3=$auto$alumacc.cc:474:replace_alu$6784.C[2] O=$abc$25847$n1695 .attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6784.C[2] CO=$auto$alumacc.cc:474:replace_alu$6784.C[3] I0=$false I1=KEYBOARD.row_time[2] .attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[3] I3=$auto$alumacc.cc:474:replace_alu$6784.C[3] O=$abc$25847$n1696 .attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6784.C[3] CO=$auto$alumacc.cc:474:replace_alu$6784.C[4] I0=$false I1=KEYBOARD.row_time[3] .attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[4] I3=$auto$alumacc.cc:474:replace_alu$6784.C[4] O=$abc$25847$n1697 .attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6784.C[4] CO=$auto$alumacc.cc:474:replace_alu$6784.C[5] I0=$false I1=KEYBOARD.row_time[4] .attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[5] I3=$auto$alumacc.cc:474:replace_alu$6784.C[5] O=$abc$25847$n1698 .attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6784.C[5] CO=$auto$alumacc.cc:474:replace_alu$6784.C[6] I0=$false I1=KEYBOARD.row_time[5] .attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[6] I3=$auto$alumacc.cc:474:replace_alu$6784.C[6] O=$abc$25847$n1699 .attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6784.C[6] CO=$auto$alumacc.cc:474:replace_alu$6784.C[7] I0=$false I1=KEYBOARD.row_time[6] .attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[7] I3=$auto$alumacc.cc:474:replace_alu$6784.C[7] O=$abc$25847$n1700 .attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6784.C[7] CO=$auto$alumacc.cc:474:replace_alu$6784.C[8] I0=$false I1=KEYBOARD.row_time[7] .attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[8] I3=$auto$alumacc.cc:474:replace_alu$6784.C[8] O=$abc$25847$n1701 .attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6784.C[8] CO=$auto$alumacc.cc:474:replace_alu$6784.C[9] I0=$false I1=KEYBOARD.row_time[8] .attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[9] I3=$auto$alumacc.cc:474:replace_alu$6784.C[9] O=$abc$25847$n1702 .attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6784.C[9] CO=$auto$alumacc.cc:474:replace_alu$6784.C[10] I0=$false I1=KEYBOARD.row_time[9] .attr src "top.v:34|matrix_kbd.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[0] I3=$false O=$abc$25847$n1782 .attr src "top.v:34|matrix_kbd.v:149|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[0] CO=$auto$alumacc.cc:474:replace_alu$6787.C[2] I0=$false I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[1] .attr src "top.v:34|matrix_kbd.v:149|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[2] I3=$auto$alumacc.cc:474:replace_alu$6787.C[2] O=$abc$25847$n1786 .attr src "top.v:34|matrix_kbd.v:149|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6787.C[2] CO=$auto$alumacc.cc:474:replace_alu$6787.C[3] I0=$false I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[2] .attr src "top.v:34|matrix_kbd.v:149|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[3] I3=$auto$alumacc.cc:474:replace_alu$6787.C[3] O=$abc$25847$n1788 .attr src "top.v:34|matrix_kbd.v:149|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6787.C[3] CO=$auto$alumacc.cc:474:replace_alu$6787.C[4] I0=$false I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[3] .attr src "top.v:34|matrix_kbd.v:149|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[4] I3=$auto$alumacc.cc:474:replace_alu$6787.C[4] O=$abc$25847$n1790 .attr src "top.v:34|matrix_kbd.v:149|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6787.C[4] CO=$auto$alumacc.cc:474:replace_alu$6787.C[5] I0=$false I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[4] .attr src "top.v:34|matrix_kbd.v:149|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[5] I3=$auto$alumacc.cc:474:replace_alu$6787.C[5] O=$abc$25847$n1792 .attr src "top.v:34|matrix_kbd.v:149|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6787.C[5] CO=$auto$alumacc.cc:474:replace_alu$6787.C[6] I0=$false I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[5] .attr src "top.v:34|matrix_kbd.v:149|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[6] I3=$auto$alumacc.cc:474:replace_alu$6787.C[6] O=$abc$25847$n1794 .attr src "top.v:34|matrix_kbd.v:149|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6787.C[6] CO=$auto$alumacc.cc:474:replace_alu$6787.C[7] I0=$false I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[6] .attr src "top.v:34|matrix_kbd.v:149|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[7] I3=$auto$alumacc.cc:474:replace_alu$6787.C[7] O=$abc$25847$n1796 .attr src "top.v:34|matrix_kbd.v:149|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.tmr_to_ram[0] I3=$false O=$abc$25847$n1824 .attr src "top.v:34|matrix_kbd.v:223|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=KEYBOARD.tmr_to_ram[0] CO=$auto$alumacc.cc:474:replace_alu$6790.C[2] I0=$false I1=KEYBOARD.tmr_to_ram[1] .attr src "top.v:34|matrix_kbd.v:223|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.tmr_to_ram[2] I3=$auto$alumacc.cc:474:replace_alu$6790.C[2] O=$abc$25847$n1828 .attr src "top.v:34|matrix_kbd.v:223|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6790.C[2] CO=$auto$alumacc.cc:474:replace_alu$6790.C[3] I0=$false I1=KEYBOARD.tmr_to_ram[2] .attr src "top.v:34|matrix_kbd.v:223|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.tmr_to_ram[3] I3=$auto$alumacc.cc:474:replace_alu$6790.C[3] O=$abc$25847$n1830 .attr src "top.v:34|matrix_kbd.v:223|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6790.C[3] CO=$auto$alumacc.cc:474:replace_alu$6790.C[4] I0=$false I1=KEYBOARD.tmr_to_ram[3] .attr src "top.v:34|matrix_kbd.v:223|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.tmr_to_ram[4] I3=$auto$alumacc.cc:474:replace_alu$6790.C[4] O=$abc$25847$n1832 .attr src "top.v:34|matrix_kbd.v:223|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6790.C[4] CO=$auto$alumacc.cc:474:replace_alu$6790.C[5] I0=$false I1=KEYBOARD.tmr_to_ram[4] .attr src "top.v:34|matrix_kbd.v:223|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.tmr_to_ram[5] I3=$auto$alumacc.cc:474:replace_alu$6790.C[5] O=$abc$25847$n1834 .attr src "top.v:34|matrix_kbd.v:223|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6790.C[5] CO=$auto$alumacc.cc:474:replace_alu$6790.C[6] I0=$false I1=KEYBOARD.tmr_to_ram[5] .attr src "top.v:34|matrix_kbd.v:223|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.tmr_to_ram[6] I3=$auto$alumacc.cc:474:replace_alu$6790.C[6] O=$abc$25847$n1836 .attr src "top.v:34|matrix_kbd.v:223|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6790.C[6] CO=$auto$alumacc.cc:474:replace_alu$6790.C[7] I0=$false I1=KEYBOARD.tmr_to_ram[6] .attr src "top.v:34|matrix_kbd.v:223|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.tmr_to_ram[7] I3=$auto$alumacc.cc:474:replace_alu$6790.C[7] O=$abc$25847$n1838 .attr src "top.v:34|matrix_kbd.v:223|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.last_adr[0] I3=$false O=$abc$25847$n856 .attr src "top.v:34|matrix_kbd.v:95|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=KEYBOARD.last_adr[0] CO=$auto$alumacc.cc:474:replace_alu$6793.C[2] I0=$false I1=KEYBOARD.last_adr[1] .attr src "top.v:34|matrix_kbd.v:95|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.last_adr[2] I3=$auto$alumacc.cc:474:replace_alu$6793.C[2] O=$abc$25847$n859 .attr src "top.v:34|matrix_kbd.v:95|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6793.C[2] CO=$auto$alumacc.cc:474:replace_alu$6793.C[3] I0=$false I1=KEYBOARD.last_adr[2] .attr src "top.v:34|matrix_kbd.v:95|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.last_adr[3] I3=$auto$alumacc.cc:474:replace_alu$6793.C[3] O=$abc$25847$n860 .attr src "top.v:34|matrix_kbd.v:95|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6793.C[3] CO=$auto$alumacc.cc:474:replace_alu$6793.C[4] I0=$false I1=KEYBOARD.last_adr[3] .attr src "top.v:34|matrix_kbd.v:95|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.last_adr[4] I3=$auto$alumacc.cc:474:replace_alu$6793.C[4] O=$abc$25847$n862 .attr src "top.v:34|matrix_kbd.v:95|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6793.C[4] CO=$auto$alumacc.cc:474:replace_alu$6793.C[5] I0=$false I1=KEYBOARD.last_adr[4] .attr src "top.v:34|matrix_kbd.v:95|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.last_adr[5] I3=$auto$alumacc.cc:474:replace_alu$6793.C[5] O=$abc$25847$n863 .attr src "top.v:34|matrix_kbd.v:95|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6793.C[5] CO=$auto$alumacc.cc:474:replace_alu$6793.C[6] I0=$false I1=KEYBOARD.last_adr[5] .attr src "top.v:34|matrix_kbd.v:95|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.last_adr[6] I3=$auto$alumacc.cc:474:replace_alu$6793.C[6] O=$abc$25847$n865 .attr src "top.v:34|matrix_kbd.v:95|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6793.C[6] CO=$auto$alumacc.cc:474:replace_alu$6793.C[7] I0=$false I1=KEYBOARD.last_adr[6] .attr src "top.v:34|matrix_kbd.v:95|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.last_adr[7] I3=$auto$alumacc.cc:474:replace_alu$6793.C[7] O=$abc$25847$n1614 .attr src "top.v:34|matrix_kbd.v:95|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6793.C[7] CO=$auto$alumacc.cc:474:replace_alu$6793.C[8] I0=$false I1=KEYBOARD.last_adr[7] .attr src "top.v:34|matrix_kbd.v:95|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.last_adr[8] I3=$auto$alumacc.cc:474:replace_alu$6793.C[8] O=$abc$25847$n871 .attr src "top.v:34|matrix_kbd.v:95|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[0] I2=$false I3=$true O=$abc$25847$n883 .attr src "top.v:25|uart.v:33|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=UART.tx_clk_counter[0] CO=$auto$alumacc.cc:474:replace_alu$6796.C[2] I0=UART.tx_clk_counter[1] I1=$true .attr src "top.v:25|uart.v:33|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$6796.C[2] O=$abc$25847$n886 .attr src "top.v:25|uart.v:33|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6796.C[2] CO=$auto$alumacc.cc:474:replace_alu$6796.C[3] I0=UART.tx_clk_counter[2] I1=$true .attr src "top.v:25|uart.v:33|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[3] I2=$true I3=$auto$alumacc.cc:474:replace_alu$6796.C[3] O=$abc$25847$n887 .attr src "top.v:25|uart.v:33|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[0] I2=$false I3=$true O=$abc$25847$n1908 .attr src "top.v:25|uart.v:39|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=UART.tx_bit_counter[0] CO=$auto$alumacc.cc:474:replace_alu$6799.C[2] I0=UART.tx_bit_counter[1] I1=$true .attr src "top.v:25|uart.v:39|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$6799.C[2] O=$abc$25847$n1928 .attr src "top.v:25|uart.v:39|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6799.C[2] CO=$auto$alumacc.cc:474:replace_alu$6799.C[3] I0=UART.tx_bit_counter[2] I1=$true .attr src "top.v:25|uart.v:39|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[3] I2=$true I3=$auto$alumacc.cc:474:replace_alu$6799.C[3] O=$abc$25847$n1924 .attr src "top.v:25|uart.v:39|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$false I2=$abc$25847$n1927 I3=$true O=$abc$25847$n1245 .attr src "top.v:25|uart.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$abc$25847$n1927 CO=$auto$alumacc.cc:474:replace_alu$6802.C[2] I0=$false I1=$abc$25847$n1907 .attr src "top.v:25|uart.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$abc$25847$n1929 I3=$auto$alumacc.cc:474:replace_alu$6802.C[2] O=$abc$25847$n1231 .attr src "top.v:25|uart.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_DFFNE C=CLK D=$abc$25847$n1084 E=I2C.FLT_SCL.RESET Q=I2C.i2c_bit_counter[3] .attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$25847$n1076 E=I2C.FLT_SCL.RESET Q=I2C.is_read .attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$25847$n1053 E=$abc$25847$n101 Q=I2C.i2c_start_latency .attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNSR C=CLK D=$abc$25847$n1074 Q=I2C.i2c_state_machine R=$abc$25847$n21 .attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFNE C=CLK D=$abc$25847$n1 E=I2C.FLT_SCL.RESET Q=$abc$25847$n8 .attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$25847$n3 E=I2C.FLT_SCL.RESET Q=$abc$25847$n10 .attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$25847$n1072 E=I2C.FLT_SCL.RESET Q=I2C.SDA_DIR .attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNSR C=CLK D=$abc$25847$n1166 Q=UART.tx_activity R=$abc$25847$n21 .attr src "top.v:25|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFNE C=CLK D=$abc$25847$n5 E=$abc$25847$n116 Q=$abc$25847$n12 .attr src "top.v:25|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$25847$n1168 E=$abc$25847$n115 Q=UART.tx_clk_counter[0] S=$abc$25847$n17 .attr src "top.v:25|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n1169 E=$abc$25847$n115 Q=UART.tx_clk_counter[1] R=$abc$25847$n17 .attr src "top.v:25|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$25847$n1170 E=$abc$25847$n115 Q=UART.tx_clk_counter[2] S=$abc$25847$n17 .attr src "top.v:25|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$25847$n1171 E=$abc$25847$n115 Q=UART.tx_clk_counter[3] S=$abc$25847$n17 .attr src "top.v:25|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$25847$n1908 E=$abc$25847$n116 Q=UART.tx_bit_counter[0] S=$abc$25847$n17 .attr src "top.v:25|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n1906 E=$abc$25847$n116 Q=UART.tx_bit_counter[1] R=$abc$25847$n17 .attr src "top.v:25|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n1928 E=$abc$25847$n116 Q=UART.tx_bit_counter[2] R=$abc$25847$n17 .attr src "top.v:25|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$25847$n1924 E=$abc$25847$n116 Q=UART.tx_bit_counter[3] S=$abc$25847$n17 .attr src "top.v:25|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=UART_WR E=I2C.FLT_SCL.RESET Q=UART.TX_sig_last .attr src "top.v:25|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFE C=CLK D=$abc$25847$n7 E=$abc$25847$n135 Q=LED1 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=I2C.wr E=$abc$25847$n135 Q=last_wr R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFSS C=CLK D=$2\IS_RAM_INIT[0:0] Q=IS_RAM_INIT S=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFFESR C=CLK D=$2\report_wr_en[0:0] E=$abc$25847$n131 Q=report_wr_en R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFF C=CLK D=$0\rststate[3:0][0] Q=rststate[0] .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$0\rststate[3:0][1] Q=rststate[1] .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$0\rststate[3:0][2] Q=rststate[2] .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$0\rststate[3:0][3] Q=rststate[3] .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFFESR C=CLK D=$2\UART_WR[0:0] E=$abc$25847$n136 Q=UART_WR R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][0] E=$abc$25847$n150 Q=UART_TX_DATA[0] S=$abc$25847$n141 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][1] E=$abc$25847$n150 Q=UART_TX_DATA[1] S=$abc$25847$n141 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][2] E=$abc$25847$n150 Q=UART_TX_DATA[2] S=$abc$25847$n141 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][3] E=$abc$25847$n150 Q=UART_TX_DATA[3] S=$abc$25847$n141 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][4] E=$abc$25847$n150 Q=UART_TX_DATA[4] S=$abc$25847$n141 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][5] E=$abc$25847$n150 Q=UART_TX_DATA[5] S=$abc$25847$n141 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][6] E=$abc$25847$n150 Q=UART_TX_DATA[6] S=$abc$25847$n141 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][7] E=$abc$25847$n150 Q=UART_TX_DATA[7] S=$abc$25847$n141 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][0] E=$abc$25847$n135 Q=int_tmr[0] R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][1] E=$abc$25847$n135 Q=int_tmr[1] R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][2] E=$abc$25847$n135 Q=int_tmr[2] R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][3] E=$abc$25847$n135 Q=int_tmr[3] R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][4] E=$abc$25847$n135 Q=int_tmr[4] R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][5] E=$abc$25847$n135 Q=int_tmr[5] R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][6] E=$abc$25847$n135 Q=int_tmr[6] R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][7] E=$abc$25847$n135 Q=int_tmr[7] R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][8] E=$abc$25847$n135 Q=int_tmr[8] R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][9] E=$abc$25847$n135 Q=int_tmr[9] R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][10] E=$abc$25847$n135 Q=int_tmr[10] R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][11] E=$abc$25847$n135 Q=int_tmr[11] R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][12] E=$abc$25847$n135 Q=int_tmr[12] R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][13] E=$abc$25847$n135 Q=int_tmr[13] R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][14] E=$abc$25847$n135 Q=int_tmr[14] R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][0] E=$abc$25847$n135 Q=ring_wr[0] R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][1] E=$abc$25847$n135 Q=ring_wr[1] R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][2] E=$abc$25847$n135 Q=ring_wr[2] R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][3] E=$abc$25847$n135 Q=ring_wr[3] R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][0] E=$abc$25847$n135 Q=ring_rd[0] S=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][1] E=$abc$25847$n135 Q=ring_rd[1] S=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][2] E=$abc$25847$n135 Q=ring_rd[2] S=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][3] E=$abc$25847$n135 Q=ring_rd[3] S=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][0] E=$abc$25847$n129 Q=wr_cnt[0] R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][1] E=$abc$25847$n129 Q=wr_cnt[1] R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][2] E=$abc$25847$n129 Q=wr_cnt[2] R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][3] E=$abc$25847$n129 Q=wr_cnt[3] R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\temp_output_report[2:0][1] E=$abc$25847$n149 Q=temp_output_report[1] .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\temp_output_report[2:0][2] E=$abc$25847$n149 Q=temp_output_report[2] .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$20\i2c_input_data_type[3:0][0] E=$abc$25847$n149 Q=i2c_input_data_type[0] R=$abc$25847$n141 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$20\i2c_input_data_type[3:0][1] E=$abc$25847$n149 Q=i2c_input_data_type[1] R=$abc$25847$n141 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$20\i2c_input_data_type[3:0][2] E=$abc$25847$n149 Q=i2c_input_data_type[2] R=$abc$25847$n141 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$20\i2c_input_data_type[3:0][3] E=$abc$25847$n149 Q=i2c_input_data_type[3] R=$abc$25847$n141 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][0] E=$abc$25847$n135 Q=I2C_COUNTER[0] R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][1] E=$abc$25847$n135 Q=I2C_COUNTER[1] R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][2] E=$abc$25847$n135 Q=I2C_COUNTER[2] R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][3] E=$abc$25847$n135 Q=I2C_COUNTER[3] R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][4] E=$abc$25847$n135 Q=I2C_COUNTER[4] R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][5] E=$abc$25847$n135 Q=I2C_COUNTER[5] R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][6] E=$abc$25847$n135 Q=I2C_COUNTER[6] R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][0] E=$abc$25847$n135 Q=I2C_HID_DESC.DESC_TYPE R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][1] E=$abc$25847$n135 Q=I2C_OUTPUT_TYPE[1] S=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][2] E=$abc$25847$n135 Q=I2C_OUTPUT_TYPE[2] R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$25847$n157 Q=I2C_OUT_DESC_MASK[0] R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$25847$n157 Q=I2C_OUT_DESC_MASK[1] R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$25847$n157 Q=I2C_OUT_DESC_MASK[2] R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$25847$n157 Q=I2C_OUT_DESC_MASK[3] R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$25847$n157 Q=I2C_OUT_DESC_MASK[4] R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$25847$n157 Q=I2C_OUT_DESC_MASK[5] R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$25847$n157 Q=I2C_OUT_DESC_MASK[6] R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$25847$n157 Q=I2C_OUT_DESC_MASK[7] R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$0\temp_output_report[2:0][1] E=$abc$25847$n184 Q=LED3 R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$0\temp_output_report[2:0][2] E=$abc$25847$n184 Q=LED4 R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=I2C_TRANS E=$abc$25847$n135 Q=last_trans R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.isr E=$abc$25847$n135 Q=last_isr R=$abc$25847$n21 .attr src "top.v:62|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFNESR C=CLK D=$abc$25847$n1733 E=$abc$25847$n267 Q=KEYBOARD.tmr_to_ram[0] R=KEYBOARD.IS_RAM_INIT .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n1736 E=$abc$25847$n267 Q=KEYBOARD.tmr_to_ram[1] R=KEYBOARD.IS_RAM_INIT .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n1739 E=$abc$25847$n267 Q=KEYBOARD.tmr_to_ram[2] R=KEYBOARD.IS_RAM_INIT .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n1742 E=$abc$25847$n267 Q=KEYBOARD.tmr_to_ram[3] R=KEYBOARD.IS_RAM_INIT .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n1745 E=$abc$25847$n267 Q=KEYBOARD.tmr_to_ram[4] R=KEYBOARD.IS_RAM_INIT .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n1748 E=$abc$25847$n267 Q=KEYBOARD.tmr_to_ram[5] R=KEYBOARD.IS_RAM_INIT .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n1751 E=$abc$25847$n267 Q=KEYBOARD.tmr_to_ram[6] R=KEYBOARD.IS_RAM_INIT .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n1754 E=$abc$25847$n267 Q=KEYBOARD.tmr_to_ram[7] R=KEYBOARD.IS_RAM_INIT .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$false E=$abc$25847$n280 Q=KEYBOARD.is_ghost .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$25847$n1662 E=$abc$25847$n284 Q=KEYBOARD.tmr_wr_en .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n1661 E=$abc$25847$n290 Q=KEYBOARD.report_wr_en R=$abc$25847$n21 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n1843 E=$abc$25847$n318 Q=KEYBOARD.report_data_wr[0] R=KEYBOARD.IS_RAM_INIT .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$25847$n1654 E=$abc$25847$n318 Q=KEYBOARD.report_data_wr[1] .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n1848 E=$abc$25847$n318 Q=KEYBOARD.report_data_wr[2] R=KEYBOARD.IS_RAM_INIT .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$25847$n1656 E=$abc$25847$n318 Q=KEYBOARD.report_data_wr[3] .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n1853 E=$abc$25847$n318 Q=KEYBOARD.report_data_wr[4] R=KEYBOARD.IS_RAM_INIT .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n1856 E=$abc$25847$n318 Q=KEYBOARD.report_data_wr[5] R=KEYBOARD.IS_RAM_INIT .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n1859 E=$abc$25847$n318 Q=KEYBOARD.report_data_wr[6] R=KEYBOARD.IS_RAM_INIT .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n1862 E=$abc$25847$n318 Q=KEYBOARD.report_data_wr[7] R=KEYBOARD.IS_RAM_INIT .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$25847$n1646 E=$abc$25847$n367 Q=KEYBOARD.report_adress_rd[0] S=$abc$25847$n21 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n1648 E=$abc$25847$n367 Q=KEYBOARD.report_adress_rd[1] R=$abc$25847$n21 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$25847$n1650 E=$abc$25847$n367 Q=KEYBOARD.report_adress_rd[2] S=$abc$25847$n21 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n1652 E=$abc$25847$n367 Q=KEYBOARD.report_adress_rd[3] R=$abc$25847$n21 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$25847$n1659 E=$abc$25847$n135 Q=KEYBOARD.IS_RAM_INIT S=$abc$25847$n21 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n1599 E=$abc$25847$n135 Q=KEYBOARD.last_adr[0] R=$abc$25847$n21 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n1602 E=$abc$25847$n135 Q=KEYBOARD.last_adr[1] R=$abc$25847$n21 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$25847$n1604 E=$abc$25847$n135 Q=KEYBOARD.last_adr[2] S=$abc$25847$n21 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n1606 E=$abc$25847$n135 Q=KEYBOARD.last_adr[3] R=$abc$25847$n21 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$25847$n1608 E=$abc$25847$n135 Q=KEYBOARD.last_adr[4] S=$abc$25847$n21 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$25847$n1610 E=$abc$25847$n135 Q=KEYBOARD.last_adr[5] S=$abc$25847$n21 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$25847$n1612 E=$abc$25847$n135 Q=KEYBOARD.last_adr[6] S=$abc$25847$n21 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$25847$n1615 E=$abc$25847$n135 Q=KEYBOARD.last_adr[7] S=$abc$25847$n21 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$25847$n1617 E=$abc$25847$n135 Q=KEYBOARD.last_adr[8] S=$abc$25847$n21 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$25847$n1658 E=$abc$25847$n149 Q=KEYBOARD.last_wr .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$25847$n1755 E=$abc$25847$n387 Q=KEYBOARD.is_pressed .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$25847$n1619 E=$abc$25847$n392 Q=KEYBOARD.COLUMN_SHADOW[0] S=$abc$25847$n21 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$25847$n1621 E=$abc$25847$n392 Q=KEYBOARD.COLUMN_SHADOW[1] S=$abc$25847$n21 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$25847$n1623 E=$abc$25847$n392 Q=KEYBOARD.COLUMN_SHADOW[2] S=$abc$25847$n21 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$25847$n1625 E=$abc$25847$n392 Q=KEYBOARD.COLUMN_SHADOW[3] S=$abc$25847$n21 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$25847$n1627 E=$abc$25847$n392 Q=KEYBOARD.COLUMN_SHADOW[4] S=$abc$25847$n21 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$25847$n1629 E=$abc$25847$n392 Q=KEYBOARD.COLUMN_SHADOW[5] S=$abc$25847$n21 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$25847$n1631 E=$abc$25847$n392 Q=KEYBOARD.COLUMN_SHADOW[6] S=$abc$25847$n21 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$25847$n1633 E=$abc$25847$n392 Q=KEYBOARD.COLUMN_SHADOW[7] S=$abc$25847$n21 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n2018 E=$abc$25847$n396 Q=KEYBOARD.ROWS_EN[0] R=$abc$25847$n18 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n2019 E=$abc$25847$n396 Q=KEYBOARD.ROWS_EN[1] R=$abc$25847$n18 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n2020 E=$abc$25847$n396 Q=KEYBOARD.ROWS_EN[2] R=$abc$25847$n18 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n2021 E=$abc$25847$n396 Q=KEYBOARD.ROWS_EN[3] R=$abc$25847$n18 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n2022 E=$abc$25847$n396 Q=KEYBOARD.ROWS_EN[4] R=$abc$25847$n18 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n2023 E=$abc$25847$n396 Q=KEYBOARD.ROWS_EN[5] R=$abc$25847$n18 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n2024 E=$abc$25847$n396 Q=KEYBOARD.ROWS_EN[6] R=$abc$25847$n18 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n2025 E=$abc$25847$n396 Q=KEYBOARD.ROWS_EN[7] R=$abc$25847$n18 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n2018 E=$abc$25847$n396 Q=KEYBOARD.ROWS_EN[8] R=$abc$25847$n19 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n2019 E=$abc$25847$n396 Q=KEYBOARD.ROWS_EN[9] R=$abc$25847$n19 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n2020 E=$abc$25847$n396 Q=KEYBOARD.ROWS_EN[10] R=$abc$25847$n19 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n2021 E=$abc$25847$n396 Q=KEYBOARD.ROWS_EN[11] R=$abc$25847$n19 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n2022 E=$abc$25847$n396 Q=KEYBOARD.ROWS_EN[12] R=$abc$25847$n19 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n2023 E=$abc$25847$n396 Q=KEYBOARD.ROWS_EN[13] R=$abc$25847$n19 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n2024 E=$abc$25847$n396 Q=KEYBOARD.ROWS_EN[14] R=$abc$25847$n19 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n2025 E=$abc$25847$n396 Q=KEYBOARD.ROWS_EN[15] R=$abc$25847$n19 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n1717 E=$abc$25847$n410 Q=KEYBOARD.isr_internal R=$abc$25847$n21 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=KEYBOARD.isr_internal E=$abc$25847$n415 Q=KEYBOARD.isr R=$abc$25847$n21 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n1635 E=$abc$25847$n135 Q=KEYBOARD.row_counter[0] R=$abc$25847$n21 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n1637 E=$abc$25847$n135 Q=KEYBOARD.row_counter[1] R=$abc$25847$n21 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n1639 E=$abc$25847$n135 Q=KEYBOARD.row_counter[2] R=$abc$25847$n21 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n1641 E=$abc$25847$n135 Q=KEYBOARD.row_counter[3] R=$abc$25847$n21 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n805 E=$abc$25847$n384 Q=KEYBOARD.row_time[0] R=$abc$25847$n21 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n823 E=$abc$25847$n384 Q=KEYBOARD.row_time[1] R=$abc$25847$n21 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n808 E=$abc$25847$n384 Q=KEYBOARD.row_time[2] R=$abc$25847$n21 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n939 E=$abc$25847$n384 Q=KEYBOARD.row_time[3] R=$abc$25847$n21 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n940 E=$abc$25847$n384 Q=KEYBOARD.row_time[4] R=$abc$25847$n21 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n941 E=$abc$25847$n384 Q=KEYBOARD.row_time[5] R=$abc$25847$n21 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n817 E=$abc$25847$n384 Q=KEYBOARD.row_time[6] R=$abc$25847$n21 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n236 E=$abc$25847$n384 Q=KEYBOARD.row_time[7] R=$abc$25847$n21 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n1642 E=$abc$25847$n135 Q=KEYBOARD.row_time[8] R=$abc$25847$n21 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n1643 E=$abc$25847$n135 Q=KEYBOARD.row_time[9] R=$abc$25847$n21 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n1644 E=$abc$25847$n135 Q=KEYBOARD.row_time[10] R=$abc$25847$n21 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n943 E=$abc$25847$n384 Q=KEYBOARD.row_time[11] R=$abc$25847$n21 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n944 E=$abc$25847$n384 Q=KEYBOARD.row_time[12] R=$abc$25847$n21 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n946 E=$abc$25847$n384 Q=KEYBOARD.row_time[13] R=$abc$25847$n21 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$25847$n947 E=$abc$25847$n384 Q=KEYBOARD.row_time[14] R=$abc$25847$n21 .attr src "top.v:34|matrix_kbd.v:77|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFF C=CLK D=SCL Q=I2C.SCLF .attr src "top.v:19|i2c_slave.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=I2C.SDA_IN Q=I2C.SDAF .attr src "top.v:19|i2c_slave.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFFNE C=CLK D=$abc$25847$n1090 E=I2C.FLT_SCL.RESET Q=I2C.wr .attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$25847$n1088 E=I2C.FLT_SCL.RESET Q=I2C.is_ack .attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$25847$n1086 E=I2C.FLT_SCL.RESET Q=I2C.is_adress .attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$25847$n434 Q=I2C.received_byte[0] .attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$25847$n441 Q=I2C.received_byte[1] .attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$25847$n452 Q=I2C.received_byte[2] .attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$25847$n462 Q=I2C.received_byte[3] .attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$25847$n469 Q=I2C.received_byte[4] .attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$25847$n476 Q=I2C.received_byte[5] .attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$25847$n485 Q=I2C.received_byte[6] .attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$25847$n492 Q=I2C.received_byte[7] .attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$25847$n1078 E=I2C.FLT_SCL.RESET Q=I2C.i2c_bit_counter[0] .attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$25847$n1080 E=I2C.FLT_SCL.RESET Q=I2C.i2c_bit_counter[1] .attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$25847$n1082 E=I2C.FLT_SCL.RESET Q=I2C.i2c_bit_counter[2] .attr src "top.v:19|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFES C=CLK D=I2C.SDAF E=$abc$25847$n501 Q=I2C.FLT_SDA.out S=$abc$25847$n21 .attr src "top.v:19|i2c_slave.v:46|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:23" .gate SB_DFFER C=CLK D=$abc$25847$n1174 E=$abc$25847$n502 Q=I2C.FLT_SDA.counter[0] R=$abc$25847$n21 .attr src "top.v:19|i2c_slave.v:46|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" .gate SB_DFFER C=CLK D=$abc$25847$n1175 E=$abc$25847$n502 Q=I2C.FLT_SDA.counter[1] R=$abc$25847$n21 .attr src "top.v:19|i2c_slave.v:46|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" .gate SB_DFFER C=CLK D=$abc$25847$n1176 E=$abc$25847$n502 Q=I2C.FLT_SDA.counter[2] R=$abc$25847$n21 .attr src "top.v:19|i2c_slave.v:46|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" .gate SB_DFFES C=CLK D=I2C.SCLF E=$abc$25847$n511 Q=I2C.FLT_SCL.out S=$abc$25847$n21 .attr src "top.v:19|i2c_slave.v:45|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:23" .gate SB_DFFER C=CLK D=$abc$25847$n1177 E=$abc$25847$n512 Q=I2C.FLT_SCL.counter[0] R=$abc$25847$n21 .attr src "top.v:19|i2c_slave.v:45|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" .gate SB_DFFER C=CLK D=$abc$25847$n1178 E=$abc$25847$n512 Q=I2C.FLT_SCL.counter[1] R=$abc$25847$n21 .attr src "top.v:19|i2c_slave.v:45|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" .gate SB_DFFER C=CLK D=$abc$25847$n1179 E=$abc$25847$n512 Q=I2C.FLT_SCL.counter[2] R=$abc$25847$n21 .attr src "top.v:19|i2c_slave.v:45|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" .gate SB_IO D_IN_0=I2C.SDA_IN D_OUT_0=$false OUTPUT_ENABLE=I2C.SDA_DIR PACKAGE_PIN=SDA .attr src "top.v:19|i2c_slave.v:158" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_RAM40_4K RADDR[0]=I2C_COUNTER[0] RADDR[1]=I2C_COUNTER[1] RADDR[2]=I2C_COUNTER[2] RADDR[3]=I2C_COUNTER[3] RADDR[4]=I2C_COUNTER[4] RADDR[5]=I2C_COUNTER[5] RADDR[6]=I2C_COUNTER[6] RADDR[7]=I2C_HID_DESC.DESC_TYPE RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=I2C_HID_DESC.CLK RCLKE=$true RDATA[0]=I2C_HID_DESC.VAL[0] RDATA[1]=I2C_HID_DESC.VAL[1] RDATA[2]=I2C_HID_DESC.VAL[2] RDATA[3]=I2C_HID_DESC.VAL[3] RDATA[4]=I2C_HID_DESC.VAL[4] RDATA[5]=I2C_HID_DESC.VAL[5] RDATA[6]=I2C_HID_DESC.VAL[6] RDATA[7]=I2C_HID_DESC.VAL[7] RDATA[8]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$300[0] RDATA[9]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$300[1] RDATA[10]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$300[2] RDATA[11]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$300[3] RDATA[12]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$300[4] RDATA[13]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$300[5] RDATA[14]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$300[6] RDATA[15]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$300[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WDATA[8]=$false WDATA[9]=$false WDATA[10]=$false WDATA[11]=$false WDATA[12]=$false WDATA[13]=$false WDATA[14]=$false WDATA[15]=$false WE=$false .attr src "top.v:36|descriptors.v:147" .param INIT_0 0000000000000011000000000000000000000000000001000000000000000000000000000000101000000000000000000000000000000011000000000000000000000000000000100000000000000000000000000011111100000000000000010000000000000000000000000000000000000000000111100000000000000000 .param INIT_1 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000100000000000000010000000000000100000000001001111100000000000000000000000000000110000000000000000000000000000001010000000000000000 .param INIT_8 0000000000100101000000000000000000000000000101010000000011100111000000000010100100000000111000000000000000011001000000000000011100000000000001010000000000000001000000001010000100000000000001100000000000001001000000000000000100000000000001010000000000000000 .param INIT_9 0000000001110101000000000000010100000000100101010000000000000001000000001000000100000000000010000000000001110101000000000000000100000000100101010000000000000010000000001000000100000000000010000000000010010101000000000000000100000000011101010000000000000001 .param INIT_A 0000000010010101000000000000001100000000100100010000000000000011000000000111010100000000000000010000000010010101000000000000001000000000100100010000000000000101000000000010100100000000000000010000000000011001000000000000100000000000000001010000000000000001 .param INIT_B 0000000011000000000000000000000000000000100000010000000001100101000000000010100100000000000000000000000000011001000000000000011100000000000001010000000001100101000000000010010100000000000000000000000000010101000000000000100000000000011101010000000000000110 .param INIT_C 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 .gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=KEYBOARD.row_time[8] RADDR[1]=KEYBOARD.row_time[9] RADDR[2]=KEYBOARD.row_time[10] RADDR[3]=KEYBOARD.row_counter[0] RADDR[4]=KEYBOARD.row_counter[1] RADDR[5]=KEYBOARD.row_counter[2] RADDR[6]=KEYBOARD.row_counter[3] RADDR[7]=$false RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[0] RDATA[1]=$techmap6824\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[1] RDATA[2]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[1] RDATA[3]=$techmap6824\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[3] RDATA[4]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[2] RDATA[5]=$techmap6824\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[5] RDATA[6]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[3] RDATA[7]=$techmap6824\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[7] RDATA[8]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[4] RDATA[9]=$techmap6824\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[9] RDATA[10]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[5] RDATA[11]=$techmap6824\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[11] RDATA[12]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[6] RDATA[13]=$techmap6824\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[13] RDATA[14]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[7] RDATA[15]=$techmap6824\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=KEYBOARD.row_time[8] WADDR[1]=KEYBOARD.row_time[9] WADDR[2]=KEYBOARD.row_time[10] WADDR[3]=KEYBOARD.row_counter[0] WADDR[4]=KEYBOARD.row_counter[1] WADDR[5]=KEYBOARD.row_counter[2] WADDR[6]=KEYBOARD.row_counter[3] WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=KEYBOARD.tmr_wr_en WDATA[0]=KEYBOARD.tmr_to_ram[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.tmr_to_ram[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.tmr_to_ram[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.tmr_to_ram[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.tmr_to_ram[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.tmr_to_ram[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.tmr_to_ram[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.tmr_to_ram[7] WDATA[15]=$undef WE=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:277|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:35" .param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1 .param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_2 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_3 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_4 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_5 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_6 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_7 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_8 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_9 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_A xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_B xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_C xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_D xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_E xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 .gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=KEYBOARD.last_adr[0] RADDR[1]=KEYBOARD.last_adr[1] RADDR[2]=KEYBOARD.last_adr[2] RADDR[3]=KEYBOARD.last_adr[3] RADDR[4]=KEYBOARD.last_adr[4] RADDR[5]=KEYBOARD.last_adr[5] RADDR[6]=KEYBOARD.last_adr[6] RADDR[7]=KEYBOARD.last_adr[7] RADDR[8]=KEYBOARD.last_adr[8] RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.RAM.r_data[0] RDATA[1]=$techmap6821\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[1] RDATA[2]=KEYBOARD.RAM.r_data[1] RDATA[3]=$techmap6821\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[3] RDATA[4]=KEYBOARD.RAM.r_data[2] RDATA[5]=$techmap6821\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[5] RDATA[6]=KEYBOARD.RAM.r_data[3] RDATA[7]=$techmap6821\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[7] RDATA[8]=KEYBOARD.RAM.r_data[4] RDATA[9]=$techmap6821\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[9] RDATA[10]=KEYBOARD.RAM.r_data[5] RDATA[11]=$techmap6821\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[11] RDATA[12]=KEYBOARD.RAM.r_data[6] RDATA[13]=$techmap6821\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[13] RDATA[14]=KEYBOARD.RAM.r_data[7] RDATA[15]=$techmap6821\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=KEYBOARD.last_adr[0] WADDR[1]=KEYBOARD.last_adr[1] WADDR[2]=KEYBOARD.last_adr[2] WADDR[3]=KEYBOARD.last_adr[3] WADDR[4]=KEYBOARD.last_adr[4] WADDR[5]=KEYBOARD.last_adr[5] WADDR[6]=KEYBOARD.last_adr[6] WADDR[7]=KEYBOARD.last_adr[7] WADDR[8]=KEYBOARD.last_adr[8] WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=KEYBOARD.last_wr WDATA[0]=KEYBOARD.COLUMN_SHADOW[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.COLUMN_SHADOW[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.COLUMN_SHADOW[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.COLUMN_SHADOW[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.COLUMN_SHADOW[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.COLUMN_SHADOW[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.COLUMN_SHADOW[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.COLUMN_SHADOW[7] WDATA[15]=$undef WE=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:277|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:35" .param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1 .param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_2 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_3 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_4 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_5 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_6 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_7 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_8 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_9 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_A xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_B xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_C xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_D xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_E xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 .gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=KEYBOARD.report_adress_rd[0] RADDR[1]=KEYBOARD.report_adress_rd[1] RADDR[2]=KEYBOARD.report_adress_rd[2] RADDR[3]=KEYBOARD.report_adress_rd[3] RADDR[4]=$false RADDR[5]=$false RADDR[6]=$false RADDR[7]=$false RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.REPORT.r_data[0] RDATA[1]=$techmap6822\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[1] RDATA[2]=KEYBOARD.REPORT.r_data[1] RDATA[3]=$techmap6822\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[3] RDATA[4]=KEYBOARD.REPORT.r_data[2] RDATA[5]=$techmap6822\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[5] RDATA[6]=KEYBOARD.REPORT.r_data[3] RDATA[7]=$techmap6822\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[7] RDATA[8]=KEYBOARD.REPORT.r_data[4] RDATA[9]=$techmap6822\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[9] RDATA[10]=KEYBOARD.REPORT.r_data[5] RDATA[11]=$techmap6822\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[11] RDATA[12]=KEYBOARD.REPORT.r_data[6] RDATA[13]=$techmap6822\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[13] RDATA[14]=KEYBOARD.REPORT.r_data[7] RDATA[15]=$techmap6822\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=KEYBOARD.report_adress_rd[0] WADDR[1]=KEYBOARD.report_adress_rd[1] WADDR[2]=KEYBOARD.report_adress_rd[2] WADDR[3]=KEYBOARD.report_adress_rd[3] WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=KEYBOARD.report_wr_en WDATA[0]=KEYBOARD.report_data_wr[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.report_data_wr[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.report_data_wr[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.report_data_wr[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.report_data_wr[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.report_data_wr[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.report_data_wr[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.report_data_wr[7] WDATA[15]=$undef WE=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:277|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:35" .param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1 .param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_2 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_3 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_4 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_5 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_6 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_7 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_8 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_9 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_A xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_B xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_C xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_D xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_E xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 .gate SB_RAM40_4K RADDR[0]=KEYBOARD.row_time[8] RADDR[1]=KEYBOARD.row_time[9] RADDR[2]=KEYBOARD.row_time[10] RADDR[3]=KEYBOARD.row_counter[0] RADDR[4]=KEYBOARD.row_counter[1] RADDR[5]=KEYBOARD.row_counter[2] RADDR[6]=KEYBOARD.row_counter[3] RADDR[7]=$false RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.kbd_code_hid[0] RDATA[1]=KEYBOARD.kbd_code_hid[1] RDATA[2]=KEYBOARD.kbd_code_hid[2] RDATA[3]=KEYBOARD.kbd_code_hid[3] RDATA[4]=KEYBOARD.kbd_code_hid[4] RDATA[5]=KEYBOARD.kbd_code_hid[5] RDATA[6]=KEYBOARD.kbd_code_hid[6] RDATA[7]=KEYBOARD.kbd_code_hid[7] RDATA[8]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$299[0] RDATA[9]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$299[1] RDATA[10]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$299[2] RDATA[11]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$299[3] RDATA[12]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$299[4] RDATA[13]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$299[5] RDATA[14]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$299[6] RDATA[15]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$299[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WDATA[8]=$false WDATA[9]=$false WDATA[10]=$false WDATA[11]=$false WDATA[12]=$false WDATA[13]=$false WDATA[14]=$false WDATA[15]=$false WE=$false .attr src "top.v:34|matrix_kbd.v:295" .param INIT_0 0000000001100010000000000101110100000000010010010000000001000101000000000110000000000000010110100000000001010100000000000100111100000000010100100000000000000000000000000100101000000000010011010000000001010111000000000101100000000000010010000000000001010000 .param INIT_1 0000000001100011000000000101111000000000010010110000000001001110000000000110000100000000010110110000000001010101000000000101011000000000000000000000000001011100000000000100110000000000010001000000000001011111000000000101100100000000010100110000000001010001 .param INIT_2 0000000000101001000000000010101100000000001101010000000000011110000000000001010000000000000001000000000000011101000000000000000000000000111000100000000000000000000000000000000000000000010001100000000001000111000000000000000000000000000000000000000011100110 .param INIT_3 0000000000000000000000001110000100000000000000000000000000000000000000000000000000000000111000010000000011100101000000000000000000000000000000000000000000000000000000001110000000000000000000000000000000000000000000000000000000000000111001000000000000000000 .param INIT_4 0000000000111110000000000010101000000000010000100000000001000011000000000000000000000000001100010000000000101000000000000010110000000000001101000000000000101111000000000010110100000000001001110000000000010011000000000011001100000000000000000000000000111000 .param INIT_5 0000000000000000000000000100000000000000010000010000000000100110000000000001001000000000000011110000000000110111000000000000000000000000001111110000000000110000000000000010111000000000001001010000000000001100000000000000111000000000001101100000000000000000 .param INIT_6 0000000000000000000000000011100100000000001110100000000000011111000000000001101000000000000101100000000000011011000000000000000000000000000010110000000000011100000000000010001100000000001001000000000000011000000000000000110100000000000100000000000000010001 .param INIT_7 0000000000001010000000000001011100000000001000100000000000100001000000000001010100000000000010010000000000011001000000000000010100000000001111010000000000111100000000000011101100000000001000000000000000001000000000000000011100000000000001100000000000000000 .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[0] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[0] PACKAGE_PIN=KBD_ROWS[0] .attr src "top.v:34|matrix_kbd.v:321" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[10] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[10] PACKAGE_PIN=KBD_ROWS[10] .attr src "top.v:34|matrix_kbd.v:321" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[11] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[11] PACKAGE_PIN=KBD_ROWS[11] .attr src "top.v:34|matrix_kbd.v:321" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[12] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[12] PACKAGE_PIN=KBD_ROWS[12] .attr src "top.v:34|matrix_kbd.v:321" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[13] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[13] PACKAGE_PIN=KBD_ROWS[13] .attr src "top.v:34|matrix_kbd.v:321" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[14] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[14] PACKAGE_PIN=KBD_ROWS[14] .attr src "top.v:34|matrix_kbd.v:321" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[15] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[15] PACKAGE_PIN=KBD_ROWS[15] .attr src "top.v:34|matrix_kbd.v:321" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[1] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[1] PACKAGE_PIN=KBD_ROWS[1] .attr src "top.v:34|matrix_kbd.v:321" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[2] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[2] PACKAGE_PIN=KBD_ROWS[2] .attr src "top.v:34|matrix_kbd.v:321" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[3] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[3] PACKAGE_PIN=KBD_ROWS[3] .attr src "top.v:34|matrix_kbd.v:321" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[4] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[4] PACKAGE_PIN=KBD_ROWS[4] .attr src "top.v:34|matrix_kbd.v:321" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[5] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[5] PACKAGE_PIN=KBD_ROWS[5] .attr src "top.v:34|matrix_kbd.v:321" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[6] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[6] PACKAGE_PIN=KBD_ROWS[6] .attr src "top.v:34|matrix_kbd.v:321" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[7] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[7] PACKAGE_PIN=KBD_ROWS[7] .attr src "top.v:34|matrix_kbd.v:321" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[8] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[8] PACKAGE_PIN=KBD_ROWS[8] .attr src "top.v:34|matrix_kbd.v:321" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[9] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[9] PACKAGE_PIN=KBD_ROWS[9] .attr src "top.v:34|matrix_kbd.v:321" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=I2C_COUNTER[0] RADDR[1]=I2C_COUNTER[1] RADDR[2]=I2C_COUNTER[2] RADDR[3]=I2C_COUNTER[3] RADDR[4]=ring_rd[0] RADDR[5]=ring_rd[1] RADDR[6]=ring_rd[2] RADDR[7]=ring_rd[3] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=I2C_HID_DESC.CLK RCLKE=$true RDATA[0]=REPORT_DATA.r_data[0] RDATA[1]=$techmap6823\REPORT_DATA.mem.0.0.0.A1DATA_16[1] RDATA[2]=REPORT_DATA.r_data[1] RDATA[3]=$techmap6823\REPORT_DATA.mem.0.0.0.A1DATA_16[3] RDATA[4]=REPORT_DATA.r_data[2] RDATA[5]=$techmap6823\REPORT_DATA.mem.0.0.0.A1DATA_16[5] RDATA[6]=REPORT_DATA.r_data[3] RDATA[7]=$techmap6823\REPORT_DATA.mem.0.0.0.A1DATA_16[7] RDATA[8]=REPORT_DATA.r_data[4] RDATA[9]=$techmap6823\REPORT_DATA.mem.0.0.0.A1DATA_16[9] RDATA[10]=REPORT_DATA.r_data[5] RDATA[11]=$techmap6823\REPORT_DATA.mem.0.0.0.A1DATA_16[11] RDATA[12]=REPORT_DATA.r_data[6] RDATA[13]=$techmap6823\REPORT_DATA.mem.0.0.0.A1DATA_16[13] RDATA[14]=REPORT_DATA.r_data[7] RDATA[15]=$techmap6823\REPORT_DATA.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=wr_cnt[0] WADDR[1]=wr_cnt[1] WADDR[2]=wr_cnt[2] WADDR[3]=wr_cnt[3] WADDR[4]=ring_wr[0] WADDR[5]=ring_wr[1] WADDR[6]=ring_wr[2] WADDR[7]=ring_wr[3] WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=I2C_HID_DESC.CLK WCLKE=report_wr_en WDATA[0]=KEYBOARD.REPORT.r_data[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.REPORT.r_data[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.REPORT.r_data[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.REPORT.r_data[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.REPORT.r_data[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.REPORT.r_data[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.REPORT.r_data[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.REPORT.r_data[7] WDATA[15]=$undef WE=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:277|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:35" .param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1 .param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_2 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_3 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_4 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_5 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_6 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_7 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_8 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_9 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_A xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_B xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_C xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_D xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_E xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 .names $true COM_DSR 1 1 .names I2C.is_read COM_RTS 1 1 .names CLK I2C.CLK 1 1 .names CLK I2C.FLT_SCL.CLK 1 1 .names I2C.SCLF I2C.FLT_SCL.IN 1 1 .names I2C.FLT_SCL.out I2C.FLT_SCL.OUT 1 1 .names CLK I2C.FLT_SDA.CLK 1 1 .names I2C.SDAF I2C.FLT_SDA.IN 1 1 .names I2C.FLT_SDA.out I2C.FLT_SDA.OUT 1 1 .names I2C.FLT_SCL.RESET I2C.FLT_SDA.RESET 1 1 .names I2C.is_ack I2C.IS_ACK 1 1 .names I2C.is_read I2C.IS_READ 1 1 .names I2C_TRANS I2C.IS_TRANSMISSION 1 1 .names I2C.received_byte[0] I2C.RECEIVED_BYTE[0] 1 1 .names I2C.received_byte[1] I2C.RECEIVED_BYTE[1] 1 1 .names I2C.received_byte[2] I2C.RECEIVED_BYTE[2] 1 1 .names I2C.received_byte[3] I2C.RECEIVED_BYTE[3] 1 1 .names I2C.received_byte[4] I2C.RECEIVED_BYTE[4] 1 1 .names I2C.received_byte[5] I2C.RECEIVED_BYTE[5] 1 1 .names I2C.received_byte[6] I2C.RECEIVED_BYTE[6] 1 1 .names I2C.received_byte[7] I2C.RECEIVED_BYTE[7] 1 1 .names I2C.FLT_SCL.RESET I2C.RESET 1 1 .names SCL I2C.SCL 1 1 .names I2C.FLT_SCL.out I2C.SCLD 1 1 .names SDA I2C.SDA 1 1 .names I2C.FLT_SDA.out I2C.SDAD 1 1 .names I2C.wr I2C.WR 1 1 .names I2C.is_ack I2C_ACK 1 1 .names I2C_COUNTER[0] I2C_HID_DESC.ADR[0] 1 1 .names I2C_COUNTER[1] I2C_HID_DESC.ADR[1] 1 1 .names I2C_COUNTER[2] I2C_HID_DESC.ADR[2] 1 1 .names I2C_COUNTER[3] I2C_HID_DESC.ADR[3] 1 1 .names I2C_COUNTER[4] I2C_HID_DESC.ADR[4] 1 1 .names I2C_COUNTER[5] I2C_HID_DESC.ADR[5] 1 1 .names I2C_COUNTER[6] I2C_HID_DESC.ADR[6] 1 1 .names I2C_COUNTER[0] I2C_HID_DESC.RAM_ADR[0] 1 1 .names I2C_COUNTER[1] I2C_HID_DESC.RAM_ADR[1] 1 1 .names I2C_COUNTER[2] I2C_HID_DESC.RAM_ADR[2] 1 1 .names I2C_COUNTER[3] I2C_HID_DESC.RAM_ADR[3] 1 1 .names I2C_COUNTER[4] I2C_HID_DESC.RAM_ADR[4] 1 1 .names I2C_COUNTER[5] I2C_HID_DESC.RAM_ADR[5] 1 1 .names I2C_COUNTER[6] I2C_HID_DESC.RAM_ADR[6] 1 1 .names I2C_HID_DESC.DESC_TYPE I2C_HID_DESC.RAM_ADR[7] 1 1 .names I2C_HID_DESC.DESC_TYPE I2C_OUTPUT_TYPE[0] 1 1 .names I2C.is_read I2C_READ 1 1 .names I2C.received_byte[0] I2C_RX[0] 1 1 .names I2C.received_byte[1] I2C_RX[1] 1 1 .names I2C.received_byte[2] I2C_RX[2] 1 1 .names I2C.received_byte[3] I2C_RX[3] 1 1 .names I2C.received_byte[4] I2C_RX[4] 1 1 .names I2C.received_byte[5] I2C_RX[5] 1 1 .names I2C.received_byte[6] I2C_RX[6] 1 1 .names I2C.received_byte[7] I2C_RX[7] 1 1 .names I2C_HID_DESC.VAL[0] I2C_TX_DESC[0] 1 1 .names I2C_HID_DESC.VAL[1] I2C_TX_DESC[1] 1 1 .names I2C_HID_DESC.VAL[2] I2C_TX_DESC[2] 1 1 .names I2C_HID_DESC.VAL[3] I2C_TX_DESC[3] 1 1 .names I2C_HID_DESC.VAL[4] I2C_TX_DESC[4] 1 1 .names I2C_HID_DESC.VAL[5] I2C_TX_DESC[5] 1 1 .names I2C_HID_DESC.VAL[6] I2C_TX_DESC[6] 1 1 .names I2C_HID_DESC.VAL[7] I2C_TX_DESC[7] 1 1 .names I2C.wr I2C_WR 1 1 .names COM_DCD INT 1 1 .names COM_DCD INTERRUPT 1 1 .names KEYBOARD.isr ISR 1 1 .names $undef KBD_LED_STATUS[0] 1 1 .names LED3 KBD_LED_STATUS[1] 1 1 .names LED4 KBD_LED_STATUS[2] 1 1 .names CLK KEYBOARD.CHATTERING_SUPRESSION_TIMERS.clk 1 1 .names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[0] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[0] 1 1 .names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[1] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[1] 1 1 .names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[2] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[2] 1 1 .names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[3] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[3] 1 1 .names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[4] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[4] 1 1 .names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[5] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[5] 1 1 .names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[6] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[6] 1 1 .names KEYBOARD.row_time[8] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.raddr[0] 1 1 .names KEYBOARD.row_time[9] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.raddr[1] 1 1 .names KEYBOARD.row_time[10] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.raddr[2] 1 1 .names KEYBOARD.row_counter[0] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.raddr[3] 1 1 .names KEYBOARD.row_counter[1] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.raddr[4] 1 1 .names KEYBOARD.row_counter[2] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.raddr[5] 1 1 .names KEYBOARD.row_counter[3] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.raddr[6] 1 1 .names $false KEYBOARD.CHATTERING_SUPRESSION_TIMERS.raddr[7] 1 1 .names $false KEYBOARD.CHATTERING_SUPRESSION_TIMERS.raddr[8] 1 1 .names KEYBOARD.row_time[8] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.waddr[0] 1 1 .names KEYBOARD.row_time[9] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.waddr[1] 1 1 .names KEYBOARD.row_time[10] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.waddr[2] 1 1 .names KEYBOARD.row_counter[0] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.waddr[3] 1 1 .names KEYBOARD.row_counter[1] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.waddr[4] 1 1 .names KEYBOARD.row_counter[2] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.waddr[5] 1 1 .names KEYBOARD.row_counter[3] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.waddr[6] 1 1 .names $false KEYBOARD.CHATTERING_SUPRESSION_TIMERS.waddr[7] 1 1 .names $false KEYBOARD.CHATTERING_SUPRESSION_TIMERS.waddr[8] 1 1 .names KEYBOARD.tmr_to_ram[0] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.wdata[0] 1 1 .names KEYBOARD.tmr_to_ram[1] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.wdata[1] 1 1 .names KEYBOARD.tmr_to_ram[2] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.wdata[2] 1 1 .names KEYBOARD.tmr_to_ram[3] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.wdata[3] 1 1 .names KEYBOARD.tmr_to_ram[4] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.wdata[4] 1 1 .names KEYBOARD.tmr_to_ram[5] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.wdata[5] 1 1 .names KEYBOARD.tmr_to_ram[6] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.wdata[6] 1 1 .names KEYBOARD.tmr_to_ram[7] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.wdata[7] 1 1 .names KEYBOARD.tmr_wr_en KEYBOARD.CHATTERING_SUPRESSION_TIMERS.wen 1 1 .names CLK KEYBOARD.CLK 1 1 .names KBD_COLUMNS[0] KEYBOARD.COLUMNS[0] 1 1 .names KBD_COLUMNS[1] KEYBOARD.COLUMNS[1] 1 1 .names KBD_COLUMNS[2] KEYBOARD.COLUMNS[2] 1 1 .names KBD_COLUMNS[3] KEYBOARD.COLUMNS[3] 1 1 .names KBD_COLUMNS[4] KEYBOARD.COLUMNS[4] 1 1 .names KBD_COLUMNS[5] KEYBOARD.COLUMNS[5] 1 1 .names KBD_COLUMNS[6] KEYBOARD.COLUMNS[6] 1 1 .names KBD_COLUMNS[7] KEYBOARD.COLUMNS[7] 1 1 .names IS_RAM_INIT KEYBOARD.FREEZE 1 1 .names KEYBOARD.isr KEYBOARD.INT 1 1 .names CLK KEYBOARD.RAM.clk 1 1 .names KEYBOARD.last_adr[0] KEYBOARD.RAM.raddr[0] 1 1 .names KEYBOARD.last_adr[1] KEYBOARD.RAM.raddr[1] 1 1 .names KEYBOARD.last_adr[2] KEYBOARD.RAM.raddr[2] 1 1 .names KEYBOARD.last_adr[3] KEYBOARD.RAM.raddr[3] 1 1 .names KEYBOARD.last_adr[4] KEYBOARD.RAM.raddr[4] 1 1 .names KEYBOARD.last_adr[5] KEYBOARD.RAM.raddr[5] 1 1 .names KEYBOARD.last_adr[6] KEYBOARD.RAM.raddr[6] 1 1 .names KEYBOARD.last_adr[7] KEYBOARD.RAM.raddr[7] 1 1 .names KEYBOARD.last_adr[8] KEYBOARD.RAM.raddr[8] 1 1 .names KEYBOARD.RAM.r_data[0] KEYBOARD.RAM.rdata[0] 1 1 .names KEYBOARD.RAM.r_data[1] KEYBOARD.RAM.rdata[1] 1 1 .names KEYBOARD.RAM.r_data[2] KEYBOARD.RAM.rdata[2] 1 1 .names KEYBOARD.RAM.r_data[3] KEYBOARD.RAM.rdata[3] 1 1 .names KEYBOARD.RAM.r_data[4] KEYBOARD.RAM.rdata[4] 1 1 .names KEYBOARD.RAM.r_data[5] KEYBOARD.RAM.rdata[5] 1 1 .names KEYBOARD.RAM.r_data[6] KEYBOARD.RAM.rdata[6] 1 1 .names KEYBOARD.RAM.r_data[7] KEYBOARD.RAM.rdata[7] 1 1 .names KEYBOARD.last_adr[0] KEYBOARD.RAM.waddr[0] 1 1 .names KEYBOARD.last_adr[1] KEYBOARD.RAM.waddr[1] 1 1 .names KEYBOARD.last_adr[2] KEYBOARD.RAM.waddr[2] 1 1 .names KEYBOARD.last_adr[3] KEYBOARD.RAM.waddr[3] 1 1 .names KEYBOARD.last_adr[4] KEYBOARD.RAM.waddr[4] 1 1 .names KEYBOARD.last_adr[5] KEYBOARD.RAM.waddr[5] 1 1 .names KEYBOARD.last_adr[6] KEYBOARD.RAM.waddr[6] 1 1 .names KEYBOARD.last_adr[7] KEYBOARD.RAM.waddr[7] 1 1 .names KEYBOARD.last_adr[8] KEYBOARD.RAM.waddr[8] 1 1 .names KEYBOARD.COLUMN_SHADOW[0] KEYBOARD.RAM.wdata[0] 1 1 .names KEYBOARD.COLUMN_SHADOW[1] KEYBOARD.RAM.wdata[1] 1 1 .names KEYBOARD.COLUMN_SHADOW[2] KEYBOARD.RAM.wdata[2] 1 1 .names KEYBOARD.COLUMN_SHADOW[3] KEYBOARD.RAM.wdata[3] 1 1 .names KEYBOARD.COLUMN_SHADOW[4] KEYBOARD.RAM.wdata[4] 1 1 .names KEYBOARD.COLUMN_SHADOW[5] KEYBOARD.RAM.wdata[5] 1 1 .names KEYBOARD.COLUMN_SHADOW[6] KEYBOARD.RAM.wdata[6] 1 1 .names KEYBOARD.COLUMN_SHADOW[7] KEYBOARD.RAM.wdata[7] 1 1 .names KEYBOARD.last_wr KEYBOARD.RAM.wen 1 1 .names CLK KEYBOARD.REPORT.clk 1 1 .names KEYBOARD.report_adress_rd[0] KEYBOARD.REPORT.raddr[0] 1 1 .names KEYBOARD.report_adress_rd[1] KEYBOARD.REPORT.raddr[1] 1 1 .names KEYBOARD.report_adress_rd[2] KEYBOARD.REPORT.raddr[2] 1 1 .names KEYBOARD.report_adress_rd[3] KEYBOARD.REPORT.raddr[3] 1 1 .names $false KEYBOARD.REPORT.raddr[4] 1 1 .names $false KEYBOARD.REPORT.raddr[5] 1 1 .names $false KEYBOARD.REPORT.raddr[6] 1 1 .names $false KEYBOARD.REPORT.raddr[7] 1 1 .names $false KEYBOARD.REPORT.raddr[8] 1 1 .names KEYBOARD.REPORT.r_data[0] KEYBOARD.REPORT.rdata[0] 1 1 .names KEYBOARD.REPORT.r_data[1] KEYBOARD.REPORT.rdata[1] 1 1 .names KEYBOARD.REPORT.r_data[2] KEYBOARD.REPORT.rdata[2] 1 1 .names KEYBOARD.REPORT.r_data[3] KEYBOARD.REPORT.rdata[3] 1 1 .names KEYBOARD.REPORT.r_data[4] KEYBOARD.REPORT.rdata[4] 1 1 .names KEYBOARD.REPORT.r_data[5] KEYBOARD.REPORT.rdata[5] 1 1 .names KEYBOARD.REPORT.r_data[6] KEYBOARD.REPORT.rdata[6] 1 1 .names KEYBOARD.REPORT.r_data[7] KEYBOARD.REPORT.rdata[7] 1 1 .names KEYBOARD.report_adress_rd[0] KEYBOARD.REPORT.waddr[0] 1 1 .names KEYBOARD.report_adress_rd[1] KEYBOARD.REPORT.waddr[1] 1 1 .names KEYBOARD.report_adress_rd[2] KEYBOARD.REPORT.waddr[2] 1 1 .names KEYBOARD.report_adress_rd[3] KEYBOARD.REPORT.waddr[3] 1 1 .names $false KEYBOARD.REPORT.waddr[4] 1 1 .names $false KEYBOARD.REPORT.waddr[5] 1 1 .names $false KEYBOARD.REPORT.waddr[6] 1 1 .names $false KEYBOARD.REPORT.waddr[7] 1 1 .names $false KEYBOARD.REPORT.waddr[8] 1 1 .names KEYBOARD.report_data_wr[0] KEYBOARD.REPORT.wdata[0] 1 1 .names KEYBOARD.report_data_wr[1] KEYBOARD.REPORT.wdata[1] 1 1 .names KEYBOARD.report_data_wr[2] KEYBOARD.REPORT.wdata[2] 1 1 .names KEYBOARD.report_data_wr[3] KEYBOARD.REPORT.wdata[3] 1 1 .names KEYBOARD.report_data_wr[4] KEYBOARD.REPORT.wdata[4] 1 1 .names KEYBOARD.report_data_wr[5] KEYBOARD.REPORT.wdata[5] 1 1 .names KEYBOARD.report_data_wr[6] KEYBOARD.REPORT.wdata[6] 1 1 .names KEYBOARD.report_data_wr[7] KEYBOARD.REPORT.wdata[7] 1 1 .names KEYBOARD.report_wr_en KEYBOARD.REPORT.wen 1 1 .names wr_cnt[0] KEYBOARD.REPORT_ADRESS[0] 1 1 .names wr_cnt[1] KEYBOARD.REPORT_ADRESS[1] 1 1 .names wr_cnt[2] KEYBOARD.REPORT_ADRESS[2] 1 1 .names wr_cnt[3] KEYBOARD.REPORT_ADRESS[3] 1 1 .names KEYBOARD.REPORT.r_data[0] KEYBOARD.REPORT_DATA[0] 1 1 .names KEYBOARD.REPORT.r_data[1] KEYBOARD.REPORT_DATA[1] 1 1 .names KEYBOARD.REPORT.r_data[2] KEYBOARD.REPORT_DATA[2] 1 1 .names KEYBOARD.REPORT.r_data[3] KEYBOARD.REPORT_DATA[3] 1 1 .names KEYBOARD.REPORT.r_data[4] KEYBOARD.REPORT_DATA[4] 1 1 .names KEYBOARD.REPORT.r_data[5] KEYBOARD.REPORT_DATA[5] 1 1 .names KEYBOARD.REPORT.r_data[6] KEYBOARD.REPORT_DATA[6] 1 1 .names KEYBOARD.REPORT.r_data[7] KEYBOARD.REPORT_DATA[7] 1 1 .names I2C.FLT_SCL.RESET KEYBOARD.RESET 1 1 .names KBD_ROWS[0] KEYBOARD.ROWS[0] 1 1 .names KBD_ROWS[1] KEYBOARD.ROWS[1] 1 1 .names KBD_ROWS[2] KEYBOARD.ROWS[2] 1 1 .names KBD_ROWS[3] KEYBOARD.ROWS[3] 1 1 .names KBD_ROWS[4] KEYBOARD.ROWS[4] 1 1 .names KBD_ROWS[5] KEYBOARD.ROWS[5] 1 1 .names KBD_ROWS[6] KEYBOARD.ROWS[6] 1 1 .names KBD_ROWS[7] KEYBOARD.ROWS[7] 1 1 .names KBD_ROWS[8] KEYBOARD.ROWS[8] 1 1 .names KBD_ROWS[9] KEYBOARD.ROWS[9] 1 1 .names KBD_ROWS[10] KEYBOARD.ROWS[10] 1 1 .names KBD_ROWS[11] KEYBOARD.ROWS[11] 1 1 .names KBD_ROWS[12] KEYBOARD.ROWS[12] 1 1 .names KBD_ROWS[13] KEYBOARD.ROWS[13] 1 1 .names KBD_ROWS[14] KEYBOARD.ROWS[14] 1 1 .names KBD_ROWS[15] KEYBOARD.ROWS[15] 1 1 .names KEYBOARD.row_time[8] KEYBOARD.kbd_code[0] 1 1 .names KEYBOARD.row_time[9] KEYBOARD.kbd_code[1] 1 1 .names KEYBOARD.row_time[10] KEYBOARD.kbd_code[2] 1 1 .names KEYBOARD.row_counter[0] KEYBOARD.kbd_code[3] 1 1 .names KEYBOARD.row_counter[1] KEYBOARD.kbd_code[4] 1 1 .names KEYBOARD.row_counter[2] KEYBOARD.kbd_code[5] 1 1 .names KEYBOARD.row_counter[3] KEYBOARD.kbd_code[6] 1 1 .names KEYBOARD.RAM.r_data[0] KEYBOARD.last_column[0] 1 1 .names KEYBOARD.RAM.r_data[1] KEYBOARD.last_column[1] 1 1 .names KEYBOARD.RAM.r_data[2] KEYBOARD.last_column[2] 1 1 .names KEYBOARD.RAM.r_data[3] KEYBOARD.last_column[3] 1 1 .names KEYBOARD.RAM.r_data[4] KEYBOARD.last_column[4] 1 1 .names KEYBOARD.RAM.r_data[5] KEYBOARD.last_column[5] 1 1 .names KEYBOARD.RAM.r_data[6] KEYBOARD.last_column[6] 1 1 .names KEYBOARD.RAM.r_data[7] KEYBOARD.last_column[7] 1 1 .names KEYBOARD.report_adress_rd[0] KEYBOARD.report_adress_wr[0] 1 1 .names KEYBOARD.report_adress_rd[1] KEYBOARD.report_adress_wr[1] 1 1 .names KEYBOARD.report_adress_rd[2] KEYBOARD.report_adress_wr[2] 1 1 .names KEYBOARD.report_adress_rd[3] KEYBOARD.report_adress_wr[3] 1 1 .names KEYBOARD.REPORT.r_data[0] KEYBOARD.report_data_rd[0] 1 1 .names KEYBOARD.REPORT.r_data[1] KEYBOARD.report_data_rd[1] 1 1 .names KEYBOARD.REPORT.r_data[2] KEYBOARD.report_data_rd[2] 1 1 .names KEYBOARD.REPORT.r_data[3] KEYBOARD.report_data_rd[3] 1 1 .names KEYBOARD.REPORT.r_data[4] KEYBOARD.report_data_rd[4] 1 1 .names KEYBOARD.REPORT.r_data[5] KEYBOARD.report_data_rd[5] 1 1 .names KEYBOARD.REPORT.r_data[6] KEYBOARD.report_data_rd[6] 1 1 .names KEYBOARD.REPORT.r_data[7] KEYBOARD.report_data_rd[7] 1 1 .names KEYBOARD.row_time[8] KEYBOARD.tmr_adr[0] 1 1 .names KEYBOARD.row_time[9] KEYBOARD.tmr_adr[1] 1 1 .names KEYBOARD.row_time[10] KEYBOARD.tmr_adr[2] 1 1 .names KEYBOARD.row_counter[0] KEYBOARD.tmr_adr[3] 1 1 .names KEYBOARD.row_counter[1] KEYBOARD.tmr_adr[4] 1 1 .names KEYBOARD.row_counter[2] KEYBOARD.tmr_adr[5] 1 1 .names KEYBOARD.row_counter[3] KEYBOARD.tmr_adr[6] 1 1 .names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[0] KEYBOARD.tmr_from_ram[0] 1 1 .names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[1] KEYBOARD.tmr_from_ram[1] 1 1 .names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[2] KEYBOARD.tmr_from_ram[2] 1 1 .names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[3] KEYBOARD.tmr_from_ram[3] 1 1 .names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[4] KEYBOARD.tmr_from_ram[4] 1 1 .names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[5] KEYBOARD.tmr_from_ram[5] 1 1 .names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[6] KEYBOARD.tmr_from_ram[6] 1 1 .names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[7] KEYBOARD.tmr_from_ram[7] 1 1 .names $undef LED2 1 1 .names I2C_TRANS LED5 1 1 .names I2C_HID_DESC.CLK REPORT_DATA.clk 1 1 .names I2C_COUNTER[0] REPORT_DATA.raddr[0] 1 1 .names I2C_COUNTER[1] REPORT_DATA.raddr[1] 1 1 .names I2C_COUNTER[2] REPORT_DATA.raddr[2] 1 1 .names I2C_COUNTER[3] REPORT_DATA.raddr[3] 1 1 .names ring_rd[0] REPORT_DATA.raddr[4] 1 1 .names ring_rd[1] REPORT_DATA.raddr[5] 1 1 .names ring_rd[2] REPORT_DATA.raddr[6] 1 1 .names ring_rd[3] REPORT_DATA.raddr[7] 1 1 .names $false REPORT_DATA.raddr[8] 1 1 .names REPORT_DATA.r_data[0] REPORT_DATA.rdata[0] 1 1 .names REPORT_DATA.r_data[1] REPORT_DATA.rdata[1] 1 1 .names REPORT_DATA.r_data[2] REPORT_DATA.rdata[2] 1 1 .names REPORT_DATA.r_data[3] REPORT_DATA.rdata[3] 1 1 .names REPORT_DATA.r_data[4] REPORT_DATA.rdata[4] 1 1 .names REPORT_DATA.r_data[5] REPORT_DATA.rdata[5] 1 1 .names REPORT_DATA.r_data[6] REPORT_DATA.rdata[6] 1 1 .names REPORT_DATA.r_data[7] REPORT_DATA.rdata[7] 1 1 .names wr_cnt[0] REPORT_DATA.waddr[0] 1 1 .names wr_cnt[1] REPORT_DATA.waddr[1] 1 1 .names wr_cnt[2] REPORT_DATA.waddr[2] 1 1 .names wr_cnt[3] REPORT_DATA.waddr[3] 1 1 .names ring_wr[0] REPORT_DATA.waddr[4] 1 1 .names ring_wr[1] REPORT_DATA.waddr[5] 1 1 .names ring_wr[2] REPORT_DATA.waddr[6] 1 1 .names ring_wr[3] REPORT_DATA.waddr[7] 1 1 .names $false REPORT_DATA.waddr[8] 1 1 .names KEYBOARD.REPORT.r_data[0] REPORT_DATA.wdata[0] 1 1 .names KEYBOARD.REPORT.r_data[1] REPORT_DATA.wdata[1] 1 1 .names KEYBOARD.REPORT.r_data[2] REPORT_DATA.wdata[2] 1 1 .names KEYBOARD.REPORT.r_data[3] REPORT_DATA.wdata[3] 1 1 .names KEYBOARD.REPORT.r_data[4] REPORT_DATA.wdata[4] 1 1 .names KEYBOARD.REPORT.r_data[5] REPORT_DATA.wdata[5] 1 1 .names KEYBOARD.REPORT.r_data[6] REPORT_DATA.wdata[6] 1 1 .names KEYBOARD.REPORT.r_data[7] REPORT_DATA.wdata[7] 1 1 .names report_wr_en REPORT_DATA.wen 1 1 .names I2C.FLT_SCL.RESET RESET 1 1 .names CLK UART.CLK 1 1 .names I2C.FLT_SCL.RESET UART.RESET 1 1 .names UART.tx_activity UART.TX_ACTIVITY 1 1 .names UART_TX_DATA[0] UART.TX_BYTE[0] 1 1 .names UART_TX_DATA[1] UART.TX_BYTE[1] 1 1 .names UART_TX_DATA[2] UART.TX_BYTE[2] 1 1 .names UART_TX_DATA[3] UART.TX_BYTE[3] 1 1 .names UART_TX_DATA[4] UART.TX_BYTE[4] 1 1 .names UART_TX_DATA[5] UART.TX_BYTE[5] 1 1 .names UART_TX_DATA[6] UART.TX_BYTE[6] 1 1 .names UART_TX_DATA[7] UART.TX_BYTE[7] 1 1 .names COM_TX UART.TX_LINE 1 1 .names UART_WR UART.TX_SIGNAL 1 1 .names COM_TX UART.tx_line 1 1 .names UART.tx_activity UART_ACTIVE 1 1 .names COM_TX UART_TX_LINE 1 1 .names I2C_COUNTER[0] report_data_radr[0] 1 1 .names I2C_COUNTER[1] report_data_radr[1] 1 1 .names I2C_COUNTER[2] report_data_radr[2] 1 1 .names I2C_COUNTER[3] report_data_radr[3] 1 1 .names ring_rd[0] report_data_radr[4] 1 1 .names ring_rd[1] report_data_radr[5] 1 1 .names ring_rd[2] report_data_radr[6] 1 1 .names ring_rd[3] report_data_radr[7] 1 1 .names REPORT_DATA.r_data[0] report_data_rd[0] 1 1 .names REPORT_DATA.r_data[1] report_data_rd[1] 1 1 .names REPORT_DATA.r_data[2] report_data_rd[2] 1 1 .names REPORT_DATA.r_data[3] report_data_rd[3] 1 1 .names REPORT_DATA.r_data[4] report_data_rd[4] 1 1 .names REPORT_DATA.r_data[5] report_data_rd[5] 1 1 .names REPORT_DATA.r_data[6] report_data_rd[6] 1 1 .names REPORT_DATA.r_data[7] report_data_rd[7] 1 1 .names wr_cnt[0] report_data_wadr[0] 1 1 .names wr_cnt[1] report_data_wadr[1] 1 1 .names wr_cnt[2] report_data_wadr[2] 1 1 .names wr_cnt[3] report_data_wadr[3] 1 1 .names ring_wr[0] report_data_wadr[4] 1 1 .names ring_wr[1] report_data_wadr[5] 1 1 .names ring_wr[2] report_data_wadr[6] 1 1 .names ring_wr[3] report_data_wadr[7] 1 1 .names KEYBOARD.REPORT.r_data[0] report_data_wr[0] 1 1 .names KEYBOARD.REPORT.r_data[1] report_data_wr[1] 1 1 .names KEYBOARD.REPORT.r_data[2] report_data_wr[2] 1 1 .names KEYBOARD.REPORT.r_data[3] report_data_wr[3] 1 1 .names KEYBOARD.REPORT.r_data[4] report_data_wr[4] 1 1 .names KEYBOARD.REPORT.r_data[5] report_data_wr[5] 1 1 .names KEYBOARD.REPORT.r_data[6] report_data_wr[6] 1 1 .names KEYBOARD.REPORT.r_data[7] report_data_wr[7] 1 1 .names $undef temp_output_report[0] 1 1 .end