# Generated by Yosys 0.8+53 (git sha1 ab97edd, clang 3.8.0-2ubuntu4 -fPIC -Os) .model top .inputs CLK SCL SDA COM_RX KBD_COLUMNS[0] KBD_COLUMNS[1] KBD_COLUMNS[2] KBD_COLUMNS[3] KBD_COLUMNS[4] KBD_COLUMNS[5] KBD_COLUMNS[6] KBD_COLUMNS[7] KBD_ROWS[0] KBD_ROWS[1] KBD_ROWS[2] KBD_ROWS[3] KBD_ROWS[4] KBD_ROWS[5] KBD_ROWS[6] KBD_ROWS[7] KBD_ROWS[8] KBD_ROWS[9] KBD_ROWS[10] KBD_ROWS[11] KBD_ROWS[12] KBD_ROWS[13] KBD_ROWS[14] KBD_ROWS[15] .outputs LED1 LED2 LED3 LED4 LED5 SDA INTERRUPT COM_TX COM_DCD COM_DSR COM_RTS KBD_ROWS[0] KBD_ROWS[1] KBD_ROWS[2] KBD_ROWS[3] KBD_ROWS[4] KBD_ROWS[5] KBD_ROWS[6] KBD_ROWS[7] KBD_ROWS[8] KBD_ROWS[9] KBD_ROWS[10] KBD_ROWS[11] KBD_ROWS[12] KBD_ROWS[13] KBD_ROWS[14] KBD_ROWS[15] .names $false .names $true 1 .names $undef .gate SB_LUT4 I0=$abc$21987$n689_1 I1=LED1 I2=$abc$21987$n569_1 I3=I2C.FLT_SCL.RESET O=$abc$21987$n1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111010000000000 .gate SB_LUT4 I0=$2\I2C_OUTPUT_TYPE[2:0][0] I1=$2\I2C_OUTPUT_TYPE[2:0][2] I2=$2\I2C_OUTPUT_TYPE[2:0][1] I3=$abc$21987$n667 O=$abc$21987$n569_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=$abc$21987$n571 I1=$abc$21987$n664 I2=I2C_OUTPUT_TYPE[1] I3=$abc$21987$n665 O=$2\I2C_OUTPUT_TYPE[2:0][1] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1011101111110000 .gate SB_LUT4 I0=$abc$21987$n662 I1=I2C_OUTPUT_TYPE[1] I2=$abc$21987$n660 I3=$abc$21987$n572 O=$abc$21987$n571 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1110000011101110 .gate SB_LUT4 I0=$abc$21987$n1807 I1=$abc$21987$n616 I2=$false I3=$false O=$abc$21987$n572 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$21987$n658 I1=$abc$21987$n574_1 I2=$abc$21987$n656 I3=$abc$21987$n652 O=$abc$21987$n573_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000001110 .gate SB_LUT4 I0=$abc$21987$n620 I1=$abc$21987$n612 I2=$abc$21987$n643 I3=$abc$21987$n575 O=$abc$21987$n574_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111000000000 .gate SB_LUT4 I0=$abc$21987$n602 I1=$abc$21987$n576 I2=$abc$21987$n611_1 I3=$abc$21987$n616 O=$abc$21987$n575 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000110100000000 .gate SB_LUT4 I0=$abc$21987$n577 I1=$abc$21987$n589_1 I2=$abc$21987$n1161 I3=$abc$21987$n586 O=$abc$21987$n576 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=$abc$21987$n580 I1=$abc$21987$n583 I2=$abc$21987$n578 I3=$abc$21987$n585 O=$abc$21987$n577 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=I2C_COUNTER[0] I1=I2C_COUNTER[3] I2=$abc$21987$n579 I3=I2C_COUNTER[1] O=$abc$21987$n578 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=I2C_COUNTER[2] I1=I2C_COUNTER[4] I2=I2C_COUNTER[5] I3=I2C_COUNTER[6] O=$abc$21987$n579 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[5] I2=$abc$21987$n581 I3=$abc$21987$n582 O=$abc$21987$n580 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0110000000000000 .gate SB_LUT4 I0=I2C.received_byte[3] I1=I2C.received_byte[1] I2=I2C.received_byte[2] I3=$false O=$abc$21987$n581 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000001 .gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[7] I2=I2C.received_byte[6] I3=$false O=$abc$21987$n582 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000001 .gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$21987$n584 I2=$abc$21987$n581 I3=$false O=$abc$21987$n583 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[5] I2=I2C.received_byte[7] I3=I2C.received_byte[6] O=$abc$21987$n584 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=i2c_input_data_type[1] I1=i2c_input_data_type[3] I2=i2c_input_data_type[0] I3=i2c_input_data_type[2] O=$abc$21987$n585 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=$abc$21987$n588 I1=I2C_COUNTER[1] I2=$abc$21987$n587 I3=i2c_input_data_type[2] O=$abc$21987$n586 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1110111100000000 .gate SB_LUT4 I0=I2C_COUNTER[3] I1=$abc$21987$n579 I2=$false I3=$false O=$abc$21987$n587 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$21987$n584 I2=I2C_COUNTER[0] I3=$abc$21987$n581 O=$abc$21987$n588 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=$abc$21987$n591 I1=$abc$21987$n594 I2=$abc$21987$n590 I3=$abc$21987$n593 O=$abc$21987$n589_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111010000000000 .gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$21987$n581 I2=$abc$21987$n584 I3=$abc$21987$n585 O=$abc$21987$n590 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0111111100000000 .gate SB_LUT4 I0=i2c_input_data_type[0] I1=I2C.received_byte[0] I2=$abc$21987$n584 I3=$abc$21987$n592_1 O=$abc$21987$n591 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000000000 .gate SB_LUT4 I0=I2C.received_byte[3] I1=I2C.received_byte[2] I2=I2C.received_byte[1] I3=$false O=$abc$21987$n592_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=I2C_COUNTER[3] I1=I2C_COUNTER[0] I2=$abc$21987$n579 I3=I2C_COUNTER[1] O=$abc$21987$n593 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[1] I2=i2c_input_data_type[2] I3=$false O=$abc$21987$n594 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=I2C_COUNTER[0] I1=I2C_COUNTER[1] I2=$false I3=$false O=$abc$21987$n597 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 .gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[3] I2=I2C.received_byte[1] I3=I2C.received_byte[2] O=$abc$21987$n598 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=I2C_COUNTER[3] I1=I2C_COUNTER[2] I2=$abc$21987$n600 I3=$false O=$abc$21987$n599 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=I2C_COUNTER[4] I1=I2C_COUNTER[5] I2=I2C_COUNTER[6] I3=$false O=$abc$21987$n600 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000001 .gate SB_LUT4 I0=$abc$21987$n603 I1=$abc$21987$n606 I2=$false I3=$false O=$abc$21987$n602 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n605_1 I1=$abc$21987$n604 I2=I2C.received_byte[2] I3=$abc$21987$n1846 O=$abc$21987$n603 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=I2C_COUNTER[3] I1=$abc$21987$n597 I2=$abc$21987$n579 I3=$false O=$abc$21987$n604 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$21987$n584 I1=$abc$21987$n581 I2=$abc$21987$n1843 I3=$false O=$abc$21987$n605_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01110000 .gate SB_LUT4 I0=I2C.is_read I1=$abc$21987$n607_1 I2=$false I3=$false O=$abc$21987$n606 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=last_wr I1=I2C.wr I2=$false I3=$false O=$abc$21987$n607_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n610_1 I1=i2c_input_data_type[3] I2=$abc$21987$n609 I3=I2C.received_byte[3] O=$abc$21987$n616 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000101110111011 .gate SB_LUT4 I0=$abc$21987$n605_1 I1=$abc$21987$n604 I2=$abc$21987$n606 I3=$abc$21987$n1846 O=$abc$21987$n609 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=$abc$21987$n588 I1=I2C_COUNTER[1] I2=$abc$21987$n606 I3=$abc$21987$n587 O=$abc$21987$n610_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=$abc$21987$n606 I1=i2c_input_data_type[2] I2=$false I3=$false O=$abc$21987$n611_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$21987$n613 I1=$abc$21987$n617 I2=$abc$21987$n619_1 I3=$abc$21987$n620_1 O=$abc$21987$n612 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000001001111 .gate SB_LUT4 I0=$abc$21987$n1161 I1=$abc$21987$n589_1 I2=i2c_input_data_type[1] I3=$abc$21987$n614 O=$abc$21987$n613 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1110111100000000 .gate SB_LUT4 I0=$abc$21987$n580 I1=$abc$21987$n578 I2=$abc$21987$n616_1 I3=$false O=$abc$21987$n614 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01111111 .gate SB_LUT4 I0=I2C_COUNTER[3] I1=I2C_COUNTER[1] I2=$abc$21987$n579 I3=I2C_COUNTER[0] O=$abc$21987$n615 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[0] I2=i2c_input_data_type[2] I3=$false O=$abc$21987$n616_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$21987$n583 I1=$abc$21987$n615 I2=$abc$21987$n618 I3=$false O=$abc$21987$n617 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10110000 .gate SB_LUT4 I0=$abc$21987$n604 I1=$abc$21987$n606 I2=$false I3=$false O=$abc$21987$n618 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n606 I1=i2c_input_data_type[1] I2=$abc$21987$n609 I3=I2C.received_byte[1] O=$abc$21987$n619_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000101110111011 .gate SB_LUT4 I0=$abc$21987$n621 I1=$abc$21987$n633 I2=$abc$21987$n1801 I3=$abc$21987$n636 O=$abc$21987$n620_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=$abc$21987$n1806 I1=$abc$21987$n1804 I2=$abc$21987$n1805 I3=$false O=$abc$21987$n621 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$21987$n624_1 I1=$abc$21987$n623_1 I2=$abc$21987$n625 I3=$abc$21987$n626_1 O=$abc$21987$n1806 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111101110000 .gate SB_LUT4 I0=I2C_COUNTER[4] I1=I2C_COUNTER[5] I2=I2C_COUNTER[6] I3=$false O=$abc$21987$n623_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=I2C_COUNTER[0] I1=I2C_COUNTER[2] I2=I2C_COUNTER[3] I3=I2C_COUNTER[1] O=$abc$21987$n624_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=last_wr I1=$abc$21987$n1229 I2=I2C.wr I3=$false O=$abc$21987$n625 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=last_wr I1=I2C.wr I2=I2C_COUNTER[6] I3=$false O=$abc$21987$n626_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00001011 .gate SB_LUT4 I0=$abc$21987$n624_1 I1=$abc$21987$n623_1 I2=$abc$21987$n628 I3=$abc$21987$n629_1 O=$abc$21987$n1804 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111101110000 .gate SB_LUT4 I0=last_wr I1=$abc$21987$n1225 I2=I2C.wr I3=$false O=$abc$21987$n628 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=last_wr I1=I2C.wr I2=I2C_COUNTER[4] I3=$false O=$abc$21987$n629_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00001011 .gate SB_LUT4 I0=$abc$21987$n624_1 I1=$abc$21987$n623_1 I2=$abc$21987$n631 I3=$abc$21987$n632_1 O=$abc$21987$n1805 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111101110000 .gate SB_LUT4 I0=last_wr I1=$abc$21987$n1227 I2=I2C.wr I3=$false O=$abc$21987$n631 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=last_wr I1=I2C.wr I2=I2C_COUNTER[5] I3=$false O=$abc$21987$n632_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00001011 .gate SB_LUT4 I0=$abc$21987$n634 I1=$abc$21987$n1217 I2=I2C_COUNTER[0] I3=$abc$21987$n607_1 O=$abc$21987$n633 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1110111011110000 .gate SB_LUT4 I0=$abc$21987$n623_1 I1=$abc$21987$n624_1 I2=$false I3=$false O=$abc$21987$n634 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$21987$n634 I1=$abc$21987$n607_1 I2=I2C_COUNTER[0] I3=I2C_COUNTER[1] O=$abc$21987$n1801 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000000110111 .gate SB_LUT4 I0=$abc$21987$n1803 I1=$abc$21987$n1802 I2=$false I3=$false O=$abc$21987$n636 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n624_1 I1=$abc$21987$n623_1 I2=$abc$21987$n638_1 I3=$abc$21987$n639 O=$abc$21987$n1803 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111101110000 .gate SB_LUT4 I0=last_wr I1=$abc$21987$n1223 I2=I2C.wr I3=$false O=$abc$21987$n638_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=last_wr I1=I2C.wr I2=I2C_COUNTER[3] I3=$false O=$abc$21987$n639 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00001011 .gate SB_LUT4 I0=$abc$21987$n624_1 I1=$abc$21987$n623_1 I2=$abc$21987$n641 I3=$abc$21987$n642 O=$abc$21987$n1802 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111101110000 .gate SB_LUT4 I0=last_wr I1=$abc$21987$n1221 I2=I2C.wr I3=$false O=$abc$21987$n641 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=last_wr I1=I2C.wr I2=I2C_COUNTER[2] I3=$false O=$abc$21987$n642 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00001011 .gate SB_LUT4 I0=$abc$21987$n617 I1=$abc$21987$n613 I2=$abc$21987$n644 I3=$abc$21987$n619_1 O=$abc$21987$n643 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000110100000000 .gate SB_LUT4 I0=$abc$21987$n633 I1=$abc$21987$n621 I2=$abc$21987$n1801 I3=$abc$21987$n645 O=$abc$21987$n644 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=$abc$21987$n1802 I1=$abc$21987$n1803 I2=$false I3=$false O=$abc$21987$n645 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n650 I1=$abc$21987$n647 I2=$abc$21987$n648 I3=$abc$21987$n649 O=$abc$21987$n620 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1011111100000000 .gate SB_LUT4 I0=$abc$21987$n589_1 I1=$abc$21987$n1161 I2=$false I3=$false O=$abc$21987$n647 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$21987$n615 I1=$abc$21987$n583 I2=$abc$21987$n618 I3=i2c_input_data_type[0] O=$abc$21987$n648 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1101000000000000 .gate SB_LUT4 I0=$abc$21987$n606 I1=i2c_input_data_type[0] I2=$abc$21987$n609 I3=I2C.received_byte[0] O=$abc$21987$n649 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000101110111011 .gate SB_LUT4 I0=$abc$21987$n651 I1=$abc$21987$n578 I2=$abc$21987$n585 I3=$false O=$abc$21987$n650 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=I2C.received_byte[4] I1=$abc$21987$n582 I2=$abc$21987$n581 I3=$false O=$abc$21987$n651 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$21987$n619 I1=$abc$21987$n620 I2=$abc$21987$n654 I3=$abc$21987$n645 O=$abc$21987$n652 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=$abc$21987$n617 I1=$abc$21987$n613 I2=$abc$21987$n619_1 I3=$false O=$abc$21987$n619 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11010000 .gate SB_LUT4 I0=$abc$21987$n1840 I1=$abc$21987$n655 I2=$false I3=$false O=$abc$21987$n654 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n633 I1=$abc$21987$n1801 I2=$abc$21987$n621 I3=$false O=$abc$21987$n655 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$21987$n575 I1=$abc$21987$n619 I2=$abc$21987$n620 I3=$abc$21987$n657 O=$abc$21987$n656 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=$abc$21987$n621 I1=$abc$21987$n633 I2=$abc$21987$n1801 I3=$abc$21987$n645 O=$abc$21987$n657 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=$abc$21987$n655 I1=$abc$21987$n1803 I2=$abc$21987$n1802 I3=$abc$21987$n1840 O=$abc$21987$n658 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0111111100000000 .gate SB_LUT4 I0=$abc$21987$n576 I1=$abc$21987$n602 I2=$abc$21987$n611_1 I3=$false O=$abc$21987$n1807 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11110100 .gate SB_LUT4 I0=$abc$21987$n619 I1=$abc$21987$n573_1 I2=$22\i2c_input_data_type[3:0][0] I3=$false O=$abc$21987$n660 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00001110 .gate SB_LUT4 I0=$abc$21987$n574_1 I1=$abc$21987$n658 I2=$abc$21987$n620 I3=$false O=$22\i2c_input_data_type[3:0][0] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000001 .gate SB_LUT4 I0=$abc$21987$n573_1 I1=$abc$21987$n619 I2=$abc$21987$n663 I3=$false O=$abc$21987$n662 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$21987$n575 I1=$abc$21987$n620 I2=$false I3=$false O=$abc$21987$n663 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$21987$n619 I1=$22\i2c_input_data_type[3:0][0] I2=$abc$21987$n572 I3=I2C.is_read O=$abc$21987$n664 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000010111111 .gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=$false I3=$false O=$abc$21987$n665 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=I2C.i2c_state_machine I1=I2C.i2c_start_latency I2=$false I3=$false O=I2C_TRANS .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$21987$n668 I1=I2C_TRANS I2=$3\int_tmr[14:0][14] I3=$false O=$abc$21987$n667 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$2\ring_rd[3:0][3] I1=$2\ring_wr[3:0][3] I2=$abc$21987$n669 I3=$abc$21987$n684 O=$abc$21987$n668 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000000000 .gate SB_LUT4 I0=$abc$21987$n670 I1=$2\ring_wr[3:0][1] I2=ring_rd[1] I3=$false O=$abc$21987$n669 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01101001 .gate SB_LUT4 I0=$abc$21987$n671 I1=ring_rd[0] I2=$false I3=$false O=$abc$21987$n670 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$21987$n679 I1=$abc$21987$n672 I2=$abc$21987$n682 I3=$false O=$abc$21987$n671 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01110000 .gate SB_LUT4 I0=$2\ring_wr[3:0][3] I1=ring_rd[3] I2=ring_rd[2] I3=$2\ring_wr[3:0][2] O=$abc$21987$n672 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=ring_wr[3] I1=$abc$21987$n1354 I2=$abc$21987$n674 I3=$false O=$2\ring_wr[3:0][3] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$21987$n677_1 I1=$abc$21987$n675_1 I2=KEYBOARD.isr I3=last_isr O=$abc$21987$n674 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000011100000000 .gate SB_LUT4 I0=$abc$21987$n425 I1=ring_rd[2] I2=$abc$21987$n1351 I3=$abc$21987$n676 O=$abc$21987$n675_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000100000000 .gate SB_LUT4 I0=ring_rd[0] I1=$abc$21987$n1345 I2=ring_rd[3] I3=$abc$21987$n1354 O=$abc$21987$n676 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=ring_rd[1] I1=ring_wr[0] I2=ring_wr[1] I3=$false O=$abc$21987$n677_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01101001 .gate SB_LUT4 I0=ring_wr[2] I1=$abc$21987$n1351 I2=$abc$21987$n674 I3=$false O=$2\ring_wr[3:0][2] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$2\ring_wr[3:0][0] I1=ring_rd[0] I2=$2\ring_wr[3:0][1] I3=ring_rd[1] O=$abc$21987$n679 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=ring_wr[0] I1=$abc$21987$n1345 I2=$abc$21987$n674 I3=$false O=$2\ring_wr[3:0][0] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$21987$n674 I1=ring_wr[0] I2=ring_wr[1] I3=$false O=$2\ring_wr[3:0][1] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01111000 .gate SB_LUT4 I0=$abc$21987$n604 I1=$abc$21987$n683 I2=$abc$21987$n607_1 I3=I2C.is_read O=$abc$21987$n682 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=I2C_HID_DESC.DESC_TYPE I1=I2C_OUTPUT_TYPE[2] I2=I2C_OUTPUT_TYPE[1] I3=$false O=$abc$21987$n683 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$2\ring_rd[3:0][2] I1=$2\ring_wr[3:0][2] I2=$2\ring_rd[3:0][0] I3=$2\ring_wr[3:0][0] O=$abc$21987$n684 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=ring_rd[2] I1=$abc$21987$n1238 I2=$abc$21987$n671 I3=$false O=$2\ring_rd[3:0][2] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=ring_rd[0] I1=$abc$21987$n1232 I2=$abc$21987$n671 I3=$false O=$2\ring_rd[3:0][0] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=ring_rd[3] I1=$abc$21987$n1241 I2=$abc$21987$n671 I3=$false O=$2\ring_rd[3:0][3] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$21987$n689_1 I1=int_tmr[14] I2=$false I3=$false O=$3\int_tmr[14:0][14] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n1845 I1=$abc$21987$n683 I2=$abc$21987$n690 I3=$false O=$abc$21987$n689_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$21987$n665 I1=I2C.is_read I2=$false I3=$false O=$abc$21987$n690 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$21987$n693 I1=$abc$21987$n692 I2=$abc$21987$n695_1 I3=$abc$21987$n694 O=$2\I2C_OUTPUT_TYPE[2:0][0] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111100010000 .gate SB_LUT4 I0=$abc$21987$n660 I1=$abc$21987$n1147 I2=$abc$21987$n572 I3=$false O=$abc$21987$n692 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$21987$n662 I1=I2C_HID_DESC.DESC_TYPE I2=$abc$21987$n660 I3=$abc$21987$n572 O=$abc$21987$n693 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1011000010111011 .gate SB_LUT4 I0=$abc$21987$n665 I1=I2C_HID_DESC.DESC_TYPE I2=$false I3=$false O=$abc$21987$n694 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=I2C.is_read I1=$abc$21987$n665 I2=$false I3=$false O=$abc$21987$n695_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=I2C.is_read I1=$abc$21987$n697 I2=I2C_OUTPUT_TYPE[2] I3=$abc$21987$n665 O=$2\I2C_OUTPUT_TYPE[2:0][2] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100010011110000 .gate SB_LUT4 I0=$abc$21987$n1149 I1=$abc$21987$n698 I2=$abc$21987$n660 I3=$abc$21987$n572 O=$abc$21987$n697 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100101011001100 .gate SB_LUT4 I0=$abc$21987$n619 I1=$abc$21987$n573_1 I2=$abc$21987$n663 I3=I2C_OUTPUT_TYPE[2] O=$abc$21987$n698 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1110111100000000 .gate SB_LUT4 I0=rststate[3] I1=rststate[2] I2=rststate[1] I3=rststate[0] O=I2C.FLT_SCL.RESET .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=$abc$21987$n1530 I1=$abc$21987$n706 I2=$abc$21987$n701 I3=UART.tx_activity O=$abc$21987$n7 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000111111111 .gate SB_LUT4 I0=$abc$21987$n705 I1=$abc$21987$n702 I2=$abc$21987$n1026 I3=$abc$21987$n1012 O=$abc$21987$n701 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000101000001100 .gate SB_LUT4 I0=UART_TX_DATA[2] I1=UART_TX_DATA[0] I2=$abc$21987$n703_1 I3=$false O=$abc$21987$n702 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$21987$n1798 I1=$abc$21987$n1799 I2=$false I3=$false O=$abc$21987$n703_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0110 .gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=$false I3=$false O=$abc$21987$n1798 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0110 .gate SB_LUT4 I0=UART_TX_DATA[6] I1=UART_TX_DATA[4] I2=$abc$21987$n703_1 I3=$false O=$abc$21987$n705 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$21987$n708 I1=$abc$21987$n707 I2=$abc$21987$n1012 I3=$abc$21987$n1026 O=$abc$21987$n706 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100101000000000 .gate SB_LUT4 I0=UART_TX_DATA[7] I1=UART_TX_DATA[5] I2=$abc$21987$n703_1 I3=$false O=$abc$21987$n707 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=UART_TX_DATA[3] I1=UART_TX_DATA[1] I2=$abc$21987$n703_1 I3=$false O=$abc$21987$n708 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=IS_RAM_INIT I2=$false I3=$false O=$abc$21987$n36 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0111 .gate SB_LUT4 I0=$abc$21987$n711 I1=I2C.wr I2=last_wr I3=$abc$21987$n36 O=$abc$21987$n37 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0111110100000000 .gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=I2C.FLT_SCL.RESET I3=$false O=$abc$21987$n711 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10010000 .gate SB_LUT4 I0=last_trans I1=I2C_TRANS I2=$false I3=$false O=$abc$21987$n42 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=IS_RAM_INIT I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$21987$n51 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$abc$21987$n42 I3=$abc$21987$n51 O=$abc$21987$n52 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111010000000000 .gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$abc$21987$n51 I3=$abc$21987$n716 O=$abc$21987$n56 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100111111111111 .gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=wr_cnt[2] I3=wr_cnt[3] O=$abc$21987$n716 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=$abc$21987$n720_1 I1=$abc$21987$n36 I2=$abc$21987$n718 I3=$false O=$abc$21987$n70 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00001110 .gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$abc$21987$n719 I3=$abc$21987$n51 O=$abc$21987$n718 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000101100000000 .gate SB_LUT4 I0=wr_cnt[2] I1=wr_cnt[1] I2=wr_cnt[0] I3=wr_cnt[3] O=$abc$21987$n719 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=$abc$21987$n721 I3=$false O=$abc$21987$n720_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10010000 .gate SB_LUT4 I0=$abc$21987$n818 I1=$abc$21987$n821 I2=$abc$21987$n822 I3=$false O=$abc$21987$n721 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000001 .gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$21987$n665 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$21987$n74 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01001111 .gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$21987$n695_1 I2=I2C.FLT_SCL.RESET I3=$abc$21987$n724_1 O=$abc$21987$n101 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000001001111 .gate SB_LUT4 I0=$abc$21987$n725 I1=$abc$21987$n633 I2=$abc$21987$n51 I3=$false O=$abc$21987$n724_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01110000 .gate SB_LUT4 I0=$abc$21987$n573_1 I1=$abc$21987$n575 I2=$false I3=$false O=$abc$21987$n725 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n675 I1=$abc$21987$n738 I2=$abc$21987$n729_1 I3=$false O=$abc$21987$n111 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$21987$n677 I1=$abc$21987$n730 I2=$false I3=$false O=$abc$21987$n729_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n731 I1=$abc$21987$n736 I2=$abc$21987$n737 I3=$false O=$abc$21987$n730 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$21987$n735 I1=$abc$21987$n732 I2=$false I3=$false O=$abc$21987$n731 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=I2C.i2c_state_machine I1=$abc$21987$n1413 I2=$abc$21987$n734 I3=$false O=$abc$21987$n732 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00001011 .gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$21987$n10 I2=I2C.FLT_SCL.out I3=$false O=$abc$21987$n1413 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11101111 .gate SB_LUT4 I0=I2C.FLT_SDA.out I1=I2C.FLT_SCL.out I2=$abc$21987$n10 I3=$false O=$abc$21987$n734 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$21987$n1413 I1=I2C.is_read I2=$false I3=$false O=$abc$21987$n735 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$21987$n678 I1=$abc$21987$n689 I2=I2C.FLT_SCL.RESET I3=$abc$21987$n1784 O=$abc$21987$n736 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=$abc$21987$n125 I1=$abc$21987$n12 I2=I2C.FLT_SCL.out I3=$false O=$abc$21987$n737 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=I2C.i2c_bit_counter[0] I1=I2C.i2c_bit_counter[1] I2=$abc$21987$n1413 I3=$false O=$abc$21987$n738 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01100000 .gate SB_LUT4 I0=$abc$21987$n729_1 I1=$abc$21987$n740 I2=$false I3=$false O=$abc$21987$n117 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$21987$n738 I1=$abc$21987$n675 I2=$false I3=$false O=$abc$21987$n740 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$21987$n738 I1=$abc$21987$n675 I2=$abc$21987$n729_1 I3=$false O=$abc$21987$n123 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$21987$n729_1 I1=$abc$21987$n743 I2=$false I3=$false O=$abc$21987$n133 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$21987$n738 I1=$abc$21987$n675 I2=$false I3=$false O=$abc$21987$n743 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n675 I1=$abc$21987$n738 I2=$abc$21987$n745 I3=$false O=$abc$21987$n139 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$21987$n730 I1=$abc$21987$n677 I2=$false I3=$false O=$abc$21987$n745 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$21987$n745 I1=$abc$21987$n740 I2=$false I3=$false O=$abc$21987$n151 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$21987$n738 I1=$abc$21987$n675 I2=$abc$21987$n745 I3=$false O=$abc$21987$n158 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$21987$n745 I1=$abc$21987$n743 I2=$false I3=$false O=$abc$21987$n174 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=I2C.i2c_start_latency I1=I2C.i2c_state_machine I2=$abc$21987$n1413 I3=I2C.FLT_SCL.RESET O=$abc$21987$n179 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100111100000000 .gate SB_LUT4 I0=$abc$21987$n751 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$21987$n193 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=UART.TX_sig_last I1=UART_WR I2=UART.tx_activity I3=$false O=$abc$21987$n751 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00001011 .gate SB_LUT4 I0=UART.tx_activity I1=$abc$21987$n753_1 I2=$abc$21987$n755_1 I3=$abc$21987$n193 O=$abc$21987$n194 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000110100000000 .gate SB_LUT4 I0=$abc$21987$n1527 I1=$abc$21987$n844 I2=$abc$21987$n847 I3=$abc$21987$n848 O=$abc$21987$n753_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=UART.tx_clk_counter[1] I1=UART.tx_clk_counter[0] I2=$false I3=$false O=$abc$21987$n1527 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1001 .gate SB_LUT4 I0=UART.tx_bit_counter[3] I1=$abc$21987$n756 I2=$false I3=$false O=$abc$21987$n755_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=UART.tx_bit_counter[2] I3=UART.tx_activity O=$abc$21987$n756 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=$abc$21987$n758_1 I1=$abc$21987$n801 I2=$false I3=$false O=$abc$21987$n228 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n799_1 I1=$abc$21987$n1166 I2=$abc$21987$n1665 I3=$abc$21987$n785 O=$abc$21987$n758_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0101001100000000 .gate SB_LUT4 I0=$abc$21987$n1634 I1=$abc$21987$n750 I2=$abc$21987$n762 I3=$false O=$abc$21987$n761 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$21987$n753 I1=$abc$21987$n742 I2=$abc$21987$n765 I3=$abc$21987$n763 O=$abc$21987$n762 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=$abc$21987$n758 I1=$abc$21987$n755 I2=$abc$21987$n759 I3=$false O=$abc$21987$n763 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000001 .gate SB_LUT4 I0=$abc$21987$n765_1 I1=$abc$21987$n1631 I2=$false I3=$false O=$abc$21987$n758 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n766 I1=$abc$21987$n768_1 I2=$abc$21987$n769_1 I3=$false O=$abc$21987$n765_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$21987$n767 I1=KEYBOARD.row_time[10] I2=KEYBOARD.row_time[11] I3=KEYBOARD.row_time[13] O=$abc$21987$n766 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=KEYBOARD.row_time[5] I1=KEYBOARD.row_time[6] I2=KEYBOARD.row_time[7] I3=KEYBOARD.row_time[9] O=$abc$21987$n767 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=KEYBOARD.row_time[2] I1=KEYBOARD.row_time[3] I2=KEYBOARD.row_time[0] I3=KEYBOARD.row_time[1] O=$abc$21987$n768_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[8] I2=KEYBOARD.row_time[12] I3=KEYBOARD.row_time[14] O=$abc$21987$n769_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=$abc$21987$n765_1 I1=$abc$21987$n1633 I2=$false I3=$false O=$abc$21987$n755 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n765_1 I1=$abc$21987$n1632 I2=$false I3=$false O=$abc$21987$n759 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n765_1 I1=$abc$21987$n1629 I2=$false I3=$false O=$abc$21987$n753 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n765_1 I1=$abc$21987$n1630 I2=$false I3=$false O=$abc$21987$n742 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[1] I2=$false I3=$false O=$abc$21987$n765 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0110 .gate SB_LUT4 I0=$abc$21987$n765_1 I1=$abc$21987$n1627 I2=$false I3=$false O=$abc$21987$n750 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n765_1 I1=$abc$21987$n1636 I2=$false I3=$false O=$abc$21987$n778 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n765_1 I1=$abc$21987$n1635 I2=$false I3=$false O=$abc$21987$n779 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n765_1 I1=$abc$21987$n1637 I2=$false I3=$false O=$abc$21987$n782 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=KEYBOARD.RAM.r_data[2] I1=KEYBOARD.RAM.r_data[3] I2=$abc$21987$n779 I3=$abc$21987$n778 O=$abc$21987$n783_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100101000000000 .gate SB_LUT4 I0=KEYBOARD.RAM.r_data[0] I1=KEYBOARD.RAM.r_data[1] I2=$abc$21987$n778 I3=$abc$21987$n779 O=$abc$21987$n784 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000110000001010 .gate SB_LUT4 I0=$abc$21987$n786 I1=$abc$21987$n51 I2=$false I3=$false O=$abc$21987$n785 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$21987$n787 I2=$false I3=$false O=$abc$21987$n786 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n881 I1=$abc$21987$n788_1 I2=$false I3=$false O=$abc$21987$n787 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n880 I1=$abc$21987$n877 I2=$abc$21987$n878 I3=$abc$21987$n1838 O=$abc$21987$n788_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=$abc$21987$n765_1 I1=$abc$21987$n1640 I2=$false I3=$false O=$abc$21987$n880 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n765_1 I1=$abc$21987$n1638 I2=$false I3=$false O=$abc$21987$n877 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n765_1 I1=$abc$21987$n1639 I2=$false I3=$false O=$abc$21987$n878 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n765_1 I1=$abc$21987$n1641 I2=$false I3=$false O=$abc$21987$n881 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n797_1 I1=$abc$21987$n798 I2=$abc$21987$n1168 I3=$abc$21987$n778 O=$abc$21987$n1665 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111000000010001 .gate SB_LUT4 I0=KEYBOARD.COLUMN_SHADOW[0] I1=KEYBOARD.COLUMN_SHADOW[1] I2=$abc$21987$n782 I3=$abc$21987$n779 O=$abc$21987$n797_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000110000001010 .gate SB_LUT4 I0=KEYBOARD.COLUMN_SHADOW[4] I1=KEYBOARD.COLUMN_SHADOW[5] I2=$abc$21987$n779 I3=$abc$21987$n782 O=$abc$21987$n798 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100101000000000 .gate SB_LUT4 I0=KEYBOARD.is_ghost I1=$abc$21987$n750 I2=$abc$21987$n317 I3=$abc$21987$n762 O=$abc$21987$n799_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=$abc$21987$n765_1 I1=$abc$21987$n1634 I2=$false I3=$false O=$abc$21987$n317 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$21987$n787 I2=$abc$21987$n51 I3=$false O=$abc$21987$n801 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11100000 .gate SB_LUT4 I0=$abc$21987$n317 I1=$abc$21987$n1665 I2=$abc$21987$n785 I3=$false O=$abc$21987$n238 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$21987$n804 I1=$abc$21987$n806 I2=$abc$21987$n786 I3=$abc$21987$n801 O=$abc$21987$n249 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1110111100000000 .gate SB_LUT4 I0=$abc$21987$n763 I1=$abc$21987$n805 I2=$abc$21987$n317 I3=$abc$21987$n765 O=$abc$21987$n804 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=$abc$21987$n750 I1=$abc$21987$n753 I2=$abc$21987$n742 I3=$false O=$abc$21987$n805 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000001 .gate SB_LUT4 I0=$abc$21987$n765 I1=$abc$21987$n807 I2=$abc$21987$n317 I3=$abc$21987$n763 O=$abc$21987$n806 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=$abc$21987$n750 I1=$abc$21987$n1630 I2=$abc$21987$n753 I3=$false O=$abc$21987$n807 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$21987$n758_1 I1=$abc$21987$n810_1 I2=$abc$21987$n785 I3=$false O=$abc$21987$n809 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00011111 .gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[3] I1=$abc$21987$n812 I2=$abc$21987$n811_1 I3=$false O=$abc$21987$n810_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10110000 .gate SB_LUT4 I0=$abc$21987$n1665 I1=$abc$21987$n1836 I2=$false I3=$false O=$abc$21987$n811_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[0] I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[1] I2=$abc$21987$n813_1 I3=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[2] O=$abc$21987$n812 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[4] I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[7] I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[6] I3=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[5] O=$abc$21987$n813_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=$abc$21987$n787 I1=KEYBOARD.report_wr_en I2=$false I3=$false O=$abc$21987$n817_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$21987$n830 I1=$abc$21987$n824 I2=$abc$21987$n819 I3=KEYBOARD.isr_internal O=$abc$21987$n818_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=$abc$21987$n823 I1=$abc$21987$n820 I2=$false I3=$false O=$abc$21987$n819 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n821_1 I1=IS_RAM_INIT I2=$false I3=$false O=$abc$21987$n820 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[4] I2=$abc$21987$n822_1 I3=$false O=$abc$21987$n821_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.kbd_code_hid[7] I3=$false O=$abc$21987$n822_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=KEYBOARD.report_adress_rd[2] I1=KEYBOARD.report_adress_rd[0] I2=KEYBOARD.report_adress_rd[1] I3=$false O=$abc$21987$n823 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$21987$n825 I1=KEYBOARD.IS_RAM_INIT I2=I2C.FLT_SCL.RESET I3=KEYBOARD.is_pressed O=$abc$21987$n824 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=$abc$21987$n826 I1=$abc$21987$n827 I2=$abc$21987$n828 I3=$abc$21987$n829 O=$abc$21987$n825 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[2] I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.REPORT.r_data[7] I3=KEYBOARD.kbd_code_hid[7] O=$abc$21987$n826 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[0] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.REPORT.r_data[6] I3=KEYBOARD.kbd_code_hid[6] O=$abc$21987$n827 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.REPORT.r_data[4] I2=KEYBOARD.REPORT.r_data[5] I3=KEYBOARD.kbd_code_hid[5] O=$abc$21987$n828 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.REPORT.r_data[3] I2=KEYBOARD.REPORT.r_data[1] I3=KEYBOARD.kbd_code_hid[1] O=$abc$21987$n829 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[6] I1=$abc$21987$n832_1 I2=$abc$21987$n831 I3=$false O=$abc$21987$n830 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$21987$n831 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[4] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.kbd_code_hid[7] O=$abc$21987$n832_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=$abc$21987$n834 I1=$abc$21987$n835 I2=$false I3=$false O=$abc$21987$n833 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[4] I1=KEYBOARD.REPORT.r_data[5] I2=KEYBOARD.REPORT.r_data[6] I3=KEYBOARD.REPORT.r_data[7] O=$abc$21987$n834 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[0] I1=KEYBOARD.REPORT.r_data[1] I2=KEYBOARD.REPORT.r_data[2] I3=KEYBOARD.REPORT.r_data[3] O=$abc$21987$n835 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$21987$n51 I2=KEYBOARD.isr_internal I3=$false O=$abc$21987$n840 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$21987$n840 I1=$abc$21987$n843 I2=$abc$21987$n830 I3=$abc$21987$n817_1 O=$abc$21987$n842_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1010110000000000 .gate SB_LUT4 I0=$abc$21987$n820 I1=$abc$21987$n844_1 I2=I2C.FLT_SCL.RESET I3=KEYBOARD.report_adress_rd[3] O=$abc$21987$n843 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$21987$n823 I2=KEYBOARD.isr_internal I3=$false O=$abc$21987$n844_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$21987$n817_1 I1=$abc$21987$n840 I2=$false I3=$false O=$abc$21987$n846 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$21987$n809 I1=$abc$21987$n785 I2=$false I3=$false O=$abc$21987$n320 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$21987$n765_1 I1=KEYBOARD.IS_RAM_INIT I2=IS_RAM_INIT I3=I2C.FLT_SCL.RESET O=$abc$21987$n323 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000111011111111 .gate SB_LUT4 I0=IS_RAM_INIT I1=KEYBOARD.IS_RAM_INIT I2=I2C.FLT_SCL.RESET I3=$false O=$abc$21987$n324 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00011111 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$21987$n51 I2=$abc$21987$n851_1 I3=$false O=$abc$21987$n333 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$21987$n852 I1=$abc$21987$n768_1 I2=$abc$21987$n769_1 I3=$false O=$abc$21987$n851_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=KEYBOARD.row_time[10] I1=KEYBOARD.row_time[11] I2=KEYBOARD.row_time[13] I3=$abc$21987$n853_1 O=$abc$21987$n852 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=KEYBOARD.row_time[5] I1=KEYBOARD.row_time[6] I2=KEYBOARD.row_time[7] I3=KEYBOARD.row_time[9] O=$abc$21987$n853_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=$abc$21987$n809 I1=$abc$21987$n324 I2=$false I3=$false O=$abc$21987$n339 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$21987$n786 I2=$abc$21987$n846 I3=$abc$21987$n324 O=$abc$21987$n343 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000011100000000 .gate SB_LUT4 I0=$abc$21987$n842_1 I1=$abc$21987$n1174 I2=$abc$21987$n865_1 I3=$abc$21987$n1197 O=$abc$21987$n385 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=$abc$21987$n833 I1=$abc$21987$n818_1 I2=$false I3=$false O=$abc$21987$n858 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=KEYBOARD.report_adress_rd[3] I1=$abc$21987$n823 I2=$false I3=$false O=$abc$21987$n862 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n830 I1=KEYBOARD.IS_RAM_INIT I2=KEYBOARD.report_wr_en I3=$false O=$abc$21987$n863 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000001 .gate SB_LUT4 I0=$abc$21987$n787 I1=KEYBOARD.IS_RAM_INIT I2=KEYBOARD.isr_internal I3=$abc$21987$n51 O=$abc$21987$n865_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=$abc$21987$n786 I1=IS_RAM_INIT I2=I2C.FLT_SCL.RESET I3=$abc$21987$n865_1 O=$abc$21987$n390 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000011111 .gate SB_LUT4 I0=$abc$21987$n1772 I1=$abc$21987$n915 I2=$abc$21987$n870 I3=$false O=$abc$21987$n400 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$21987$n869_1 I1=$abc$21987$n912 I2=$false I3=$false O=$abc$21987$n1772 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 .gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=I2C.FLT_SDA.counter[2] I3=$false O=$abc$21987$n869_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000001 .gate SB_LUT4 I0=$abc$21987$n1773 I1=I2C.FLT_SDA.out I2=I2C.SDAF I3=$false O=$abc$21987$n870 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010100 .gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=$false I3=$false O=$abc$21987$n1773 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1001 .gate SB_LUT4 I0=I2C.FLT_SDA.out I1=I2C.SDAF I2=$abc$21987$n869_1 I3=$false O=$abc$21987$n401 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01101111 .gate SB_LUT4 I0=$abc$21987$n1775 I1=$abc$21987$n875 I2=$abc$21987$n1776 I3=$abc$21987$n817 O=$abc$21987$n410 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$abc$21987$n814 O=$abc$21987$n1775 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111100000001 .gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.SCLF I2=$false I3=$false O=$abc$21987$n875 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1001 .gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=$false I3=$false O=$abc$21987$n1776 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1001 .gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$abc$21987$n875 O=$abc$21987$n411 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111011111111 .gate SB_LUT4 I0=$abc$21987$n573_1 I1=$abc$21987$n1807 I2=$false I3=$false O=$22\i2c_input_data_type[3:0][2] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$21987$n573_1 I1=$abc$21987$n619 I2=$false I3=$false O=$22\i2c_input_data_type[3:0][1] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$21987$n689_1 I1=int_tmr[0] I2=$false I3=$false O=$3\int_tmr[14:0][0] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n1062 I1=int_tmr[0] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][0] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$21987$n689_1 I1=int_tmr[1] I2=$false I3=$false O=$3\int_tmr[14:0][1] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=int_tmr[14] I1=int_tmr[0] I2=$abc$21987$n689_1 I3=int_tmr[1] O=$2\int_tmr[14:0][1] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000101100000100 .gate SB_LUT4 I0=$abc$21987$n689_1 I1=int_tmr[2] I2=$false I3=$false O=$3\int_tmr[14:0][2] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n1069 I1=int_tmr[2] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][2] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$21987$n689_1 I1=int_tmr[3] I2=$false I3=$false O=$3\int_tmr[14:0][3] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n1072 I1=int_tmr[3] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][3] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$21987$n689_1 I1=int_tmr[4] I2=$false I3=$false O=$3\int_tmr[14:0][4] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n1075 I1=int_tmr[4] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][4] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$21987$n689_1 I1=int_tmr[5] I2=$false I3=$false O=$3\int_tmr[14:0][5] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n1078 I1=int_tmr[5] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][5] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$21987$n689_1 I1=int_tmr[6] I2=$false I3=$false O=$3\int_tmr[14:0][6] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n1081 I1=int_tmr[6] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][6] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$21987$n689_1 I1=int_tmr[7] I2=$false I3=$false O=$3\int_tmr[14:0][7] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n1084 I1=int_tmr[7] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][7] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$21987$n689_1 I1=int_tmr[8] I2=$false I3=$false O=$3\int_tmr[14:0][8] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n1087 I1=int_tmr[8] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][8] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$21987$n689_1 I1=int_tmr[9] I2=$false I3=$false O=$3\int_tmr[14:0][9] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n1090 I1=int_tmr[9] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][9] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$21987$n689_1 I1=int_tmr[10] I2=$false I3=$false O=$3\int_tmr[14:0][10] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n1093 I1=int_tmr[10] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][10] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$21987$n689_1 I1=int_tmr[11] I2=$false I3=$false O=$3\int_tmr[14:0][11] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n1096 I1=int_tmr[11] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][11] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$21987$n689_1 I1=int_tmr[12] I2=$false I3=$false O=$3\int_tmr[14:0][12] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n1099 I1=int_tmr[12] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][12] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$21987$n689_1 I1=int_tmr[13] I2=$false I3=$false O=$3\int_tmr[14:0][13] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n1102 I1=int_tmr[13] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][13] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$3\int_tmr[14:0][14] I1=$abc$21987$n1105 I2=$false I3=$false O=$2\int_tmr[14:0][14] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 .gate SB_LUT4 I0=$abc$21987$n571 I1=$abc$21987$n697 I2=$abc$21987$n664 I3=$false O=$2\I2C_OUT_DESC_MASK[7:0][7] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$22\i2c_input_data_type[3:0][0] I1=$abc$21987$n911 I2=$false I3=$false O=$20\i2c_input_data_type[3:0][0] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1011 .gate SB_LUT4 I0=I2C.is_read I1=$abc$21987$n665 I2=$abc$21987$n620 I3=$false O=$abc$21987$n911 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11110100 .gate SB_LUT4 I0=$abc$21987$n690 I1=$abc$21987$n913 I2=$abc$21987$n619 I3=$false O=$20\i2c_input_data_type[3:0][1] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00001011 .gate SB_LUT4 I0=$abc$21987$n573_1 I1=$abc$21987$n665 I2=$false I3=$false O=$abc$21987$n913 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$21987$n690 I1=$abc$21987$n913 I2=$abc$21987$n1807 I3=$false O=$20\i2c_input_data_type[3:0][2] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00001011 .gate SB_LUT4 I0=$abc$21987$n573_1 I1=$abc$21987$n695_1 I2=$abc$21987$n616 I3=$false O=$20\i2c_input_data_type[3:0][3] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000111 .gate SB_LUT4 I0=$abc$21987$n42 I1=$abc$21987$n633 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][0] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n1801 I1=$abc$21987$n42 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][1] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$21987$n1802 I1=$abc$21987$n42 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][2] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$21987$n1803 I1=$abc$21987$n42 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][3] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$21987$n1804 I1=$abc$21987$n42 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][4] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$21987$n1805 I1=$abc$21987$n42 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][5] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$21987$n1806 I1=$abc$21987$n42 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][6] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 .gate SB_LUT4 I0=I2C_TRANS I1=$abc$21987$n607_1 I2=last_trans I3=$false O=$2\UART_WR[0:0] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00101011 .gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$21987$n926 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][0] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 .gate SB_LUT4 I0=REPORT_DATA.r_data[0] I1=I2C_HID_DESC.VAL[0] I2=I2C_OUT_DESC_MASK[0] I3=$false O=$abc$21987$n926 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=I2C.received_byte[1] I1=$abc$21987$n928 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][1] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 .gate SB_LUT4 I0=REPORT_DATA.r_data[1] I1=I2C_HID_DESC.VAL[1] I2=I2C_OUT_DESC_MASK[1] I3=$false O=$abc$21987$n928 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=I2C.received_byte[2] I1=$abc$21987$n930 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][2] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 .gate SB_LUT4 I0=REPORT_DATA.r_data[2] I1=I2C_HID_DESC.VAL[2] I2=I2C_OUT_DESC_MASK[2] I3=$false O=$abc$21987$n930 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=I2C.received_byte[3] I1=$abc$21987$n932 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][3] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 .gate SB_LUT4 I0=REPORT_DATA.r_data[3] I1=I2C_HID_DESC.VAL[3] I2=I2C_OUT_DESC_MASK[3] I3=$false O=$abc$21987$n932 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=I2C.received_byte[4] I1=$abc$21987$n934 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][4] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 .gate SB_LUT4 I0=REPORT_DATA.r_data[4] I1=I2C_HID_DESC.VAL[4] I2=I2C_OUT_DESC_MASK[4] I3=$false O=$abc$21987$n934 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=I2C.received_byte[5] I1=$abc$21987$n936 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][5] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 .gate SB_LUT4 I0=REPORT_DATA.r_data[5] I1=I2C_HID_DESC.VAL[5] I2=I2C_OUT_DESC_MASK[5] I3=$false O=$abc$21987$n936 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=I2C.received_byte[6] I1=$abc$21987$n938_1 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][6] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 .gate SB_LUT4 I0=REPORT_DATA.r_data[6] I1=I2C_HID_DESC.VAL[6] I2=I2C_OUT_DESC_MASK[6] I3=$false O=$abc$21987$n938_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=I2C.received_byte[7] I1=$abc$21987$n940 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][7] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 .gate SB_LUT4 I0=REPORT_DATA.r_data[7] I1=I2C_HID_DESC.VAL[7] I2=I2C_OUT_DESC_MASK[7] I3=$false O=$abc$21987$n940 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=temp_output_report[1] I1=I2C.received_byte[1] I2=$abc$21987$n1182 I3=$false O=$0\temp_output_report[2:0][1] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=temp_output_report[2] I1=I2C.received_byte[2] I2=$abc$21987$n1182 I3=$false O=$0\temp_output_report[2:0][2] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$21987$n670 I1=ring_rd[1] I2=$false I3=$false O=$2\ring_rd[3:0][1] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0110 .gate SB_LUT4 I0=report_wr_en I1=$abc$21987$n720_1 I2=IS_RAM_INIT I3=$false O=$2\IS_RAM_INIT[0:0] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01110000 .gate SB_LUT4 I0=report_wr_en I1=$abc$21987$n950 I2=$abc$21987$n720_1 I3=IS_RAM_INIT O=$2\report_wr_en[0:0] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1101000011001100 .gate SB_LUT4 I0=IS_RAM_INIT I1=KEYBOARD.isr I2=last_isr I3=$false O=$abc$21987$n950 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$21987$n719 I2=$abc$21987$n818 I3=$abc$21987$n950 O=$2\wr_cnt[3:0][0] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111110110000 .gate SB_LUT4 I0=$abc$21987$n950 I1=wr_cnt[0] I2=wr_cnt[1] I3=$false O=$2\wr_cnt[3:0][1] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010100 .gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$21987$n719 I2=$abc$21987$n950 I3=$abc$21987$n821 O=$2\wr_cnt[3:0][2] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000101100000000 .gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$21987$n719 I2=$abc$21987$n950 I3=$abc$21987$n822 O=$2\wr_cnt[3:0][3] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000101100000000 .gate SB_LUT4 I0=$abc$21987$n956 I1=$abc$21987$n1372 I2=$false I3=$false O=$0\rststate[3:0][0] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n725 I1=$22\i2c_input_data_type[3:0][0] I2=$abc$21987$n619 I3=$abc$21987$n957 O=$abc$21987$n956 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=$abc$21987$n695_1 I1=$abc$21987$n51 I2=$false I3=$false O=$abc$21987$n957 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$21987$n956 I1=$abc$21987$n1374 I2=$false I3=$false O=$0\rststate[3:0][1] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n956 I1=$abc$21987$n1376 I2=$false I3=$false O=$0\rststate[3:0][2] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n956 I1=$abc$21987$n1378 I2=$false I3=$false O=$0\rststate[3:0][3] .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n1413 I1=I2C.i2c_bit_counter[0] I2=$false I3=$false O=$abc$21987$n1415 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$21987$n1413 I1=I2C.i2c_bit_counter[1] I2=$false I3=$false O=$abc$21987$n1417 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$21987$n1413 I1=I2C.i2c_bit_counter[2] I2=$false I3=$false O=$abc$21987$n1419 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=I2C.i2c_bit_counter[3] I1=$abc$21987$n1413 I2=$false I3=$false O=$abc$21987$n1810 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=I2C.i2c_state_machine I1=$abc$21987$n975 I2=$abc$21987$n1186 I3=$abc$21987$n980 O=$abc$21987$n1431 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111111110100 .gate SB_LUT4 I0=$abc$21987$n936 I1=$abc$21987$n928 I2=$abc$21987$n677 I3=$abc$21987$n740 O=$abc$21987$n969 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0101001100000000 .gate SB_LUT4 I0=$abc$21987$n940 I1=$abc$21987$n932 I2=$abc$21987$n677 I3=$abc$21987$n743 O=$abc$21987$n970 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0101001100000000 .gate SB_LUT4 I0=$abc$21987$n930 I1=$abc$21987$n926 I2=$abc$21987$n675 I3=$abc$21987$n738 O=$abc$21987$n971 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111110011111010 .gate SB_LUT4 I0=$abc$21987$n938_1 I1=$abc$21987$n934 I2=$abc$21987$n675 I3=$abc$21987$n738 O=$abc$21987$n972 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111110011111010 .gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$abc$21987$n12 I2=$false I3=$false O=$abc$21987$n973 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$21987$n976 I1=$abc$21987$n1413 I2=$false I3=$false O=$abc$21987$n975 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$21987$n734 I1=I2C.SDA_DIR I2=$false I3=$false O=$abc$21987$n976 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n1413 I1=I2C.is_ack I2=$false I3=$false O=$abc$21987$n977 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$21987$n732 I1=$abc$21987$n735 I2=$false I3=$false O=$abc$21987$n979 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$21987$n1008 I1=$abc$21987$n975 I2=$abc$21987$n986 I3=$abc$21987$n731 O=$abc$21987$n980 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1010110000000000 .gate SB_LUT4 I0=$abc$21987$n983 I1=I2C.is_adress I2=$false I3=$false O=$abc$21987$n982 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=I2C.received_byte[2] I1=I2C.received_byte[7] I2=$abc$21987$n984_1 I3=I2C.received_byte[6] O=$abc$21987$n983 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[1] I2=I2C.received_byte[5] I3=I2C.received_byte[3] O=$abc$21987$n984_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=$abc$21987$n977 I1=$abc$21987$n986 I2=$false I3=$false O=$abc$21987$n985 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n973 I1=$abc$21987$n125 I2=$false I3=$false O=$abc$21987$n986 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$21987$n989 I1=$abc$21987$n979 I2=$abc$21987$n988 I3=$false O=$abc$21987$n1433 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11110100 .gate SB_LUT4 I0=$abc$21987$n985 I1=$abc$21987$n982 I2=$abc$21987$n731 I3=$false O=$abc$21987$n988 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01110000 .gate SB_LUT4 I0=$abc$21987$n976 I1=$abc$21987$n990 I2=I2C.FLT_SDA.out I3=$false O=$abc$21987$n989 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$21987$n977 I1=I2C.FLT_SCL.out I2=$abc$21987$n12 I3=$abc$21987$n125 O=$abc$21987$n990 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=$abc$21987$n992_1 I1=$abc$21987$n985 I2=$abc$21987$n735 I3=$false O=$abc$21987$n1435 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11111000 .gate SB_LUT4 I0=I2C.is_adress I1=I2C.received_byte[0] I2=$abc$21987$n732 I3=$false O=$abc$21987$n992_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$21987$n999_1 I1=$abc$21987$n675 I2=$abc$21987$n994 I3=$abc$21987$n1415 O=$abc$21987$n1437 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000111110001000 .gate SB_LUT4 I0=$abc$21987$n998_1 I1=$abc$21987$n990 I2=$abc$21987$n995_1 I3=$false O=$abc$21987$n994 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00001101 .gate SB_LUT4 I0=$abc$21987$n732 I1=$abc$21987$n996_1 I2=$abc$21987$n997 I3=$false O=$abc$21987$n995_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000111 .gate SB_LUT4 I0=$abc$21987$n977 I1=$abc$21987$n986 I2=$false I3=$false O=$abc$21987$n996_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$21987$n737 I1=$abc$21987$n735 I2=$abc$21987$n732 I3=$false O=$abc$21987$n997 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11100000 .gate SB_LUT4 I0=$abc$21987$n125 I1=$abc$21987$n973 I2=$abc$21987$n735 I3=$false O=$abc$21987$n998_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10110000 .gate SB_LUT4 I0=$abc$21987$n998_1 I1=$abc$21987$n997 I2=$false I3=$false O=$abc$21987$n999_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$21987$n738 I1=$abc$21987$n999_1 I2=$abc$21987$n994 I3=$abc$21987$n1417 O=$abc$21987$n1439 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100111101000100 .gate SB_LUT4 I0=$abc$21987$n999_1 I1=$abc$21987$n677 I2=$abc$21987$n994 I3=$abc$21987$n1419 O=$abc$21987$n1441 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000111110001000 .gate SB_LUT4 I0=$abc$21987$n1003 I1=$abc$21987$n1005 I2=$false I3=$false O=$abc$21987$n1443 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1011 .gate SB_LUT4 I0=$abc$21987$n998_1 I1=I2C.i2c_bit_counter[3] I2=$abc$21987$n1004 I3=$abc$21987$n732 O=$abc$21987$n1003 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000111100000000 .gate SB_LUT4 I0=$abc$21987$n996_1 I1=$abc$21987$n990 I2=I2C.is_read I3=$false O=$abc$21987$n1004 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111101 .gate SB_LUT4 I0=$abc$21987$n998_1 I1=$abc$21987$n678 I2=$abc$21987$n1810 I3=$abc$21987$n997 O=$abc$21987$n1005 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1011101111110000 .gate SB_LUT4 I0=I2C.is_adress I1=$abc$21987$n1413 I2=$abc$21987$n731 I3=$abc$21987$n990 O=$abc$21987$n1445 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000101110111011 .gate SB_LUT4 I0=$abc$21987$n998_1 I1=$abc$21987$n732 I2=$abc$21987$n1009 I3=$abc$21987$n1008 O=$abc$21987$n1447 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111100001011 .gate SB_LUT4 I0=$abc$21987$n982 I1=$abc$21987$n977 I2=$abc$21987$n986 I3=$abc$21987$n731 O=$abc$21987$n1008 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001110000000000 .gate SB_LUT4 I0=I2C.i2c_state_machine I1=$abc$21987$n973 I2=I2C.is_ack I3=$abc$21987$n1413 O=$abc$21987$n1009 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000011100110011 .gate SB_LUT4 I0=$abc$21987$n996_1 I1=$abc$21987$n731 I2=$abc$21987$n1011_1 I3=$false O=$abc$21987$n1449 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01110000 .gate SB_LUT4 I0=$abc$21987$n1013 I1=$abc$21987$n990 I2=$abc$21987$n1014_1 I3=$abc$21987$n1012_1 O=$abc$21987$n1011_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000011110100 .gate SB_LUT4 I0=$abc$21987$n125 I1=$abc$21987$n973 I2=$abc$21987$n989 I3=$abc$21987$n979 O=$abc$21987$n1012_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111010000000000 .gate SB_LUT4 I0=I2C.is_adress I1=I2C.is_read I2=$abc$21987$n732 I3=$abc$21987$n1413 O=$abc$21987$n1013 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0010111111111111 .gate SB_LUT4 I0=$abc$21987$n734 I1=$abc$21987$n1413 I2=I2C.wr I3=$false O=$abc$21987$n1014_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$21987$n755_1 I1=$abc$21987$n753_1 I2=$abc$21987$n751 I3=$false O=$abc$21987$n1524 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000111 .gate SB_LUT4 I0=$abc$21987$n753_1 I1=$abc$21987$n844 I2=$false I3=$false O=$abc$21987$n1526 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 .gate SB_LUT4 I0=$abc$21987$n753_1 I1=$abc$21987$n847 I2=$false I3=$false O=$abc$21987$n1528 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 .gate SB_LUT4 I0=$abc$21987$n753_1 I1=$abc$21987$n848 I2=$false I3=$false O=$abc$21987$n1529 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$21987$n793 I2=$abc$21987$n1020_1 I3=$false O=$abc$21987$n1532 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11111000 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$21987$n1023 I2=$abc$21987$n1021_1 I3=$abc$21987$n1024 O=$abc$21987$n1020_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100000011001101 .gate SB_LUT4 I0=$abc$21987$n1022 I1=$abc$21987$n1665 I2=$abc$21987$n787 I3=$false O=$abc$21987$n1021_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10110000 .gate SB_LUT4 I0=$abc$21987$n1627 I1=$abc$21987$n317 I2=$abc$21987$n762 I3=$false O=$abc$21987$n1022 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=$abc$21987$n1642 I2=KEYBOARD.IS_RAM_INIT I3=$abc$21987$n765_1 O=$abc$21987$n1023 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000110000001010 .gate SB_LUT4 I0=KEYBOARD.last_adr[0] I1=KEYBOARD.row_counter[0] I2=$abc$21987$n851_1 I3=$false O=$abc$21987$n1024 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=$abc$21987$n1026_1 I1=KEYBOARD.last_adr[0] I2=KEYBOARD.last_adr[1] I3=KEYBOARD.IS_RAM_INIT O=$abc$21987$n1535 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1011111010101010 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$21987$n1027 I2=$abc$21987$n1021_1 I3=$abc$21987$n1028 O=$abc$21987$n1026_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100000011001101 .gate SB_LUT4 I0=$abc$21987$n765_1 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.IS_RAM_INIT I3=KEYBOARD.row_counter[1] O=$abc$21987$n1027 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000011100001000 .gate SB_LUT4 I0=KEYBOARD.last_adr[1] I1=KEYBOARD.row_counter[1] I2=$abc$21987$n851_1 I3=$false O=$abc$21987$n1028 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$21987$n796 I2=$abc$21987$n1030 I3=$false O=$abc$21987$n1537 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11111000 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$21987$n1031 I2=$abc$21987$n1021_1 I3=$abc$21987$n1032 O=$abc$21987$n1030 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100000011001101 .gate SB_LUT4 I0=KEYBOARD.row_counter[2] I1=$abc$21987$n1646 I2=KEYBOARD.IS_RAM_INIT I3=$abc$21987$n765_1 O=$abc$21987$n1031 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000110000001010 .gate SB_LUT4 I0=KEYBOARD.last_adr[2] I1=KEYBOARD.row_counter[2] I2=$abc$21987$n851_1 I3=$false O=$abc$21987$n1032 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$21987$n797 I2=$abc$21987$n1034 I3=$false O=$abc$21987$n1539 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11111000 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$21987$n1035 I2=$abc$21987$n1021_1 I3=$abc$21987$n1036 O=$abc$21987$n1034 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100000011001101 .gate SB_LUT4 I0=KEYBOARD.row_counter[3] I1=$abc$21987$n18 I2=KEYBOARD.IS_RAM_INIT I3=$abc$21987$n765_1 O=$abc$21987$n1035 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000110000001010 .gate SB_LUT4 I0=KEYBOARD.last_adr[3] I1=KEYBOARD.row_counter[3] I2=$abc$21987$n851_1 I3=$false O=$abc$21987$n1036 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=$abc$21987$n799 I1=KEYBOARD.IS_RAM_INIT I2=$abc$21987$n1038 I3=KEYBOARD.last_adr[4] O=$abc$21987$n1541 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111100010001000 .gate SB_LUT4 I0=$abc$21987$n1021_1 I1=$abc$21987$n851_1 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$21987$n1038 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000001 .gate SB_LUT4 I0=$abc$21987$n800 I1=KEYBOARD.IS_RAM_INIT I2=$abc$21987$n1038 I3=KEYBOARD.last_adr[5] O=$abc$21987$n1543 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111100010001000 .gate SB_LUT4 I0=$abc$21987$n802 I1=KEYBOARD.IS_RAM_INIT I2=$abc$21987$n1038 I3=KEYBOARD.last_adr[6] O=$abc$21987$n1545 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111100010001000 .gate SB_LUT4 I0=$abc$21987$n1547 I1=KEYBOARD.IS_RAM_INIT I2=$abc$21987$n1038 I3=KEYBOARD.last_adr[7] O=$abc$21987$n1548 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111100010001000 .gate SB_LUT4 I0=$abc$21987$n808 I1=KEYBOARD.IS_RAM_INIT I2=$abc$21987$n1038 I3=KEYBOARD.last_adr[8] O=$abc$21987$n1550 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111100010001000 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[0] I2=$false I3=$false O=$abc$21987$n1552 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[1] I2=$false I3=$false O=$abc$21987$n1554 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[2] I2=$false I3=$false O=$abc$21987$n1556 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[3] I2=$false I3=$false O=$abc$21987$n1558 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[4] I2=$false I3=$false O=$abc$21987$n1560 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[5] I2=$false I3=$false O=$abc$21987$n1562 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[6] I2=$false I3=$false O=$abc$21987$n1564 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[7] I2=$false I3=$false O=$abc$21987$n1566 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$21987$n797 I2=$abc$21987$n1023 I3=$false O=$abc$21987$n1568 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11111000 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$21987$n799 I2=$abc$21987$n1027 I3=$false O=$abc$21987$n1570 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11111000 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$21987$n800 I2=$abc$21987$n1031 I3=$false O=$abc$21987$n1572 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11111000 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$21987$n802 I2=$abc$21987$n1035 I3=$false O=$abc$21987$n1574 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11111000 .gate SB_LUT4 I0=$abc$21987$n793 I1=$abc$21987$n779 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$21987$n1575 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10101100 .gate SB_LUT4 I0=$abc$21987$n778 I1=KEYBOARD.last_adr[0] I2=KEYBOARD.last_adr[1] I3=KEYBOARD.IS_RAM_INIT O=$abc$21987$n1576 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0011110010101010 .gate SB_LUT4 I0=$abc$21987$n796 I1=$abc$21987$n782 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$21987$n1577 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10101100 .gate SB_LUT4 I0=$abc$21987$n1059 I1=$abc$21987$n905 I2=$abc$21987$n1060 I3=$abc$21987$n786 O=$abc$21987$n1579 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000011110100 .gate SB_LUT4 I0=KEYBOARD.isr_internal I1=$abc$21987$n862 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$21987$n1059 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00001101 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KEYBOARD.isr_internal I2=wr_cnt[0] I3=$false O=$abc$21987$n1060 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$21987$n1062_1 I1=$abc$21987$n1059 I2=KEYBOARD.report_adress_rd[0] I3=KEYBOARD.report_adress_rd[1] O=$abc$21987$n1581 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0101011101110101 .gate SB_LUT4 I0=KEYBOARD.isr_internal I1=wr_cnt[1] I2=$abc$21987$n787 I3=KEYBOARD.IS_RAM_INIT O=$abc$21987$n1062_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111100001011 .gate SB_LUT4 I0=$abc$21987$n908 I1=$abc$21987$n1059 I2=$abc$21987$n1064_1 I3=$abc$21987$n786 O=$abc$21987$n1583 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000001110 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KEYBOARD.isr_internal I2=wr_cnt[2] I3=$false O=$abc$21987$n1064_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000001 .gate SB_LUT4 I0=$abc$21987$n1066 I1=$abc$21987$n787 I2=$abc$21987$n909 I3=KEYBOARD.IS_RAM_INIT O=$abc$21987$n1585 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111000000010001 .gate SB_LUT4 I0=$abc$21987$n862 I1=$abc$21987$n909 I2=wr_cnt[3] I3=KEYBOARD.isr_internal O=$abc$21987$n1066 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1011101100001111 .gate SB_LUT4 I0=KEYBOARD.report_adress_rd[0] I1=KEYBOARD.report_adress_rd[1] I2=$abc$21987$n1072_1 I3=$false O=$abc$21987$n1071_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10010000 .gate SB_LUT4 I0=$abc$21987$n905 I1=$abc$21987$n908 I2=$abc$21987$n909 I3=KEYBOARD.IS_RAM_INIT O=$abc$21987$n1072_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$21987$n1074_1 I2=$abc$21987$n1076_1 I3=$abc$21987$n1071_1 O=$abc$21987$n1589 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111100000001 .gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.kbd_code_hid[3] I2=$abc$21987$n1075_1 I3=$abc$21987$n821_1 O=$abc$21987$n1074_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0111000001110111 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=KEYBOARD.REPORT.r_data[3] O=$abc$21987$n1075_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000010111111 .gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.kbd_code_hid[1] I3=KEYBOARD.kbd_code_hid[0] O=$abc$21987$n1076_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=$abc$21987$n1082_1 I1=$abc$21987$n821_1 I2=$abc$21987$n863 I3=$abc$21987$n1594 O=$abc$21987$n1591 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111111100000 .gate SB_LUT4 I0=$abc$21987$n1079_1 I1=KEYBOARD.IS_RAM_INIT I2=$false I3=$false O=$abc$21987$n1594 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=KEYBOARD.last_adr[0] I1=KEYBOARD.last_adr[1] I2=$abc$21987$n1080_1 I3=$abc$21987$n1081_1 O=$abc$21987$n1079_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0110000000000000 .gate SB_LUT4 I0=$abc$21987$n800 I1=$abc$21987$n802 I2=$abc$21987$n808 I3=$abc$21987$n1547 O=$abc$21987$n1080_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=$abc$21987$n793 I1=$abc$21987$n796 I2=$abc$21987$n797 I3=$abc$21987$n799 O=$abc$21987$n1081_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=$abc$21987$n833 I1=$abc$21987$n823 I2=$abc$21987$n825 I3=KEYBOARD.is_pressed O=$abc$21987$n1082_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000001000110000 .gate SB_LUT4 I0=$abc$21987$n779 I1=$abc$21987$n1636 I2=$abc$21987$n1637 I3=$false O=$abc$21987$n1086_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$21987$n804 I2=$abc$21987$n1594 I3=$false O=$abc$21987$n1596 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11110100 .gate SB_LUT4 I0=$abc$21987$n787 I1=$abc$21987$n1090_1 I2=$false I3=$false O=$abc$21987$n1650 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 .gate SB_LUT4 I0=$abc$21987$n1091_1 I1=$abc$21987$n830 I2=KEYBOARD.report_wr_en I3=KEYBOARD.isr_internal O=$abc$21987$n1090_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=KEYBOARD.report_adress_rd[3] I1=$abc$21987$n1092_1 I2=$abc$21987$n821_1 I3=$abc$21987$n823 O=$abc$21987$n1091_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000101000001100 .gate SB_LUT4 I0=$abc$21987$n825 I1=KEYBOARD.is_pressed I2=$false I3=$false O=$abc$21987$n1092_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[0] I1=$abc$21987$n1708 I2=$abc$21987$n810_1 I3=$false O=$abc$21987$n1677 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$21987$n810_1 I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[0] I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[1] I3=$false O=$abc$21987$n1680 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01111000 .gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[2] I1=$abc$21987$n1710 I2=$abc$21987$n810_1 I3=$false O=$abc$21987$n1683 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$21987$n812 I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[3] I2=$abc$21987$n811_1 I3=$abc$21987$n1711 O=$abc$21987$n1686 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1101110000001100 .gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[4] I1=$abc$21987$n1712 I2=$abc$21987$n810_1 I3=$false O=$abc$21987$n1689 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[5] I1=$abc$21987$n1713 I2=$abc$21987$n810_1 I3=$false O=$abc$21987$n1692 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[6] I1=$abc$21987$n1714 I2=$abc$21987$n810_1 I3=$false O=$abc$21987$n1695 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[7] I1=$abc$21987$n1715 I2=$abc$21987$n810_1 I3=$false O=$abc$21987$n1698 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$21987$n821_1 I1=KEYBOARD.is_pressed I2=KEYBOARD.kbd_code_hid[0] I3=$abc$21987$n1102_1 O=$abc$21987$n1730 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111101000000 .gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[0] I1=KEYBOARD.is_pressed I2=$abc$21987$n831 I3=$abc$21987$n821_1 O=$abc$21987$n1102_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100101000000000 .gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.kbd_code_hid[4] I2=$abc$21987$n1107 I3=$false O=$abc$21987$n1740 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11111000 .gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[4] I1=KEYBOARD.is_pressed I2=$abc$21987$n1108_1 I3=$abc$21987$n821_1 O=$abc$21987$n1107 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100101000000000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$21987$n1108_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$21987$n821_1 I1=KEYBOARD.is_pressed I2=KEYBOARD.kbd_code_hid[5] I3=$abc$21987$n1110_1 O=$abc$21987$n1743 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111101000000 .gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[5] I1=KEYBOARD.is_pressed I2=$abc$21987$n1111 I3=$abc$21987$n821_1 O=$abc$21987$n1110_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100101000000000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$21987$n1111 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$21987$n821_1 I1=KEYBOARD.is_pressed I2=KEYBOARD.kbd_code_hid[6] I3=$abc$21987$n1113 O=$abc$21987$n1746 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111101000000 .gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[6] I1=KEYBOARD.is_pressed I2=$abc$21987$n1114_1 I3=$abc$21987$n821_1 O=$abc$21987$n1113 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100101000000000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$21987$n1114_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$21987$n821_1 I1=KEYBOARD.is_pressed I2=KEYBOARD.kbd_code_hid[7] I3=$abc$21987$n1116_1 O=$abc$21987$n1749 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111101000000 .gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[7] I1=KEYBOARD.is_pressed I2=$abc$21987$n1117 I3=$abc$21987$n821_1 O=$abc$21987$n1116_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100101000000000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$21987$n1117 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$21987$n869_1 I1=$abc$21987$n915 I2=$false I3=$false O=$abc$21987$n1774 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 .gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$abc$21987$n817 O=$abc$21987$n1777 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111100000001 .gate SB_LUT4 I0=$abc$21987$n1642 I1=$abc$21987$n1646 I2=KEYBOARD.row_counter[1] I3=KEYBOARD.row_counter[0] O=$abc$21987$n1909 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000001 .gate SB_LUT4 I0=$abc$21987$n1646 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$21987$n1642 O=$abc$21987$n1910 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000100000000 .gate SB_LUT4 I0=$abc$21987$n1642 I1=$abc$21987$n1646 I2=KEYBOARD.row_counter[0] I3=KEYBOARD.row_counter[1] O=$abc$21987$n1911 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100010000 .gate SB_LUT4 I0=$abc$21987$n1646 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$21987$n1642 O=$abc$21987$n1912 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001010000000000 .gate SB_LUT4 I0=$abc$21987$n1642 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$21987$n1646 O=$abc$21987$n1913 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000100000000 .gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=KEYBOARD.row_counter[1] I2=$abc$21987$n1642 I3=$abc$21987$n1646 O=$abc$21987$n1914 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000000000 .gate SB_LUT4 I0=$abc$21987$n1642 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$21987$n1646 O=$abc$21987$n1915 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001010000000000 .gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=KEYBOARD.row_counter[1] I2=$abc$21987$n1642 I3=$abc$21987$n1646 O=$abc$21987$n1916 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0110000000000000 .gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$false I2=$false I3=$false O=$abc$21987$n3 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$false I2=$false I3=$false O=$abc$21987$n5 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=LED1 I1=$false I2=$false I3=$false O=COM_DCD .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$21987$n14 I1=$false I2=$false I3=$false O=COM_TX .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=UART.tx_activity I1=$false I2=$false I3=$false O=$abc$21987$n17 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$21987$n18 I1=$false I2=$false I3=$false O=$abc$21987$n19 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$false I2=$false I3=$false O=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[7] I1=$false I2=$false I3=$false O=$abc$21987$n601 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[4] I1=$false I2=$false I3=$false O=$abc$21987$n605 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[3] I1=$false I2=$false I3=$false O=$abc$21987$n607 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[1] I1=$false I2=$false I3=$false O=$abc$21987$n610 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[0] I1=$false I2=$false I3=$false O=$abc$21987$n611 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.received_byte[7] I1=$false I2=$false I3=$false O=$abc$21987$n623 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.received_byte[6] I1=$false I2=$false I3=$false O=$abc$21987$n624 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.received_byte[5] I1=$false I2=$false I3=$false O=$abc$21987$n626 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.received_byte[4] I1=$false I2=$false I3=$false O=$abc$21987$n627 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.received_byte[3] I1=$false I2=$false I3=$false O=$abc$21987$n629 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.received_byte[2] I1=$false I2=$false I3=$false O=$abc$21987$n630 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.received_byte[1] I1=$false I2=$false I3=$false O=$abc$21987$n632 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$21987$n1810 I1=$false I2=$false I3=$false O=$abc$21987$n1421 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$21987$n1417 I1=$false I2=$false I3=$false O=$abc$21987$n1785 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$21987$n1798 I1=$false I2=$false I3=$false O=$abc$21987$n1797 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$21987$n1415 I1=$false I2=$false I3=$false O=$abc$21987$n1808 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$21987$n1419 I1=$false I2=$false I3=$false O=$abc$21987$n1809 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[2] I1=$false I2=$false I3=$false O=$abc$21987$n1811 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[5] I1=$false I2=$false I3=$false O=$abc$21987$n1812 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[6] I1=$false I2=$false I3=$false O=$abc$21987$n1813 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$21987$n1814 I1=$false I2=$false I3=$false O=$abc$21987$n1815 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$21987$n1799 I1=$false I2=$false I3=$false O=$abc$21987$n1816 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$21987$n1817 I1=$false I2=$false I3=$false O=$abc$21987$n1818 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=CLK I1=$false I2=$false I3=$false O=I2C_HID_DESC.CLK .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C_COUNTER[0] I1=$abc$21987$n584 I2=$abc$21987$n598 I3=$abc$21987$n594 O=$abc$21987$n1160 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1011111100000000 .gate SB_LUT4 I0=I2C_COUNTER[1] I1=$abc$21987$n588 I2=$abc$21987$n1160 I3=$abc$21987$n599 O=$abc$21987$n1161 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=$abc$21987$n783_1 I1=$abc$21987$n784 I2=KEYBOARD.RAM.r_data[4] I3=$abc$21987$n782 O=$abc$21987$n1162 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111000000010001 .gate SB_LUT4 I0=KEYBOARD.RAM.r_data[5] I1=KEYBOARD.RAM.r_data[7] I2=$abc$21987$n779 I3=$abc$21987$n778 O=$abc$21987$n1163 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100111101010000 .gate SB_LUT4 I0=KEYBOARD.RAM.r_data[6] I1=$abc$21987$n1162 I2=$abc$21987$n1635 I3=$abc$21987$n1163 O=$abc$21987$n1164 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111101011111100 .gate SB_LUT4 I0=$abc$21987$n1164 I1=$abc$21987$n1163 I2=$abc$21987$n778 I3=$abc$21987$n761 O=$abc$21987$n1165 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0111110100000000 .gate SB_LUT4 I0=$abc$21987$n1162 I1=$abc$21987$n761 I2=$abc$21987$n1165 I3=$abc$21987$n782 O=$abc$21987$n1166 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111000010001000 .gate SB_LUT4 I0=KEYBOARD.COLUMN_SHADOW[3] I1=KEYBOARD.COLUMN_SHADOW[7] I2=$abc$21987$n779 I3=$abc$21987$n782 O=$abc$21987$n1167 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0011111101010000 .gate SB_LUT4 I0=KEYBOARD.COLUMN_SHADOW[2] I1=KEYBOARD.COLUMN_SHADOW[6] I2=$abc$21987$n779 I3=$abc$21987$n1167 O=$abc$21987$n1168 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111001100000101 .gate SB_LUT4 I0=$abc$21987$n840 I1=$abc$21987$n1197 I2=$abc$21987$n818_1 I3=$abc$21987$n833 O=$abc$21987$n1169 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111100010001000 .gate SB_LUT4 I0=$abc$21987$n1169 I1=$abc$21987$n817_1 I2=$abc$21987$n1174 I3=$abc$21987$n36 O=$abc$21987$n1170 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000011100000000 .gate SB_LUT4 I0=$abc$21987$n1170 I1=$abc$21987$n809 I2=$false I3=$false O=$abc$21987$n310 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KEYBOARD.is_pressed I2=KEYBOARD.isr_internal I3=I2C.FLT_SCL.RESET O=$abc$21987$n1172 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=$abc$21987$n830 I1=$abc$21987$n819 I2=$abc$21987$n825 I3=$abc$21987$n1172 O=$abc$21987$n1173 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=$abc$21987$n840 I1=$abc$21987$n1173 I2=$abc$21987$n787 I3=KEYBOARD.report_wr_en O=$abc$21987$n1174 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000101000001100 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KEYBOARD.report_wr_en I2=KEYBOARD.isr_internal I3=I2C.FLT_SCL.RESET O=$abc$21987$n1175 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=I2C_COUNTER[3] I1=$abc$21987$n579 I2=i2c_input_data_type[0] I3=i2c_input_data_type[1] O=$abc$21987$n1180_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000001111 .gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[2] I2=$abc$21987$n597 I3=$abc$21987$n606 O=$abc$21987$n1181_1 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=$abc$21987$n599 I1=i2c_input_data_type[1] I2=$abc$21987$n1181_1 I3=$abc$21987$n1180_1 O=$abc$21987$n1182 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1110000000000000 .gate SB_LUT4 I0=$abc$21987$n971 I1=$abc$21987$n972 I2=$abc$21987$n970 I3=$abc$21987$n677 O=$abc$21987$n1183 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000110000001010 .gate SB_LUT4 I0=$abc$21987$n969 I1=$abc$21987$n1183 I2=$abc$21987$n977 I3=$abc$21987$n125 O=$abc$21987$n1184 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000111101000100 .gate SB_LUT4 I0=$abc$21987$n975 I1=$abc$21987$n125 I2=$abc$21987$n973 I3=$abc$21987$n1184 O=$abc$21987$n1185 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0011101010001010 .gate SB_LUT4 I0=$abc$21987$n1185 I1=$abc$21987$n979 I2=$false I3=$false O=$abc$21987$n1186 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.kbd_code_hid[1] I3=$abc$21987$n821_1 O=$abc$21987$n1187 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000010011110000 .gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[1] I1=$abc$21987$n821_1 I2=KEYBOARD.is_pressed I3=$abc$21987$n1187 O=$abc$21987$n1188 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111000010001000 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$21987$n1188 I2=$abc$21987$n1071_1 I3=$false O=$abc$21987$n1587 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11110100 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$21987$n787 I2=$abc$21987$n1086_1 I3=$false O=$abc$21987$n1190 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$21987$n851_1 I1=KEYBOARD.last_wr I2=$abc$21987$n1079_1 I3=KEYBOARD.IS_RAM_INIT O=$abc$21987$n1191 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000111101000100 .gate SB_LUT4 I0=$abc$21987$n806 I1=$abc$21987$n804 I2=$abc$21987$n1190 I3=$abc$21987$n1191 O=$abc$21987$n1593 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1101111111000000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$abc$21987$n821_1 O=$abc$21987$n1193 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000010011110000 .gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[2] I1=$abc$21987$n821_1 I2=KEYBOARD.is_pressed I3=$abc$21987$n1193 O=$abc$21987$n1735 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111000010001000 .gate SB_LUT4 I0=$abc$21987$n823 I1=$abc$21987$n825 I2=KEYBOARD.is_pressed I3=$abc$21987$n862 O=$abc$21987$n1195 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111100000001 .gate SB_LUT4 I0=$abc$21987$n830 I1=$abc$21987$n820 I2=$abc$21987$n1195 I3=$abc$21987$n1175 O=$abc$21987$n1196 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1011111111111111 .gate SB_LUT4 I0=$abc$21987$n786 I1=$abc$21987$n858 I2=$abc$21987$n1196 I3=$abc$21987$n51 O=$abc$21987$n1197 .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 .gate SB_CARRY CI=$abc$21987$n1816 CO=$auto$alumacc.cc:474:replace_alu$5744.C[2] I0=$false I1=$abc$21987$n1798 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:25|uart.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5744.C[2] CO=$auto$alumacc.cc:474:replace_alu$5744.C[3] I0=$false I1=$abc$21987$n1818 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:25|uart.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5744.C[3] CO=$abc$21987$n1530 I0=$false I1=$abc$21987$n1815 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:25|uart.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$abc$21987$n611 CO=$auto$alumacc.cc:474:replace_alu$5749.C[2] I0=$false I1=$abc$21987$n610 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:148|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5749.C[2] CO=$auto$alumacc.cc:474:replace_alu$5749.C[3] I0=$true I1=$abc$21987$n1811 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:148|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5749.C[3] CO=$auto$alumacc.cc:474:replace_alu$5749.C[4] I0=$false I1=$abc$21987$n607 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:148|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5749.C[4] CO=$auto$alumacc.cc:474:replace_alu$5749.C[5] I0=$false I1=$abc$21987$n605 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:148|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5749.C[5] CO=$auto$alumacc.cc:474:replace_alu$5749.C[6] I0=$true I1=$abc$21987$n1812 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:148|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5749.C[6] CO=$auto$alumacc.cc:474:replace_alu$5749.C[7] I0=$true I1=$abc$21987$n1813 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:148|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5749.C[7] CO=$abc$21987$n1836 I0=$false I1=$abc$21987$n601 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:148|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$abc$21987$n753 CO=$auto$alumacc.cc:474:replace_alu$5760.C[4] I0=$abc$21987$n742 I1=$false .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:130|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5760.C[4] CO=$auto$alumacc.cc:474:replace_alu$5760.C[5] I0=$abc$21987$n758 I1=$true .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:130|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5760.C[5] CO=$auto$alumacc.cc:474:replace_alu$5760.C[6] I0=$abc$21987$n759 I1=$true .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:130|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5760.C[6] CO=$auto$alumacc.cc:474:replace_alu$5760.C[7] I0=$abc$21987$n755 I1=$true .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:130|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5760.C[7] CO=$abc$21987$n1838 I0=$abc$21987$n317 I1=$false .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:130|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$abc$21987$n1808 CO=$auto$alumacc.cc:474:replace_alu$5765.C[2] I0=$false I1=$abc$21987$n1785 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5765.C[2] CO=$auto$alumacc.cc:474:replace_alu$5765.C[3] I0=$false I1=$abc$21987$n1809 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5765.C[3] CO=$abc$21987$n125 I0=$false I1=$abc$21987$n1810 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$abc$21987$n620 CO=$auto$alumacc.cc:474:replace_alu$5770.C[2] I0=$false I1=$abc$21987$n619 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:188|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5770.C[2] CO=$auto$alumacc.cc:474:replace_alu$5770.C[3] I0=$true I1=$abc$21987$n1807 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:188|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5770.C[3] CO=$abc$21987$n1840 I0=$false I1=$abc$21987$n616 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:188|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$abc$21987$n632 CO=$auto$alumacc.cc:474:replace_alu$5781.C[3] I0=$false I1=$abc$21987$n630 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5781.C[3] CO=$auto$alumacc.cc:474:replace_alu$5781.C[4] I0=$false I1=$abc$21987$n629 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5781.C[4] CO=$auto$alumacc.cc:474:replace_alu$5781.C[5] I0=$false I1=$abc$21987$n627 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5781.C[5] CO=$auto$alumacc.cc:474:replace_alu$5781.C[6] I0=$false I1=$abc$21987$n626 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5781.C[6] CO=$auto$alumacc.cc:474:replace_alu$5781.C[7] I0=$false I1=$abc$21987$n624 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5781.C[7] CO=$abc$21987$n1843 I0=$false I1=$abc$21987$n623 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$abc$21987$n1801 CO=$auto$alumacc.cc:474:replace_alu$5794.C[3] I0=$false I1=$abc$21987$n1802 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:206|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5794.C[3] CO=$auto$alumacc.cc:474:replace_alu$5794.C[4] I0=$false I1=$abc$21987$n1803 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:206|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5794.C[4] CO=$auto$alumacc.cc:474:replace_alu$5794.C[5] I0=$false I1=$abc$21987$n1804 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:206|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5794.C[5] CO=$auto$alumacc.cc:474:replace_alu$5794.C[6] I0=$false I1=$abc$21987$n1805 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:206|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5794.C[6] CO=$abc$21987$n1845 I0=$false I1=$abc$21987$n1806 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:206|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$abc$21987$n632 CO=$auto$alumacc.cc:474:replace_alu$5799.C[3] I0=$true I1=$abc$21987$n630 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5799.C[3] CO=$auto$alumacc.cc:474:replace_alu$5799.C[4] I0=$false I1=$abc$21987$n629 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5799.C[4] CO=$auto$alumacc.cc:474:replace_alu$5799.C[5] I0=$false I1=$abc$21987$n627 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5799.C[5] CO=$auto$alumacc.cc:474:replace_alu$5799.C[6] I0=$false I1=$abc$21987$n626 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5799.C[6] CO=$auto$alumacc.cc:474:replace_alu$5799.C[7] I0=$false I1=$abc$21987$n624 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5799.C[7] CO=$abc$21987$n1846 I0=$false I1=$abc$21987$n623 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$true I2=wr_cnt[0] I3=$false O=$abc$21987$n818 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:109|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=wr_cnt[0] CO=$auto$alumacc.cc:474:replace_alu$5804.C[2] I0=$false I1=wr_cnt[1] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:109|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[2] I3=$auto$alumacc.cc:474:replace_alu$5804.C[2] O=$abc$21987$n821 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:109|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5804.C[2] CO=$auto$alumacc.cc:474:replace_alu$5804.C[3] I0=$false I1=wr_cnt[2] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:109|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[3] I3=$auto$alumacc.cc:474:replace_alu$5804.C[3] O=$abc$21987$n822 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:109|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=ring_rd[0] I3=$false O=$abc$21987$n1232 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:159|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=ring_rd[0] CO=$auto$alumacc.cc:474:replace_alu$5807.C[2] I0=$false I1=ring_rd[1] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:159|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=ring_rd[2] I3=$auto$alumacc.cc:474:replace_alu$5807.C[2] O=$abc$21987$n1238 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:159|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5807.C[2] CO=$auto$alumacc.cc:474:replace_alu$5807.C[3] I0=$false I1=ring_rd[2] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:159|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=ring_rd[3] I3=$auto$alumacc.cc:474:replace_alu$5807.C[3] O=$abc$21987$n1241 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:159|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=I2C_COUNTER[0] I3=$false O=$abc$21987$n1217 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:165|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=I2C_COUNTER[0] CO=$auto$alumacc.cc:474:replace_alu$5810.C[2] I0=$false I1=I2C_COUNTER[1] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:165|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[2] I3=$auto$alumacc.cc:474:replace_alu$5810.C[2] O=$abc$21987$n1221 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:165|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5810.C[2] CO=$auto$alumacc.cc:474:replace_alu$5810.C[3] I0=$false I1=I2C_COUNTER[2] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:165|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[3] I3=$auto$alumacc.cc:474:replace_alu$5810.C[3] O=$abc$21987$n1223 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:165|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5810.C[3] CO=$auto$alumacc.cc:474:replace_alu$5810.C[4] I0=$false I1=I2C_COUNTER[3] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:165|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[4] I3=$auto$alumacc.cc:474:replace_alu$5810.C[4] O=$abc$21987$n1225 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:165|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5810.C[4] CO=$auto$alumacc.cc:474:replace_alu$5810.C[5] I0=$false I1=I2C_COUNTER[4] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:165|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[5] I3=$auto$alumacc.cc:474:replace_alu$5810.C[5] O=$abc$21987$n1227 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:165|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5810.C[5] CO=$auto$alumacc.cc:474:replace_alu$5810.C[6] I0=$false I1=I2C_COUNTER[5] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:165|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[6] I3=$auto$alumacc.cc:474:replace_alu$5810.C[6] O=$abc$21987$n1229 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:165|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=$3\int_tmr[14:0][0] I3=$false O=$abc$21987$n1062 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][10] I3=$auto$alumacc.cc:474:replace_alu$5813.C[10] O=$abc$21987$n1093 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5813.C[10] CO=$auto$alumacc.cc:474:replace_alu$5813.C[11] I0=$false I1=$3\int_tmr[14:0][10] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][11] I3=$auto$alumacc.cc:474:replace_alu$5813.C[11] O=$abc$21987$n1096 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5813.C[11] CO=$auto$alumacc.cc:474:replace_alu$5813.C[12] I0=$false I1=$3\int_tmr[14:0][11] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][12] I3=$auto$alumacc.cc:474:replace_alu$5813.C[12] O=$abc$21987$n1099 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5813.C[12] CO=$auto$alumacc.cc:474:replace_alu$5813.C[13] I0=$false I1=$3\int_tmr[14:0][12] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][13] I3=$auto$alumacc.cc:474:replace_alu$5813.C[13] O=$abc$21987$n1102 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5813.C[13] CO=$auto$alumacc.cc:474:replace_alu$5813.C[14] I0=$false I1=$3\int_tmr[14:0][13] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][14] I3=$auto$alumacc.cc:474:replace_alu$5813.C[14] O=$abc$21987$n1105 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$3\int_tmr[14:0][0] CO=$auto$alumacc.cc:474:replace_alu$5813.C[2] I0=$false I1=$3\int_tmr[14:0][1] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][2] I3=$auto$alumacc.cc:474:replace_alu$5813.C[2] O=$abc$21987$n1069 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5813.C[2] CO=$auto$alumacc.cc:474:replace_alu$5813.C[3] I0=$false I1=$3\int_tmr[14:0][2] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][3] I3=$auto$alumacc.cc:474:replace_alu$5813.C[3] O=$abc$21987$n1072 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5813.C[3] CO=$auto$alumacc.cc:474:replace_alu$5813.C[4] I0=$false I1=$3\int_tmr[14:0][3] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][4] I3=$auto$alumacc.cc:474:replace_alu$5813.C[4] O=$abc$21987$n1075 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5813.C[4] CO=$auto$alumacc.cc:474:replace_alu$5813.C[5] I0=$false I1=$3\int_tmr[14:0][4] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][5] I3=$auto$alumacc.cc:474:replace_alu$5813.C[5] O=$abc$21987$n1078 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5813.C[5] CO=$auto$alumacc.cc:474:replace_alu$5813.C[6] I0=$false I1=$3\int_tmr[14:0][5] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][6] I3=$auto$alumacc.cc:474:replace_alu$5813.C[6] O=$abc$21987$n1081 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5813.C[6] CO=$auto$alumacc.cc:474:replace_alu$5813.C[7] I0=$false I1=$3\int_tmr[14:0][6] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][7] I3=$auto$alumacc.cc:474:replace_alu$5813.C[7] O=$abc$21987$n1084 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5813.C[7] CO=$auto$alumacc.cc:474:replace_alu$5813.C[8] I0=$false I1=$3\int_tmr[14:0][7] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][8] I3=$auto$alumacc.cc:474:replace_alu$5813.C[8] O=$abc$21987$n1087 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5813.C[8] CO=$auto$alumacc.cc:474:replace_alu$5813.C[9] I0=$false I1=$3\int_tmr[14:0][8] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][9] I3=$auto$alumacc.cc:474:replace_alu$5813.C[9] O=$abc$21987$n1090 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5813.C[9] CO=$auto$alumacc.cc:474:replace_alu$5813.C[10] I0=$false I1=$3\int_tmr[14:0][9] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$abc$21987$n21 I2=rststate[0] I3=$false O=$abc$21987$n1372 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$false CO=$auto$alumacc.cc:474:replace_alu$5816.C[1] I0=$abc$21987$n21 I1=rststate[0] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=rststate[1] I3=$auto$alumacc.cc:474:replace_alu$5816.C[1] O=$abc$21987$n1374 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5816.C[1] CO=$auto$alumacc.cc:474:replace_alu$5816.C[2] I0=$false I1=rststate[1] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=rststate[2] I3=$auto$alumacc.cc:474:replace_alu$5816.C[2] O=$abc$21987$n1376 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5816.C[2] CO=$auto$alumacc.cc:474:replace_alu$5816.C[3] I0=$false I1=rststate[2] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=rststate[3] I3=$auto$alumacc.cc:474:replace_alu$5816.C[3] O=$abc$21987$n1378 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=ring_wr[0] I3=$false O=$abc$21987$n1345 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:97|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=ring_wr[0] CO=$auto$alumacc.cc:474:replace_alu$5819.C[2] I0=$false I1=ring_wr[1] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:97|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=ring_wr[2] I3=$auto$alumacc.cc:474:replace_alu$5819.C[2] O=$abc$21987$n1351 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:97|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5819.C[2] CO=$auto$alumacc.cc:474:replace_alu$5819.C[3] I0=$false I1=ring_wr[2] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:97|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=ring_wr[3] I3=$auto$alumacc.cc:474:replace_alu$5819.C[3] O=$abc$21987$n1354 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:97|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5819.C[3] CO=$auto$alumacc.cc:474:replace_alu$5819.C[4] I0=$false I1=ring_wr[3] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:97|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$alumacc.cc:474:replace_alu$5819.C[4] O=$abc$21987$n425 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:97|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$22\i2c_input_data_type[3:0][0] I2=$false I3=$true O=$abc$21987$n1147 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:191|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$22\i2c_input_data_type[3:0][0] CO=$auto$alumacc.cc:474:replace_alu$5822.C[2] I0=$22\i2c_input_data_type[3:0][1] I1=$true .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:191|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$22\i2c_input_data_type[3:0][2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5822.C[2] O=$abc$21987$n1149 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:191|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$abc$21987$n1415 I2=$false I3=$true O=$abc$21987$n675 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$abc$21987$n1415 CO=$auto$alumacc.cc:474:replace_alu$5825.C[2] I0=$abc$21987$n1417 I1=$true .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$abc$21987$n1419 I2=$true I3=$auto$alumacc.cc:474:replace_alu$5825.C[2] O=$abc$21987$n677 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5825.C[2] CO=$auto$alumacc.cc:474:replace_alu$5825.C[3] I0=$abc$21987$n1419 I1=$true .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$abc$21987$n1421 I2=$true I3=$auto$alumacc.cc:474:replace_alu$5825.C[3] O=$abc$21987$n678 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5825.C[3] CO=$abc$21987$n1784 I0=$abc$21987$n1421 I1=$true .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$true I3=$abc$21987$n1784 O=$abc$21987$n689 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[0] I2=$false I3=$true O=$abc$21987$n814 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:45|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=I2C.FLT_SCL.counter[0] CO=$auto$alumacc.cc:474:replace_alu$5828.C[2] I0=I2C.FLT_SCL.counter[1] I1=$true .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:45|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5828.C[2] O=$abc$21987$n817 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:45|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[0] I2=$false I3=$true O=$abc$21987$n912 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:46|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=I2C.FLT_SDA.counter[0] CO=$auto$alumacc.cc:474:replace_alu$5831.C[2] I0=I2C.FLT_SDA.counter[1] I1=$true .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:46|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5831.C[2] O=$abc$21987$n915 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:46|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.report_adress_rd[0] I3=$false O=$abc$21987$n905 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:100|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=KEYBOARD.report_adress_rd[0] CO=$auto$alumacc.cc:474:replace_alu$5834.C[2] I0=$false I1=KEYBOARD.report_adress_rd[1] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:100|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.report_adress_rd[2] I3=$auto$alumacc.cc:474:replace_alu$5834.C[2] O=$abc$21987$n908 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:100|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5834.C[2] CO=$auto$alumacc.cc:474:replace_alu$5834.C[3] I0=$false I1=KEYBOARD.report_adress_rd[2] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:100|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.report_adress_rd[3] I3=$auto$alumacc.cc:474:replace_alu$5834.C[3] O=$abc$21987$n909 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:100|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_counter[0] I3=$false O=$abc$21987$n1642 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:117|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=KEYBOARD.row_counter[0] CO=$auto$alumacc.cc:474:replace_alu$5837.C[2] I0=$false I1=KEYBOARD.row_counter[1] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:117|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[2] I3=$auto$alumacc.cc:474:replace_alu$5837.C[2] O=$abc$21987$n1646 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:117|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5837.C[2] CO=$auto$alumacc.cc:474:replace_alu$5837.C[3] I0=$false I1=KEYBOARD.row_counter[2] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:117|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[3] I3=$auto$alumacc.cc:474:replace_alu$5837.C[3] O=$abc$21987$n18 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:117|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_time[0] I3=$false O=$abc$21987$n1627 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[10] I3=$auto$alumacc.cc:474:replace_alu$5840.C[10] O=$abc$21987$n1637 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5840.C[10] CO=$auto$alumacc.cc:474:replace_alu$5840.C[11] I0=$false I1=KEYBOARD.row_time[10] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[11] I3=$auto$alumacc.cc:474:replace_alu$5840.C[11] O=$abc$21987$n1638 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5840.C[11] CO=$auto$alumacc.cc:474:replace_alu$5840.C[12] I0=$false I1=KEYBOARD.row_time[11] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[12] I3=$auto$alumacc.cc:474:replace_alu$5840.C[12] O=$abc$21987$n1639 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5840.C[12] CO=$auto$alumacc.cc:474:replace_alu$5840.C[13] I0=$false I1=KEYBOARD.row_time[12] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[13] I3=$auto$alumacc.cc:474:replace_alu$5840.C[13] O=$abc$21987$n1640 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5840.C[13] CO=$auto$alumacc.cc:474:replace_alu$5840.C[14] I0=$false I1=KEYBOARD.row_time[13] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[14] I3=$auto$alumacc.cc:474:replace_alu$5840.C[14] O=$abc$21987$n1641 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=KEYBOARD.row_time[0] CO=$auto$alumacc.cc:474:replace_alu$5840.C[2] I0=$false I1=KEYBOARD.row_time[1] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[2] I3=$auto$alumacc.cc:474:replace_alu$5840.C[2] O=$abc$21987$n1629 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5840.C[2] CO=$auto$alumacc.cc:474:replace_alu$5840.C[3] I0=$false I1=KEYBOARD.row_time[2] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[3] I3=$auto$alumacc.cc:474:replace_alu$5840.C[3] O=$abc$21987$n1630 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5840.C[3] CO=$auto$alumacc.cc:474:replace_alu$5840.C[4] I0=$false I1=KEYBOARD.row_time[3] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[4] I3=$auto$alumacc.cc:474:replace_alu$5840.C[4] O=$abc$21987$n1631 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5840.C[4] CO=$auto$alumacc.cc:474:replace_alu$5840.C[5] I0=$false I1=KEYBOARD.row_time[4] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[5] I3=$auto$alumacc.cc:474:replace_alu$5840.C[5] O=$abc$21987$n1632 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5840.C[5] CO=$auto$alumacc.cc:474:replace_alu$5840.C[6] I0=$false I1=KEYBOARD.row_time[5] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[6] I3=$auto$alumacc.cc:474:replace_alu$5840.C[6] O=$abc$21987$n1633 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5840.C[6] CO=$auto$alumacc.cc:474:replace_alu$5840.C[7] I0=$false I1=KEYBOARD.row_time[6] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[7] I3=$auto$alumacc.cc:474:replace_alu$5840.C[7] O=$abc$21987$n1634 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5840.C[7] CO=$auto$alumacc.cc:474:replace_alu$5840.C[8] I0=$false I1=KEYBOARD.row_time[7] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[8] I3=$auto$alumacc.cc:474:replace_alu$5840.C[8] O=$abc$21987$n1635 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5840.C[8] CO=$auto$alumacc.cc:474:replace_alu$5840.C[9] I0=$false I1=KEYBOARD.row_time[8] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[9] I3=$auto$alumacc.cc:474:replace_alu$5840.C[9] O=$abc$21987$n1636 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5840.C[9] CO=$auto$alumacc.cc:474:replace_alu$5840.C[10] I0=$false I1=KEYBOARD.row_time[9] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[0] I3=$false O=$abc$21987$n1708 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:149|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[0] CO=$auto$alumacc.cc:474:replace_alu$5843.C[2] I0=$false I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[1] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:149|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[2] I3=$auto$alumacc.cc:474:replace_alu$5843.C[2] O=$abc$21987$n1710 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:149|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5843.C[2] CO=$auto$alumacc.cc:474:replace_alu$5843.C[3] I0=$false I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[2] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:149|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[3] I3=$auto$alumacc.cc:474:replace_alu$5843.C[3] O=$abc$21987$n1711 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:149|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5843.C[3] CO=$auto$alumacc.cc:474:replace_alu$5843.C[4] I0=$false I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[3] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:149|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[4] I3=$auto$alumacc.cc:474:replace_alu$5843.C[4] O=$abc$21987$n1712 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:149|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5843.C[4] CO=$auto$alumacc.cc:474:replace_alu$5843.C[5] I0=$false I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[4] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:149|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[5] I3=$auto$alumacc.cc:474:replace_alu$5843.C[5] O=$abc$21987$n1713 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:149|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5843.C[5] CO=$auto$alumacc.cc:474:replace_alu$5843.C[6] I0=$false I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[5] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:149|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[6] I3=$auto$alumacc.cc:474:replace_alu$5843.C[6] O=$abc$21987$n1714 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:149|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5843.C[6] CO=$auto$alumacc.cc:474:replace_alu$5843.C[7] I0=$false I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[6] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:149|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[7] I3=$auto$alumacc.cc:474:replace_alu$5843.C[7] O=$abc$21987$n1715 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:149|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.last_adr[0] I3=$false O=$abc$21987$n793 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:95|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=KEYBOARD.last_adr[0] CO=$auto$alumacc.cc:474:replace_alu$5846.C[2] I0=$false I1=KEYBOARD.last_adr[1] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:95|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.last_adr[2] I3=$auto$alumacc.cc:474:replace_alu$5846.C[2] O=$abc$21987$n796 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:95|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5846.C[2] CO=$auto$alumacc.cc:474:replace_alu$5846.C[3] I0=$false I1=KEYBOARD.last_adr[2] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:95|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.last_adr[3] I3=$auto$alumacc.cc:474:replace_alu$5846.C[3] O=$abc$21987$n797 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:95|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5846.C[3] CO=$auto$alumacc.cc:474:replace_alu$5846.C[4] I0=$false I1=KEYBOARD.last_adr[3] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:95|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.last_adr[4] I3=$auto$alumacc.cc:474:replace_alu$5846.C[4] O=$abc$21987$n799 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:95|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5846.C[4] CO=$auto$alumacc.cc:474:replace_alu$5846.C[5] I0=$false I1=KEYBOARD.last_adr[4] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:95|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.last_adr[5] I3=$auto$alumacc.cc:474:replace_alu$5846.C[5] O=$abc$21987$n800 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:95|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5846.C[5] CO=$auto$alumacc.cc:474:replace_alu$5846.C[6] I0=$false I1=KEYBOARD.last_adr[5] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:95|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.last_adr[6] I3=$auto$alumacc.cc:474:replace_alu$5846.C[6] O=$abc$21987$n802 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:95|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5846.C[6] CO=$auto$alumacc.cc:474:replace_alu$5846.C[7] I0=$false I1=KEYBOARD.last_adr[6] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:95|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.last_adr[7] I3=$auto$alumacc.cc:474:replace_alu$5846.C[7] O=$abc$21987$n1547 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:95|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5846.C[7] CO=$auto$alumacc.cc:474:replace_alu$5846.C[8] I0=$false I1=KEYBOARD.last_adr[7] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:95|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.last_adr[8] I3=$auto$alumacc.cc:474:replace_alu$5846.C[8] O=$abc$21987$n808 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:95|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[0] I2=$false I3=$true O=$abc$21987$n844 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:25|uart.v:33|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=UART.tx_clk_counter[0] CO=$auto$alumacc.cc:474:replace_alu$5849.C[2] I0=UART.tx_clk_counter[1] I1=$true .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:25|uart.v:33|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5849.C[2] O=$abc$21987$n847 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:25|uart.v:33|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5849.C[2] CO=$auto$alumacc.cc:474:replace_alu$5849.C[3] I0=UART.tx_clk_counter[2] I1=$true .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:25|uart.v:33|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[3] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5849.C[3] O=$abc$21987$n848 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:25|uart.v:33|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[0] I2=$false I3=$true O=$abc$21987$n1799 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:25|uart.v:39|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=UART.tx_bit_counter[0] CO=$auto$alumacc.cc:474:replace_alu$5852.C[2] I0=UART.tx_bit_counter[1] I1=$true .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:25|uart.v:39|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5852.C[2] O=$abc$21987$n1817 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:25|uart.v:39|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5852.C[2] CO=$auto$alumacc.cc:474:replace_alu$5852.C[3] I0=UART.tx_bit_counter[2] I1=$true .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:25|uart.v:39|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[3] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5852.C[3] O=$abc$21987$n1814 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:25|uart.v:39|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$false I2=$abc$21987$n1816 I3=$true O=$abc$21987$n1026 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:25|uart.v:41|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$abc$21987$n1816 CO=$auto$alumacc.cc:474:replace_alu$5855.C[2] I0=$false I1=$abc$21987$n1798 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:25|uart.v:41|/usr/local/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$abc$21987$n1818 I3=$auto$alumacc.cc:474:replace_alu$5855.C[2] O=$abc$21987$n1012 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:25|uart.v:41|/usr/local/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_DFF C=CLK D=$0\rststate[3:0][0] Q=rststate[0] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$0\rststate[3:0][1] Q=rststate[1] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$0\rststate[3:0][2] Q=rststate[2] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$0\rststate[3:0][3] Q=rststate[3] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFFESR C=CLK D=$2\UART_WR[0:0] E=$abc$21987$n37 Q=UART_WR R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][0] E=$abc$21987$n52 Q=UART_TX_DATA[0] S=$abc$21987$n42 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][1] E=$abc$21987$n52 Q=UART_TX_DATA[1] S=$abc$21987$n42 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][2] E=$abc$21987$n52 Q=UART_TX_DATA[2] S=$abc$21987$n42 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][3] E=$abc$21987$n52 Q=UART_TX_DATA[3] S=$abc$21987$n42 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][4] E=$abc$21987$n52 Q=UART_TX_DATA[4] S=$abc$21987$n42 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][5] E=$abc$21987$n52 Q=UART_TX_DATA[5] S=$abc$21987$n42 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][6] E=$abc$21987$n52 Q=UART_TX_DATA[6] S=$abc$21987$n42 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][7] E=$abc$21987$n52 Q=UART_TX_DATA[7] S=$abc$21987$n42 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$21987$n1 E=$abc$21987$n36 Q=LED1 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][0] E=$abc$21987$n36 Q=int_tmr[0] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][1] E=$abc$21987$n36 Q=int_tmr[1] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][2] E=$abc$21987$n36 Q=int_tmr[2] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][3] E=$abc$21987$n36 Q=int_tmr[3] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][4] E=$abc$21987$n36 Q=int_tmr[4] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][5] E=$abc$21987$n36 Q=int_tmr[5] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][6] E=$abc$21987$n36 Q=int_tmr[6] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][7] E=$abc$21987$n36 Q=int_tmr[7] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][8] E=$abc$21987$n36 Q=int_tmr[8] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][9] E=$abc$21987$n36 Q=int_tmr[9] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][10] E=$abc$21987$n36 Q=int_tmr[10] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][11] E=$abc$21987$n36 Q=int_tmr[11] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][12] E=$abc$21987$n36 Q=int_tmr[12] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][13] E=$abc$21987$n36 Q=int_tmr[13] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][14] E=$abc$21987$n36 Q=int_tmr[14] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][0] E=$abc$21987$n36 Q=ring_wr[0] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][1] E=$abc$21987$n36 Q=ring_wr[1] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][2] E=$abc$21987$n36 Q=ring_wr[2] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][3] E=$abc$21987$n36 Q=ring_wr[3] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][0] E=$abc$21987$n36 Q=ring_rd[0] S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][1] E=$abc$21987$n36 Q=ring_rd[1] S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][2] E=$abc$21987$n36 Q=ring_rd[2] S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][3] E=$abc$21987$n36 Q=ring_rd[3] S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][0] E=$abc$21987$n56 Q=wr_cnt[0] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][1] E=$abc$21987$n56 Q=wr_cnt[1] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][2] E=$abc$21987$n56 Q=wr_cnt[2] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][3] E=$abc$21987$n56 Q=wr_cnt[3] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\report_wr_en[0:0] E=$abc$21987$n70 Q=report_wr_en R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\temp_output_report[2:0][1] E=$abc$21987$n51 Q=temp_output_report[1] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\temp_output_report[2:0][2] E=$abc$21987$n51 Q=temp_output_report[2] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$20\i2c_input_data_type[3:0][0] E=$abc$21987$n51 Q=i2c_input_data_type[0] R=$abc$21987$n42 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$20\i2c_input_data_type[3:0][1] E=$abc$21987$n51 Q=i2c_input_data_type[1] R=$abc$21987$n42 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$20\i2c_input_data_type[3:0][2] E=$abc$21987$n51 Q=i2c_input_data_type[2] R=$abc$21987$n42 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$20\i2c_input_data_type[3:0][3] E=$abc$21987$n51 Q=i2c_input_data_type[3] R=$abc$21987$n42 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][0] E=$abc$21987$n36 Q=I2C_COUNTER[0] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][1] E=$abc$21987$n36 Q=I2C_COUNTER[1] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][2] E=$abc$21987$n36 Q=I2C_COUNTER[2] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][3] E=$abc$21987$n36 Q=I2C_COUNTER[3] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][4] E=$abc$21987$n36 Q=I2C_COUNTER[4] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][5] E=$abc$21987$n36 Q=I2C_COUNTER[5] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][6] E=$abc$21987$n36 Q=I2C_COUNTER[6] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][0] E=$abc$21987$n36 Q=I2C_HID_DESC.DESC_TYPE R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][1] E=$abc$21987$n36 Q=I2C_OUTPUT_TYPE[1] S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][2] E=$abc$21987$n36 Q=I2C_OUTPUT_TYPE[2] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$21987$n74 Q=I2C_OUT_DESC_MASK[0] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$21987$n74 Q=I2C_OUT_DESC_MASK[1] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$21987$n74 Q=I2C_OUT_DESC_MASK[2] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$21987$n74 Q=I2C_OUT_DESC_MASK[3] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$21987$n74 Q=I2C_OUT_DESC_MASK[4] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$21987$n74 Q=I2C_OUT_DESC_MASK[5] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$21987$n74 Q=I2C_OUT_DESC_MASK[6] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$21987$n74 Q=I2C_OUT_DESC_MASK[7] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$0\temp_output_report[2:0][1] E=$abc$21987$n101 Q=LED3 R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$0\temp_output_report[2:0][2] E=$abc$21987$n101 Q=LED4 R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=I2C.wr E=$abc$21987$n36 Q=last_wr R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=I2C_TRANS E=$abc$21987$n36 Q=last_trans R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.isr E=$abc$21987$n36 Q=last_isr R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFSS C=CLK D=$2\IS_RAM_INIT[0:0] Q=IS_RAM_INIT S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=SCL Q=I2C.SCLF .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=I2C.SDA_IN Q=I2C.SDAF .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFFNE C=CLK D=$abc$21987$n1449 E=I2C.FLT_SCL.RESET Q=I2C.wr .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$21987$n1447 E=I2C.FLT_SCL.RESET Q=I2C.is_ack .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$21987$n1445 E=I2C.FLT_SCL.RESET Q=I2C.is_adress .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$21987$n111 Q=I2C.received_byte[0] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$21987$n117 Q=I2C.received_byte[1] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$21987$n123 Q=I2C.received_byte[2] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$21987$n133 Q=I2C.received_byte[3] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$21987$n139 Q=I2C.received_byte[4] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$21987$n151 Q=I2C.received_byte[5] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$21987$n158 Q=I2C.received_byte[6] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$21987$n174 Q=I2C.received_byte[7] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$21987$n1437 E=I2C.FLT_SCL.RESET Q=I2C.i2c_bit_counter[0] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$21987$n1439 E=I2C.FLT_SCL.RESET Q=I2C.i2c_bit_counter[1] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$21987$n1441 E=I2C.FLT_SCL.RESET Q=I2C.i2c_bit_counter[2] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$21987$n1443 E=I2C.FLT_SCL.RESET Q=I2C.i2c_bit_counter[3] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$21987$n1435 E=I2C.FLT_SCL.RESET Q=I2C.is_read .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$21987$n1413 E=$abc$21987$n179 Q=I2C.i2c_start_latency .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNSR C=CLK D=$abc$21987$n1433 Q=I2C.i2c_state_machine R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFNE C=CLK D=$abc$21987$n3 E=I2C.FLT_SCL.RESET Q=$abc$21987$n10 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$21987$n5 E=I2C.FLT_SCL.RESET Q=$abc$21987$n12 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$21987$n1431 E=I2C.FLT_SCL.RESET Q=I2C.SDA_DIR .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNSR C=CLK D=$abc$21987$n1524 Q=UART.tx_activity R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:25|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFNE C=CLK D=$abc$21987$n7 E=$abc$21987$n194 Q=$abc$21987$n14 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:25|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$21987$n1526 E=$abc$21987$n193 Q=UART.tx_clk_counter[0] S=$abc$21987$n17 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:25|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1527 E=$abc$21987$n193 Q=UART.tx_clk_counter[1] R=$abc$21987$n17 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:25|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$21987$n1528 E=$abc$21987$n193 Q=UART.tx_clk_counter[2] S=$abc$21987$n17 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:25|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$21987$n1529 E=$abc$21987$n193 Q=UART.tx_clk_counter[3] S=$abc$21987$n17 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:25|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$21987$n1799 E=$abc$21987$n194 Q=UART.tx_bit_counter[0] S=$abc$21987$n17 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:25|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1797 E=$abc$21987$n194 Q=UART.tx_bit_counter[1] R=$abc$21987$n17 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:25|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1817 E=$abc$21987$n194 Q=UART.tx_bit_counter[2] R=$abc$21987$n17 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:25|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$21987$n1814 E=$abc$21987$n194 Q=UART.tx_bit_counter[3] S=$abc$21987$n17 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:25|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=UART_WR E=I2C.FLT_SCL.RESET Q=UART.TX_sig_last .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:25|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1677 E=$abc$21987$n228 Q=KEYBOARD.tmr_to_ram[0] R=KEYBOARD.IS_RAM_INIT .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1680 E=$abc$21987$n228 Q=KEYBOARD.tmr_to_ram[1] R=KEYBOARD.IS_RAM_INIT .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1683 E=$abc$21987$n228 Q=KEYBOARD.tmr_to_ram[2] R=KEYBOARD.IS_RAM_INIT .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1686 E=$abc$21987$n228 Q=KEYBOARD.tmr_to_ram[3] R=KEYBOARD.IS_RAM_INIT .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1689 E=$abc$21987$n228 Q=KEYBOARD.tmr_to_ram[4] R=KEYBOARD.IS_RAM_INIT .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1692 E=$abc$21987$n228 Q=KEYBOARD.tmr_to_ram[5] R=KEYBOARD.IS_RAM_INIT .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1695 E=$abc$21987$n228 Q=KEYBOARD.tmr_to_ram[6] R=KEYBOARD.IS_RAM_INIT .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1698 E=$abc$21987$n228 Q=KEYBOARD.tmr_to_ram[7] R=KEYBOARD.IS_RAM_INIT .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$false E=$abc$21987$n238 Q=KEYBOARD.is_ghost .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$21987$n1596 E=$abc$21987$n249 Q=KEYBOARD.tmr_wr_en .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$21987$n1579 E=$abc$21987$n310 Q=KEYBOARD.report_adress_rd[0] S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1581 E=$abc$21987$n310 Q=KEYBOARD.report_adress_rd[1] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$21987$n1583 E=$abc$21987$n310 Q=KEYBOARD.report_adress_rd[2] S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1585 E=$abc$21987$n310 Q=KEYBOARD.report_adress_rd[3] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1532 E=$abc$21987$n36 Q=KEYBOARD.last_adr[0] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1535 E=$abc$21987$n36 Q=KEYBOARD.last_adr[1] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$21987$n1537 E=$abc$21987$n36 Q=KEYBOARD.last_adr[2] S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1539 E=$abc$21987$n36 Q=KEYBOARD.last_adr[3] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$21987$n1541 E=$abc$21987$n36 Q=KEYBOARD.last_adr[4] S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$21987$n1543 E=$abc$21987$n36 Q=KEYBOARD.last_adr[5] S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$21987$n1545 E=$abc$21987$n36 Q=KEYBOARD.last_adr[6] S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$21987$n1548 E=$abc$21987$n36 Q=KEYBOARD.last_adr[7] S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$21987$n1550 E=$abc$21987$n36 Q=KEYBOARD.last_adr[8] S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$21987$n1665 E=$abc$21987$n320 Q=KEYBOARD.is_pressed .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$21987$n1552 E=$abc$21987$n323 Q=KEYBOARD.COLUMN_SHADOW[0] S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$21987$n1554 E=$abc$21987$n323 Q=KEYBOARD.COLUMN_SHADOW[1] S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$21987$n1556 E=$abc$21987$n323 Q=KEYBOARD.COLUMN_SHADOW[2] S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$21987$n1558 E=$abc$21987$n323 Q=KEYBOARD.COLUMN_SHADOW[3] S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$21987$n1560 E=$abc$21987$n323 Q=KEYBOARD.COLUMN_SHADOW[4] S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$21987$n1562 E=$abc$21987$n323 Q=KEYBOARD.COLUMN_SHADOW[5] S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$21987$n1564 E=$abc$21987$n323 Q=KEYBOARD.COLUMN_SHADOW[6] S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$21987$n1566 E=$abc$21987$n323 Q=KEYBOARD.COLUMN_SHADOW[7] S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1909 E=$abc$21987$n333 Q=KEYBOARD.ROWS_EN[0] R=$abc$21987$n18 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1910 E=$abc$21987$n333 Q=KEYBOARD.ROWS_EN[1] R=$abc$21987$n18 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1911 E=$abc$21987$n333 Q=KEYBOARD.ROWS_EN[2] R=$abc$21987$n18 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1912 E=$abc$21987$n333 Q=KEYBOARD.ROWS_EN[3] R=$abc$21987$n18 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1913 E=$abc$21987$n333 Q=KEYBOARD.ROWS_EN[4] R=$abc$21987$n18 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1914 E=$abc$21987$n333 Q=KEYBOARD.ROWS_EN[5] R=$abc$21987$n18 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1915 E=$abc$21987$n333 Q=KEYBOARD.ROWS_EN[6] R=$abc$21987$n18 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1916 E=$abc$21987$n333 Q=KEYBOARD.ROWS_EN[7] R=$abc$21987$n18 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1909 E=$abc$21987$n333 Q=KEYBOARD.ROWS_EN[8] R=$abc$21987$n19 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1910 E=$abc$21987$n333 Q=KEYBOARD.ROWS_EN[9] R=$abc$21987$n19 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1911 E=$abc$21987$n333 Q=KEYBOARD.ROWS_EN[10] R=$abc$21987$n19 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1912 E=$abc$21987$n333 Q=KEYBOARD.ROWS_EN[11] R=$abc$21987$n19 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1913 E=$abc$21987$n333 Q=KEYBOARD.ROWS_EN[12] R=$abc$21987$n19 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1914 E=$abc$21987$n333 Q=KEYBOARD.ROWS_EN[13] R=$abc$21987$n19 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1915 E=$abc$21987$n333 Q=KEYBOARD.ROWS_EN[14] R=$abc$21987$n19 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1916 E=$abc$21987$n333 Q=KEYBOARD.ROWS_EN[15] R=$abc$21987$n19 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1650 E=$abc$21987$n339 Q=KEYBOARD.isr_internal R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=KEYBOARD.isr_internal E=$abc$21987$n343 Q=KEYBOARD.isr R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1568 E=$abc$21987$n36 Q=KEYBOARD.row_counter[0] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1570 E=$abc$21987$n36 Q=KEYBOARD.row_counter[1] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1572 E=$abc$21987$n36 Q=KEYBOARD.row_counter[2] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1574 E=$abc$21987$n36 Q=KEYBOARD.row_counter[3] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n750 E=$abc$21987$n324 Q=KEYBOARD.row_time[0] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n765 E=$abc$21987$n324 Q=KEYBOARD.row_time[1] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n753 E=$abc$21987$n324 Q=KEYBOARD.row_time[2] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n742 E=$abc$21987$n324 Q=KEYBOARD.row_time[3] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n758 E=$abc$21987$n324 Q=KEYBOARD.row_time[4] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n759 E=$abc$21987$n324 Q=KEYBOARD.row_time[5] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n755 E=$abc$21987$n324 Q=KEYBOARD.row_time[6] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n317 E=$abc$21987$n324 Q=KEYBOARD.row_time[7] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1575 E=$abc$21987$n36 Q=KEYBOARD.row_time[8] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1576 E=$abc$21987$n36 Q=KEYBOARD.row_time[9] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1577 E=$abc$21987$n36 Q=KEYBOARD.row_time[10] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n877 E=$abc$21987$n324 Q=KEYBOARD.row_time[11] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n878 E=$abc$21987$n324 Q=KEYBOARD.row_time[12] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n880 E=$abc$21987$n324 Q=KEYBOARD.row_time[13] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n881 E=$abc$21987$n324 Q=KEYBOARD.row_time[14] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$21987$n1594 E=$abc$21987$n36 Q=KEYBOARD.IS_RAM_INIT S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$21987$n1593 E=$abc$21987$n51 Q=KEYBOARD.last_wr .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1730 E=$abc$21987$n385 Q=KEYBOARD.report_data_wr[0] R=KEYBOARD.IS_RAM_INIT .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$21987$n1587 E=$abc$21987$n385 Q=KEYBOARD.report_data_wr[1] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1735 E=$abc$21987$n385 Q=KEYBOARD.report_data_wr[2] R=KEYBOARD.IS_RAM_INIT .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$21987$n1589 E=$abc$21987$n385 Q=KEYBOARD.report_data_wr[3] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1740 E=$abc$21987$n385 Q=KEYBOARD.report_data_wr[4] R=KEYBOARD.IS_RAM_INIT .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1743 E=$abc$21987$n385 Q=KEYBOARD.report_data_wr[5] R=KEYBOARD.IS_RAM_INIT .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1746 E=$abc$21987$n385 Q=KEYBOARD.report_data_wr[6] R=KEYBOARD.IS_RAM_INIT .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1749 E=$abc$21987$n385 Q=KEYBOARD.report_data_wr[7] R=KEYBOARD.IS_RAM_INIT .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$21987$n1591 E=$abc$21987$n390 Q=KEYBOARD.report_wr_en R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:77|/usr/local/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFES C=CLK D=I2C.SDAF E=$abc$21987$n400 Q=I2C.FLT_SDA.out S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:46|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:23" .gate SB_DFFER C=CLK D=$abc$21987$n1772 E=$abc$21987$n401 Q=I2C.FLT_SDA.counter[0] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:46|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22" .gate SB_DFFER C=CLK D=$abc$21987$n1773 E=$abc$21987$n401 Q=I2C.FLT_SDA.counter[1] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:46|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22" .gate SB_DFFER C=CLK D=$abc$21987$n1774 E=$abc$21987$n401 Q=I2C.FLT_SDA.counter[2] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:46|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22" .gate SB_DFFES C=CLK D=I2C.SCLF E=$abc$21987$n410 Q=I2C.FLT_SCL.out S=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:45|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:23" .gate SB_DFFER C=CLK D=$abc$21987$n1775 E=$abc$21987$n411 Q=I2C.FLT_SCL.counter[0] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:45|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22" .gate SB_DFFER C=CLK D=$abc$21987$n1776 E=$abc$21987$n411 Q=I2C.FLT_SCL.counter[1] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:45|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22" .gate SB_DFFER C=CLK D=$abc$21987$n1777 E=$abc$21987$n411 Q=I2C.FLT_SCL.counter[2] R=$abc$21987$n21 .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:45|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22" .gate SB_IO D_IN_0=I2C.SDA_IN D_OUT_0=$false OUTPUT_ENABLE=I2C.SDA_DIR PACKAGE_PIN=SDA .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:19|i2c_slave.v:158" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_RAM40_4K RADDR[0]=I2C_COUNTER[0] RADDR[1]=I2C_COUNTER[1] RADDR[2]=I2C_COUNTER[2] RADDR[3]=I2C_COUNTER[3] RADDR[4]=I2C_COUNTER[4] RADDR[5]=I2C_COUNTER[5] RADDR[6]=I2C_COUNTER[6] RADDR[7]=I2C_HID_DESC.DESC_TYPE RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=I2C_HID_DESC.CLK RCLKE=$true RDATA[0]=I2C_HID_DESC.VAL[0] RDATA[1]=I2C_HID_DESC.VAL[1] RDATA[2]=I2C_HID_DESC.VAL[2] RDATA[3]=I2C_HID_DESC.VAL[3] RDATA[4]=I2C_HID_DESC.VAL[4] RDATA[5]=I2C_HID_DESC.VAL[5] RDATA[6]=I2C_HID_DESC.VAL[6] RDATA[7]=I2C_HID_DESC.VAL[7] RDATA[8]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$292[0] RDATA[9]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$292[1] RDATA[10]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$292[2] RDATA[11]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$292[3] RDATA[12]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$292[4] RDATA[13]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$292[5] RDATA[14]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$292[6] RDATA[15]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$292[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WDATA[8]=$false WDATA[9]=$false WDATA[10]=$false WDATA[11]=$false WDATA[12]=$false WDATA[13]=$false WDATA[14]=$false WDATA[15]=$false WE=$false .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:36|descriptors.v:147" .param INIT_0 0000000000000011000000000000000000000000000001000000000000000000000000000000101000000000000000000000000000000011000000000000000000000000000000100000000000000000000000000011111100000000000000010000000000000000000000000000000000000000000111100000000000000000 .param INIT_1 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000100000000000000010000000000000100000000001001111100000000000000000000000000000110000000000000000000000000000001010000000000000000 .param INIT_8 0000000000100101000000000000000000000000000101010000000011100111000000000010100100000000111000000000000000011001000000000000011100000000000001010000000000000001000000001010000100000000000001100000000000001001000000000000000100000000000001010000000000000000 .param INIT_9 0000000001110101000000000000010100000000100101010000000000000001000000001000000100000000000010000000000001110101000000000000000100000000100101010000000000000010000000001000000100000000000010000000000010010101000000000000000100000000011101010000000000000001 .param INIT_A 0000000010010101000000000000001100000000100100010000000000000011000000000111010100000000000000010000000010010101000000000000001000000000100100010000000000000101000000000010100100000000000000010000000000011001000000000000100000000000000001010000000000000001 .param INIT_B 0000000011000000000000000000000000000000100000010000000001100101000000000010100100000000000000000000000000011001000000000000011100000000000001010000000001100101000000000010010100000000000000000000000000010101000000000000100000000000011101010000000000000110 .param INIT_C 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 .gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=KEYBOARD.row_time[8] RADDR[1]=KEYBOARD.row_time[9] RADDR[2]=KEYBOARD.row_time[10] RADDR[3]=KEYBOARD.row_counter[0] RADDR[4]=KEYBOARD.row_counter[1] RADDR[5]=KEYBOARD.row_counter[2] RADDR[6]=KEYBOARD.row_counter[3] RADDR[7]=$false RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[0] RDATA[1]=$techmap5877\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[1] RDATA[2]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[1] RDATA[3]=$techmap5877\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[3] RDATA[4]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[2] RDATA[5]=$techmap5877\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[5] RDATA[6]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[3] RDATA[7]=$techmap5877\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[7] RDATA[8]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[4] RDATA[9]=$techmap5877\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[9] RDATA[10]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[5] RDATA[11]=$techmap5877\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[11] RDATA[12]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[6] RDATA[13]=$techmap5877\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[13] RDATA[14]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[7] RDATA[15]=$techmap5877\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=KEYBOARD.row_time[8] WADDR[1]=KEYBOARD.row_time[9] WADDR[2]=KEYBOARD.row_time[10] WADDR[3]=KEYBOARD.row_counter[0] WADDR[4]=KEYBOARD.row_counter[1] WADDR[5]=KEYBOARD.row_counter[2] WADDR[6]=KEYBOARD.row_counter[3] WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=KEYBOARD.tmr_wr_en WDATA[0]=KEYBOARD.tmr_to_ram[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.tmr_to_ram[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.tmr_to_ram[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.tmr_to_ram[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.tmr_to_ram[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.tmr_to_ram[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.tmr_to_ram[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.tmr_to_ram[7] WDATA[15]=$undef WE=$true .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" .param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1 .param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_2 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_3 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_4 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_5 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_6 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_7 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_8 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_9 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_A xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_B xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_C xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_D xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_E xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 .gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=KEYBOARD.last_adr[0] RADDR[1]=KEYBOARD.last_adr[1] RADDR[2]=KEYBOARD.last_adr[2] RADDR[3]=KEYBOARD.last_adr[3] RADDR[4]=KEYBOARD.last_adr[4] RADDR[5]=KEYBOARD.last_adr[5] RADDR[6]=KEYBOARD.last_adr[6] RADDR[7]=KEYBOARD.last_adr[7] RADDR[8]=KEYBOARD.last_adr[8] RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.RAM.r_data[0] RDATA[1]=$techmap5874\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[1] RDATA[2]=KEYBOARD.RAM.r_data[1] RDATA[3]=$techmap5874\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[3] RDATA[4]=KEYBOARD.RAM.r_data[2] RDATA[5]=$techmap5874\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[5] RDATA[6]=KEYBOARD.RAM.r_data[3] RDATA[7]=$techmap5874\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[7] RDATA[8]=KEYBOARD.RAM.r_data[4] RDATA[9]=$techmap5874\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[9] RDATA[10]=KEYBOARD.RAM.r_data[5] RDATA[11]=$techmap5874\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[11] RDATA[12]=KEYBOARD.RAM.r_data[6] RDATA[13]=$techmap5874\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[13] RDATA[14]=KEYBOARD.RAM.r_data[7] RDATA[15]=$techmap5874\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=KEYBOARD.last_adr[0] WADDR[1]=KEYBOARD.last_adr[1] WADDR[2]=KEYBOARD.last_adr[2] WADDR[3]=KEYBOARD.last_adr[3] WADDR[4]=KEYBOARD.last_adr[4] WADDR[5]=KEYBOARD.last_adr[5] WADDR[6]=KEYBOARD.last_adr[6] WADDR[7]=KEYBOARD.last_adr[7] WADDR[8]=KEYBOARD.last_adr[8] WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=KEYBOARD.last_wr WDATA[0]=KEYBOARD.COLUMN_SHADOW[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.COLUMN_SHADOW[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.COLUMN_SHADOW[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.COLUMN_SHADOW[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.COLUMN_SHADOW[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.COLUMN_SHADOW[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.COLUMN_SHADOW[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.COLUMN_SHADOW[7] WDATA[15]=$undef WE=$true .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" .param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1 .param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_2 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_3 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_4 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_5 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_6 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_7 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_8 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_9 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_A xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_B xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_C xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_D xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_E xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 .gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=KEYBOARD.report_adress_rd[0] RADDR[1]=KEYBOARD.report_adress_rd[1] RADDR[2]=KEYBOARD.report_adress_rd[2] RADDR[3]=KEYBOARD.report_adress_rd[3] RADDR[4]=$false RADDR[5]=$false RADDR[6]=$false RADDR[7]=$false RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.REPORT.r_data[0] RDATA[1]=$techmap5875\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[1] RDATA[2]=KEYBOARD.REPORT.r_data[1] RDATA[3]=$techmap5875\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[3] RDATA[4]=KEYBOARD.REPORT.r_data[2] RDATA[5]=$techmap5875\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[5] RDATA[6]=KEYBOARD.REPORT.r_data[3] RDATA[7]=$techmap5875\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[7] RDATA[8]=KEYBOARD.REPORT.r_data[4] RDATA[9]=$techmap5875\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[9] RDATA[10]=KEYBOARD.REPORT.r_data[5] RDATA[11]=$techmap5875\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[11] RDATA[12]=KEYBOARD.REPORT.r_data[6] RDATA[13]=$techmap5875\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[13] RDATA[14]=KEYBOARD.REPORT.r_data[7] RDATA[15]=$techmap5875\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=KEYBOARD.report_adress_rd[0] WADDR[1]=KEYBOARD.report_adress_rd[1] WADDR[2]=KEYBOARD.report_adress_rd[2] WADDR[3]=KEYBOARD.report_adress_rd[3] WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=KEYBOARD.report_wr_en WDATA[0]=KEYBOARD.report_data_wr[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.report_data_wr[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.report_data_wr[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.report_data_wr[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.report_data_wr[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.report_data_wr[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.report_data_wr[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.report_data_wr[7] WDATA[15]=$undef WE=$true .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" .param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1 .param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_2 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_3 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_4 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_5 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_6 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_7 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_8 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_9 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_A xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_B xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_C xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_D xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_E xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 .gate SB_RAM40_4K RADDR[0]=KEYBOARD.row_time[8] RADDR[1]=KEYBOARD.row_time[9] RADDR[2]=KEYBOARD.row_time[10] RADDR[3]=KEYBOARD.row_counter[0] RADDR[4]=KEYBOARD.row_counter[1] RADDR[5]=KEYBOARD.row_counter[2] RADDR[6]=KEYBOARD.row_counter[3] RADDR[7]=$false RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.kbd_code_hid[0] RDATA[1]=KEYBOARD.kbd_code_hid[1] RDATA[2]=KEYBOARD.kbd_code_hid[2] RDATA[3]=KEYBOARD.kbd_code_hid[3] RDATA[4]=KEYBOARD.kbd_code_hid[4] RDATA[5]=KEYBOARD.kbd_code_hid[5] RDATA[6]=KEYBOARD.kbd_code_hid[6] RDATA[7]=KEYBOARD.kbd_code_hid[7] RDATA[8]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$293[0] RDATA[9]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$293[1] RDATA[10]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$293[2] RDATA[11]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$293[3] RDATA[12]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$293[4] RDATA[13]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$293[5] RDATA[14]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$293[6] RDATA[15]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$293[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WDATA[8]=$false WDATA[9]=$false WDATA[10]=$false WDATA[11]=$false WDATA[12]=$false WDATA[13]=$false WDATA[14]=$false WDATA[15]=$false WE=$false .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:276" .param INIT_0 0000000001100010000000000101110100000000010010010000000001000101000000000110000000000000010110100000000001010100000000000100111100000000010100100000000000000000000000000100101000000000010011010000000001010111000000000101100000000000010010000000000001010000 .param INIT_1 0000000001100011000000000101111000000000010010110000000001001110000000000110000100000000010110110000000001010101000000000101011000000000000000000000000001011100000000000100110000000000010001000000000001011111000000000101100100000000010100110000000001010001 .param INIT_2 0000000000101001000000000010101100000000001101010000000000011110000000000001010000000000000001000000000000011101000000000000000000000000111000100000000000000000000000000000000000000000010001100000000001000111000000000000000000000000000000000000000011100110 .param INIT_3 0000000000000000000000001110000100000000000000000000000000000000000000000000000000000000111000010000000011100101000000000000000000000000000000000000000000000000000000001110000000000000000000000000000000000000000000000000000000000000111001000000000000000000 .param INIT_4 0000000000111110000000000010101000000000010000100000000001000011000000000000000000000000001100010000000000101000000000000010110000000000001101000000000000101111000000000010110100000000001001110000000000010011000000000011001100000000000000000000000000111000 .param INIT_5 0000000000000000000000000100000000000000010000010000000000100110000000000001001000000000000011110000000000110111000000000000000000000000001111110000000000110000000000000010111000000000001001010000000000001100000000000000111000000000001101100000000000000000 .param INIT_6 0000000000000000000000000011100100000000001110100000000000011111000000000001101000000000000101100000000000011011000000000000000000000000000010110000000000011100000000000010001100000000001001000000000000011000000000000000110100000000000100000000000000010001 .param INIT_7 0000000000001010000000000001011100000000001000100000000000100001000000000001010100000000000010010000000000011001000000000000010100000000001111010000000000111100000000000011101100000000001000000000000000001000000000000000011100000000000001100000000000000000 .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[0] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[0] PACKAGE_PIN=KBD_ROWS[0] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:302" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[10] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[10] PACKAGE_PIN=KBD_ROWS[10] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:302" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[11] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[11] PACKAGE_PIN=KBD_ROWS[11] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:302" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[12] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[12] PACKAGE_PIN=KBD_ROWS[12] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:302" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[13] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[13] PACKAGE_PIN=KBD_ROWS[13] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:302" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[14] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[14] PACKAGE_PIN=KBD_ROWS[14] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:302" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[15] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[15] PACKAGE_PIN=KBD_ROWS[15] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:302" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[1] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[1] PACKAGE_PIN=KBD_ROWS[1] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:302" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[2] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[2] PACKAGE_PIN=KBD_ROWS[2] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:302" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[3] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[3] PACKAGE_PIN=KBD_ROWS[3] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:302" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[4] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[4] PACKAGE_PIN=KBD_ROWS[4] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:302" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[5] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[5] PACKAGE_PIN=KBD_ROWS[5] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:302" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[6] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[6] PACKAGE_PIN=KBD_ROWS[6] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:302" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[7] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[7] PACKAGE_PIN=KBD_ROWS[7] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:302" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[8] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[8] PACKAGE_PIN=KBD_ROWS[8] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:302" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[9] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[9] PACKAGE_PIN=KBD_ROWS[9] .attr module_not_derived 00000000000000000000000000000001 .attr src "top.v:34|matrix_kbd.v:302" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=I2C_COUNTER[0] RADDR[1]=I2C_COUNTER[1] RADDR[2]=I2C_COUNTER[2] RADDR[3]=I2C_COUNTER[3] RADDR[4]=ring_rd[0] RADDR[5]=ring_rd[1] RADDR[6]=ring_rd[2] RADDR[7]=ring_rd[3] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=I2C_HID_DESC.CLK RCLKE=$true RDATA[0]=REPORT_DATA.r_data[0] RDATA[1]=$techmap5876\REPORT_DATA.mem.0.0.0.A1DATA_16[1] RDATA[2]=REPORT_DATA.r_data[1] RDATA[3]=$techmap5876\REPORT_DATA.mem.0.0.0.A1DATA_16[3] RDATA[4]=REPORT_DATA.r_data[2] RDATA[5]=$techmap5876\REPORT_DATA.mem.0.0.0.A1DATA_16[5] RDATA[6]=REPORT_DATA.r_data[3] RDATA[7]=$techmap5876\REPORT_DATA.mem.0.0.0.A1DATA_16[7] RDATA[8]=REPORT_DATA.r_data[4] RDATA[9]=$techmap5876\REPORT_DATA.mem.0.0.0.A1DATA_16[9] RDATA[10]=REPORT_DATA.r_data[5] RDATA[11]=$techmap5876\REPORT_DATA.mem.0.0.0.A1DATA_16[11] RDATA[12]=REPORT_DATA.r_data[6] RDATA[13]=$techmap5876\REPORT_DATA.mem.0.0.0.A1DATA_16[13] RDATA[14]=REPORT_DATA.r_data[7] RDATA[15]=$techmap5876\REPORT_DATA.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=wr_cnt[0] WADDR[1]=wr_cnt[1] WADDR[2]=wr_cnt[2] WADDR[3]=wr_cnt[3] WADDR[4]=ring_wr[0] WADDR[5]=ring_wr[1] WADDR[6]=ring_wr[2] WADDR[7]=ring_wr[3] WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=I2C_HID_DESC.CLK WCLKE=report_wr_en WDATA[0]=KEYBOARD.REPORT.r_data[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.REPORT.r_data[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.REPORT.r_data[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.REPORT.r_data[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.REPORT.r_data[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.REPORT.r_data[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.REPORT.r_data[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.REPORT.r_data[7] WDATA[15]=$undef WE=$true .attr module_not_derived 00000000000000000000000000000001 .attr src "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35" .param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1 .param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_2 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_3 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_4 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_5 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_6 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_7 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_8 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_9 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_A xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_B xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_C xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_D xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_E xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 .names $true COM_DSR 1 1 .names I2C.is_read COM_RTS 1 1 .names CLK I2C.CLK 1 1 .names CLK I2C.FLT_SCL.CLK 1 1 .names I2C.SCLF I2C.FLT_SCL.IN 1 1 .names I2C.FLT_SCL.out I2C.FLT_SCL.OUT 1 1 .names CLK I2C.FLT_SDA.CLK 1 1 .names I2C.SDAF I2C.FLT_SDA.IN 1 1 .names I2C.FLT_SDA.out I2C.FLT_SDA.OUT 1 1 .names I2C.FLT_SCL.RESET I2C.FLT_SDA.RESET 1 1 .names I2C.is_ack I2C.IS_ACK 1 1 .names I2C.is_read I2C.IS_READ 1 1 .names I2C_TRANS I2C.IS_TRANSMISSION 1 1 .names I2C.received_byte[0] I2C.RECEIVED_BYTE[0] 1 1 .names I2C.received_byte[1] I2C.RECEIVED_BYTE[1] 1 1 .names I2C.received_byte[2] I2C.RECEIVED_BYTE[2] 1 1 .names I2C.received_byte[3] I2C.RECEIVED_BYTE[3] 1 1 .names I2C.received_byte[4] I2C.RECEIVED_BYTE[4] 1 1 .names I2C.received_byte[5] I2C.RECEIVED_BYTE[5] 1 1 .names I2C.received_byte[6] I2C.RECEIVED_BYTE[6] 1 1 .names I2C.received_byte[7] I2C.RECEIVED_BYTE[7] 1 1 .names I2C.FLT_SCL.RESET I2C.RESET 1 1 .names SCL I2C.SCL 1 1 .names I2C.FLT_SCL.out I2C.SCLD 1 1 .names SDA I2C.SDA 1 1 .names I2C.FLT_SDA.out I2C.SDAD 1 1 .names I2C.wr I2C.WR 1 1 .names I2C.is_ack I2C_ACK 1 1 .names I2C_COUNTER[0] I2C_HID_DESC.ADR[0] 1 1 .names I2C_COUNTER[1] I2C_HID_DESC.ADR[1] 1 1 .names I2C_COUNTER[2] I2C_HID_DESC.ADR[2] 1 1 .names I2C_COUNTER[3] I2C_HID_DESC.ADR[3] 1 1 .names I2C_COUNTER[4] I2C_HID_DESC.ADR[4] 1 1 .names I2C_COUNTER[5] I2C_HID_DESC.ADR[5] 1 1 .names I2C_COUNTER[6] I2C_HID_DESC.ADR[6] 1 1 .names I2C_COUNTER[0] I2C_HID_DESC.RAM_ADR[0] 1 1 .names I2C_COUNTER[1] I2C_HID_DESC.RAM_ADR[1] 1 1 .names I2C_COUNTER[2] I2C_HID_DESC.RAM_ADR[2] 1 1 .names I2C_COUNTER[3] I2C_HID_DESC.RAM_ADR[3] 1 1 .names I2C_COUNTER[4] I2C_HID_DESC.RAM_ADR[4] 1 1 .names I2C_COUNTER[5] I2C_HID_DESC.RAM_ADR[5] 1 1 .names I2C_COUNTER[6] I2C_HID_DESC.RAM_ADR[6] 1 1 .names I2C_HID_DESC.DESC_TYPE I2C_HID_DESC.RAM_ADR[7] 1 1 .names I2C_HID_DESC.DESC_TYPE I2C_OUTPUT_TYPE[0] 1 1 .names I2C.is_read I2C_READ 1 1 .names I2C.received_byte[0] I2C_RX[0] 1 1 .names I2C.received_byte[1] I2C_RX[1] 1 1 .names I2C.received_byte[2] I2C_RX[2] 1 1 .names I2C.received_byte[3] I2C_RX[3] 1 1 .names I2C.received_byte[4] I2C_RX[4] 1 1 .names I2C.received_byte[5] I2C_RX[5] 1 1 .names I2C.received_byte[6] I2C_RX[6] 1 1 .names I2C.received_byte[7] I2C_RX[7] 1 1 .names I2C_HID_DESC.VAL[0] I2C_TX_DESC[0] 1 1 .names I2C_HID_DESC.VAL[1] I2C_TX_DESC[1] 1 1 .names I2C_HID_DESC.VAL[2] I2C_TX_DESC[2] 1 1 .names I2C_HID_DESC.VAL[3] I2C_TX_DESC[3] 1 1 .names I2C_HID_DESC.VAL[4] I2C_TX_DESC[4] 1 1 .names I2C_HID_DESC.VAL[5] I2C_TX_DESC[5] 1 1 .names I2C_HID_DESC.VAL[6] I2C_TX_DESC[6] 1 1 .names I2C_HID_DESC.VAL[7] I2C_TX_DESC[7] 1 1 .names I2C.wr I2C_WR 1 1 .names COM_DCD INT 1 1 .names COM_DCD INTERRUPT 1 1 .names KEYBOARD.isr ISR 1 1 .names $undef KBD_LED_STATUS[0] 1 1 .names LED3 KBD_LED_STATUS[1] 1 1 .names LED4 KBD_LED_STATUS[2] 1 1 .names CLK KEYBOARD.CHATTERING_SUPRESSION_TIMERS.clk 1 1 .names KEYBOARD.row_time[8] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.raddr[0] 1 1 .names KEYBOARD.row_time[9] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.raddr[1] 1 1 .names KEYBOARD.row_time[10] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.raddr[2] 1 1 .names KEYBOARD.row_counter[0] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.raddr[3] 1 1 .names KEYBOARD.row_counter[1] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.raddr[4] 1 1 .names KEYBOARD.row_counter[2] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.raddr[5] 1 1 .names KEYBOARD.row_counter[3] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.raddr[6] 1 1 .names $false KEYBOARD.CHATTERING_SUPRESSION_TIMERS.raddr[7] 1 1 .names $false KEYBOARD.CHATTERING_SUPRESSION_TIMERS.raddr[8] 1 1 .names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[0] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[0] 1 1 .names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[1] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[1] 1 1 .names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[2] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[2] 1 1 .names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[3] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[3] 1 1 .names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[4] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[4] 1 1 .names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[5] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[5] 1 1 .names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[6] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[6] 1 1 .names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[7] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[7] 1 1 .names KEYBOARD.row_time[8] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.waddr[0] 1 1 .names KEYBOARD.row_time[9] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.waddr[1] 1 1 .names KEYBOARD.row_time[10] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.waddr[2] 1 1 .names KEYBOARD.row_counter[0] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.waddr[3] 1 1 .names KEYBOARD.row_counter[1] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.waddr[4] 1 1 .names KEYBOARD.row_counter[2] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.waddr[5] 1 1 .names KEYBOARD.row_counter[3] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.waddr[6] 1 1 .names $false KEYBOARD.CHATTERING_SUPRESSION_TIMERS.waddr[7] 1 1 .names $false KEYBOARD.CHATTERING_SUPRESSION_TIMERS.waddr[8] 1 1 .names KEYBOARD.tmr_to_ram[0] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.wdata[0] 1 1 .names KEYBOARD.tmr_to_ram[1] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.wdata[1] 1 1 .names KEYBOARD.tmr_to_ram[2] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.wdata[2] 1 1 .names KEYBOARD.tmr_to_ram[3] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.wdata[3] 1 1 .names KEYBOARD.tmr_to_ram[4] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.wdata[4] 1 1 .names KEYBOARD.tmr_to_ram[5] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.wdata[5] 1 1 .names KEYBOARD.tmr_to_ram[6] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.wdata[6] 1 1 .names KEYBOARD.tmr_to_ram[7] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.wdata[7] 1 1 .names KEYBOARD.tmr_wr_en KEYBOARD.CHATTERING_SUPRESSION_TIMERS.wen 1 1 .names CLK KEYBOARD.CLK 1 1 .names KBD_COLUMNS[0] KEYBOARD.COLUMNS[0] 1 1 .names KBD_COLUMNS[1] KEYBOARD.COLUMNS[1] 1 1 .names KBD_COLUMNS[2] KEYBOARD.COLUMNS[2] 1 1 .names KBD_COLUMNS[3] KEYBOARD.COLUMNS[3] 1 1 .names KBD_COLUMNS[4] KEYBOARD.COLUMNS[4] 1 1 .names KBD_COLUMNS[5] KEYBOARD.COLUMNS[5] 1 1 .names KBD_COLUMNS[6] KEYBOARD.COLUMNS[6] 1 1 .names KBD_COLUMNS[7] KEYBOARD.COLUMNS[7] 1 1 .names IS_RAM_INIT KEYBOARD.FREEZE 1 1 .names KEYBOARD.isr KEYBOARD.INT 1 1 .names CLK KEYBOARD.RAM.clk 1 1 .names KEYBOARD.last_adr[0] KEYBOARD.RAM.raddr[0] 1 1 .names KEYBOARD.last_adr[1] KEYBOARD.RAM.raddr[1] 1 1 .names KEYBOARD.last_adr[2] KEYBOARD.RAM.raddr[2] 1 1 .names KEYBOARD.last_adr[3] KEYBOARD.RAM.raddr[3] 1 1 .names KEYBOARD.last_adr[4] KEYBOARD.RAM.raddr[4] 1 1 .names KEYBOARD.last_adr[5] KEYBOARD.RAM.raddr[5] 1 1 .names KEYBOARD.last_adr[6] KEYBOARD.RAM.raddr[6] 1 1 .names KEYBOARD.last_adr[7] KEYBOARD.RAM.raddr[7] 1 1 .names KEYBOARD.last_adr[8] KEYBOARD.RAM.raddr[8] 1 1 .names KEYBOARD.RAM.r_data[0] KEYBOARD.RAM.rdata[0] 1 1 .names KEYBOARD.RAM.r_data[1] KEYBOARD.RAM.rdata[1] 1 1 .names KEYBOARD.RAM.r_data[2] KEYBOARD.RAM.rdata[2] 1 1 .names KEYBOARD.RAM.r_data[3] KEYBOARD.RAM.rdata[3] 1 1 .names KEYBOARD.RAM.r_data[4] KEYBOARD.RAM.rdata[4] 1 1 .names KEYBOARD.RAM.r_data[5] KEYBOARD.RAM.rdata[5] 1 1 .names KEYBOARD.RAM.r_data[6] KEYBOARD.RAM.rdata[6] 1 1 .names KEYBOARD.RAM.r_data[7] KEYBOARD.RAM.rdata[7] 1 1 .names KEYBOARD.last_adr[0] KEYBOARD.RAM.waddr[0] 1 1 .names KEYBOARD.last_adr[1] KEYBOARD.RAM.waddr[1] 1 1 .names KEYBOARD.last_adr[2] KEYBOARD.RAM.waddr[2] 1 1 .names KEYBOARD.last_adr[3] KEYBOARD.RAM.waddr[3] 1 1 .names KEYBOARD.last_adr[4] KEYBOARD.RAM.waddr[4] 1 1 .names KEYBOARD.last_adr[5] KEYBOARD.RAM.waddr[5] 1 1 .names KEYBOARD.last_adr[6] KEYBOARD.RAM.waddr[6] 1 1 .names KEYBOARD.last_adr[7] KEYBOARD.RAM.waddr[7] 1 1 .names KEYBOARD.last_adr[8] KEYBOARD.RAM.waddr[8] 1 1 .names KEYBOARD.COLUMN_SHADOW[0] KEYBOARD.RAM.wdata[0] 1 1 .names KEYBOARD.COLUMN_SHADOW[1] KEYBOARD.RAM.wdata[1] 1 1 .names KEYBOARD.COLUMN_SHADOW[2] KEYBOARD.RAM.wdata[2] 1 1 .names KEYBOARD.COLUMN_SHADOW[3] KEYBOARD.RAM.wdata[3] 1 1 .names KEYBOARD.COLUMN_SHADOW[4] KEYBOARD.RAM.wdata[4] 1 1 .names KEYBOARD.COLUMN_SHADOW[5] KEYBOARD.RAM.wdata[5] 1 1 .names KEYBOARD.COLUMN_SHADOW[6] KEYBOARD.RAM.wdata[6] 1 1 .names KEYBOARD.COLUMN_SHADOW[7] KEYBOARD.RAM.wdata[7] 1 1 .names KEYBOARD.last_wr KEYBOARD.RAM.wen 1 1 .names CLK KEYBOARD.REPORT.clk 1 1 .names KEYBOARD.report_adress_rd[0] KEYBOARD.REPORT.raddr[0] 1 1 .names KEYBOARD.report_adress_rd[1] KEYBOARD.REPORT.raddr[1] 1 1 .names KEYBOARD.report_adress_rd[2] KEYBOARD.REPORT.raddr[2] 1 1 .names KEYBOARD.report_adress_rd[3] KEYBOARD.REPORT.raddr[3] 1 1 .names $false KEYBOARD.REPORT.raddr[4] 1 1 .names $false KEYBOARD.REPORT.raddr[5] 1 1 .names $false KEYBOARD.REPORT.raddr[6] 1 1 .names $false KEYBOARD.REPORT.raddr[7] 1 1 .names $false KEYBOARD.REPORT.raddr[8] 1 1 .names KEYBOARD.REPORT.r_data[0] KEYBOARD.REPORT.rdata[0] 1 1 .names KEYBOARD.REPORT.r_data[1] KEYBOARD.REPORT.rdata[1] 1 1 .names KEYBOARD.REPORT.r_data[2] KEYBOARD.REPORT.rdata[2] 1 1 .names KEYBOARD.REPORT.r_data[3] KEYBOARD.REPORT.rdata[3] 1 1 .names KEYBOARD.REPORT.r_data[4] KEYBOARD.REPORT.rdata[4] 1 1 .names KEYBOARD.REPORT.r_data[5] KEYBOARD.REPORT.rdata[5] 1 1 .names KEYBOARD.REPORT.r_data[6] KEYBOARD.REPORT.rdata[6] 1 1 .names KEYBOARD.REPORT.r_data[7] KEYBOARD.REPORT.rdata[7] 1 1 .names KEYBOARD.report_adress_rd[0] KEYBOARD.REPORT.waddr[0] 1 1 .names KEYBOARD.report_adress_rd[1] KEYBOARD.REPORT.waddr[1] 1 1 .names KEYBOARD.report_adress_rd[2] KEYBOARD.REPORT.waddr[2] 1 1 .names KEYBOARD.report_adress_rd[3] KEYBOARD.REPORT.waddr[3] 1 1 .names $false KEYBOARD.REPORT.waddr[4] 1 1 .names $false KEYBOARD.REPORT.waddr[5] 1 1 .names $false KEYBOARD.REPORT.waddr[6] 1 1 .names $false KEYBOARD.REPORT.waddr[7] 1 1 .names $false KEYBOARD.REPORT.waddr[8] 1 1 .names KEYBOARD.report_data_wr[0] KEYBOARD.REPORT.wdata[0] 1 1 .names KEYBOARD.report_data_wr[1] KEYBOARD.REPORT.wdata[1] 1 1 .names KEYBOARD.report_data_wr[2] KEYBOARD.REPORT.wdata[2] 1 1 .names KEYBOARD.report_data_wr[3] KEYBOARD.REPORT.wdata[3] 1 1 .names KEYBOARD.report_data_wr[4] KEYBOARD.REPORT.wdata[4] 1 1 .names KEYBOARD.report_data_wr[5] KEYBOARD.REPORT.wdata[5] 1 1 .names KEYBOARD.report_data_wr[6] KEYBOARD.REPORT.wdata[6] 1 1 .names KEYBOARD.report_data_wr[7] KEYBOARD.REPORT.wdata[7] 1 1 .names KEYBOARD.report_wr_en KEYBOARD.REPORT.wen 1 1 .names wr_cnt[0] KEYBOARD.REPORT_ADRESS[0] 1 1 .names wr_cnt[1] KEYBOARD.REPORT_ADRESS[1] 1 1 .names wr_cnt[2] KEYBOARD.REPORT_ADRESS[2] 1 1 .names wr_cnt[3] KEYBOARD.REPORT_ADRESS[3] 1 1 .names KEYBOARD.REPORT.r_data[0] KEYBOARD.REPORT_DATA[0] 1 1 .names KEYBOARD.REPORT.r_data[1] KEYBOARD.REPORT_DATA[1] 1 1 .names KEYBOARD.REPORT.r_data[2] KEYBOARD.REPORT_DATA[2] 1 1 .names KEYBOARD.REPORT.r_data[3] KEYBOARD.REPORT_DATA[3] 1 1 .names KEYBOARD.REPORT.r_data[4] KEYBOARD.REPORT_DATA[4] 1 1 .names KEYBOARD.REPORT.r_data[5] KEYBOARD.REPORT_DATA[5] 1 1 .names KEYBOARD.REPORT.r_data[6] KEYBOARD.REPORT_DATA[6] 1 1 .names KEYBOARD.REPORT.r_data[7] KEYBOARD.REPORT_DATA[7] 1 1 .names I2C.FLT_SCL.RESET KEYBOARD.RESET 1 1 .names KBD_ROWS[0] KEYBOARD.ROWS[0] 1 1 .names KBD_ROWS[1] KEYBOARD.ROWS[1] 1 1 .names KBD_ROWS[2] KEYBOARD.ROWS[2] 1 1 .names KBD_ROWS[3] KEYBOARD.ROWS[3] 1 1 .names KBD_ROWS[4] KEYBOARD.ROWS[4] 1 1 .names KBD_ROWS[5] KEYBOARD.ROWS[5] 1 1 .names KBD_ROWS[6] KEYBOARD.ROWS[6] 1 1 .names KBD_ROWS[7] KEYBOARD.ROWS[7] 1 1 .names KBD_ROWS[8] KEYBOARD.ROWS[8] 1 1 .names KBD_ROWS[9] KEYBOARD.ROWS[9] 1 1 .names KBD_ROWS[10] KEYBOARD.ROWS[10] 1 1 .names KBD_ROWS[11] KEYBOARD.ROWS[11] 1 1 .names KBD_ROWS[12] KEYBOARD.ROWS[12] 1 1 .names KBD_ROWS[13] KEYBOARD.ROWS[13] 1 1 .names KBD_ROWS[14] KEYBOARD.ROWS[14] 1 1 .names KBD_ROWS[15] KEYBOARD.ROWS[15] 1 1 .names KEYBOARD.row_time[8] KEYBOARD.kbd_code[0] 1 1 .names KEYBOARD.row_time[9] KEYBOARD.kbd_code[1] 1 1 .names KEYBOARD.row_time[10] KEYBOARD.kbd_code[2] 1 1 .names KEYBOARD.row_counter[0] KEYBOARD.kbd_code[3] 1 1 .names KEYBOARD.row_counter[1] KEYBOARD.kbd_code[4] 1 1 .names KEYBOARD.row_counter[2] KEYBOARD.kbd_code[5] 1 1 .names KEYBOARD.row_counter[3] KEYBOARD.kbd_code[6] 1 1 .names KEYBOARD.RAM.r_data[0] KEYBOARD.last_column[0] 1 1 .names KEYBOARD.RAM.r_data[1] KEYBOARD.last_column[1] 1 1 .names KEYBOARD.RAM.r_data[2] KEYBOARD.last_column[2] 1 1 .names KEYBOARD.RAM.r_data[3] KEYBOARD.last_column[3] 1 1 .names KEYBOARD.RAM.r_data[4] KEYBOARD.last_column[4] 1 1 .names KEYBOARD.RAM.r_data[5] KEYBOARD.last_column[5] 1 1 .names KEYBOARD.RAM.r_data[6] KEYBOARD.last_column[6] 1 1 .names KEYBOARD.RAM.r_data[7] KEYBOARD.last_column[7] 1 1 .names KEYBOARD.report_adress_rd[0] KEYBOARD.report_adress_wr[0] 1 1 .names KEYBOARD.report_adress_rd[1] KEYBOARD.report_adress_wr[1] 1 1 .names KEYBOARD.report_adress_rd[2] KEYBOARD.report_adress_wr[2] 1 1 .names KEYBOARD.report_adress_rd[3] KEYBOARD.report_adress_wr[3] 1 1 .names KEYBOARD.REPORT.r_data[0] KEYBOARD.report_data_rd[0] 1 1 .names KEYBOARD.REPORT.r_data[1] KEYBOARD.report_data_rd[1] 1 1 .names KEYBOARD.REPORT.r_data[2] KEYBOARD.report_data_rd[2] 1 1 .names KEYBOARD.REPORT.r_data[3] KEYBOARD.report_data_rd[3] 1 1 .names KEYBOARD.REPORT.r_data[4] KEYBOARD.report_data_rd[4] 1 1 .names KEYBOARD.REPORT.r_data[5] KEYBOARD.report_data_rd[5] 1 1 .names KEYBOARD.REPORT.r_data[6] KEYBOARD.report_data_rd[6] 1 1 .names KEYBOARD.REPORT.r_data[7] KEYBOARD.report_data_rd[7] 1 1 .names KEYBOARD.row_time[8] KEYBOARD.tmr_adr[0] 1 1 .names KEYBOARD.row_time[9] KEYBOARD.tmr_adr[1] 1 1 .names KEYBOARD.row_time[10] KEYBOARD.tmr_adr[2] 1 1 .names KEYBOARD.row_counter[0] KEYBOARD.tmr_adr[3] 1 1 .names KEYBOARD.row_counter[1] KEYBOARD.tmr_adr[4] 1 1 .names KEYBOARD.row_counter[2] KEYBOARD.tmr_adr[5] 1 1 .names KEYBOARD.row_counter[3] KEYBOARD.tmr_adr[6] 1 1 .names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[0] KEYBOARD.tmr_from_ram[0] 1 1 .names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[1] KEYBOARD.tmr_from_ram[1] 1 1 .names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[2] KEYBOARD.tmr_from_ram[2] 1 1 .names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[3] KEYBOARD.tmr_from_ram[3] 1 1 .names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[4] KEYBOARD.tmr_from_ram[4] 1 1 .names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[5] KEYBOARD.tmr_from_ram[5] 1 1 .names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[6] KEYBOARD.tmr_from_ram[6] 1 1 .names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[7] KEYBOARD.tmr_from_ram[7] 1 1 .names $undef LED2 1 1 .names I2C_TRANS LED5 1 1 .names I2C_HID_DESC.CLK REPORT_DATA.clk 1 1 .names I2C_COUNTER[0] REPORT_DATA.raddr[0] 1 1 .names I2C_COUNTER[1] REPORT_DATA.raddr[1] 1 1 .names I2C_COUNTER[2] REPORT_DATA.raddr[2] 1 1 .names I2C_COUNTER[3] REPORT_DATA.raddr[3] 1 1 .names ring_rd[0] REPORT_DATA.raddr[4] 1 1 .names ring_rd[1] REPORT_DATA.raddr[5] 1 1 .names ring_rd[2] REPORT_DATA.raddr[6] 1 1 .names ring_rd[3] REPORT_DATA.raddr[7] 1 1 .names $false REPORT_DATA.raddr[8] 1 1 .names REPORT_DATA.r_data[0] REPORT_DATA.rdata[0] 1 1 .names REPORT_DATA.r_data[1] REPORT_DATA.rdata[1] 1 1 .names REPORT_DATA.r_data[2] REPORT_DATA.rdata[2] 1 1 .names REPORT_DATA.r_data[3] REPORT_DATA.rdata[3] 1 1 .names REPORT_DATA.r_data[4] REPORT_DATA.rdata[4] 1 1 .names REPORT_DATA.r_data[5] REPORT_DATA.rdata[5] 1 1 .names REPORT_DATA.r_data[6] REPORT_DATA.rdata[6] 1 1 .names REPORT_DATA.r_data[7] REPORT_DATA.rdata[7] 1 1 .names wr_cnt[0] REPORT_DATA.waddr[0] 1 1 .names wr_cnt[1] REPORT_DATA.waddr[1] 1 1 .names wr_cnt[2] REPORT_DATA.waddr[2] 1 1 .names wr_cnt[3] REPORT_DATA.waddr[3] 1 1 .names ring_wr[0] REPORT_DATA.waddr[4] 1 1 .names ring_wr[1] REPORT_DATA.waddr[5] 1 1 .names ring_wr[2] REPORT_DATA.waddr[6] 1 1 .names ring_wr[3] REPORT_DATA.waddr[7] 1 1 .names $false REPORT_DATA.waddr[8] 1 1 .names KEYBOARD.REPORT.r_data[0] REPORT_DATA.wdata[0] 1 1 .names KEYBOARD.REPORT.r_data[1] REPORT_DATA.wdata[1] 1 1 .names KEYBOARD.REPORT.r_data[2] REPORT_DATA.wdata[2] 1 1 .names KEYBOARD.REPORT.r_data[3] REPORT_DATA.wdata[3] 1 1 .names KEYBOARD.REPORT.r_data[4] REPORT_DATA.wdata[4] 1 1 .names KEYBOARD.REPORT.r_data[5] REPORT_DATA.wdata[5] 1 1 .names KEYBOARD.REPORT.r_data[6] REPORT_DATA.wdata[6] 1 1 .names KEYBOARD.REPORT.r_data[7] REPORT_DATA.wdata[7] 1 1 .names report_wr_en REPORT_DATA.wen 1 1 .names I2C.FLT_SCL.RESET RESET 1 1 .names CLK UART.CLK 1 1 .names I2C.FLT_SCL.RESET UART.RESET 1 1 .names UART.tx_activity UART.TX_ACTIVITY 1 1 .names UART_TX_DATA[0] UART.TX_BYTE[0] 1 1 .names UART_TX_DATA[1] UART.TX_BYTE[1] 1 1 .names UART_TX_DATA[2] UART.TX_BYTE[2] 1 1 .names UART_TX_DATA[3] UART.TX_BYTE[3] 1 1 .names UART_TX_DATA[4] UART.TX_BYTE[4] 1 1 .names UART_TX_DATA[5] UART.TX_BYTE[5] 1 1 .names UART_TX_DATA[6] UART.TX_BYTE[6] 1 1 .names UART_TX_DATA[7] UART.TX_BYTE[7] 1 1 .names COM_TX UART.TX_LINE 1 1 .names UART_WR UART.TX_SIGNAL 1 1 .names COM_TX UART.tx_line 1 1 .names UART.tx_activity UART_ACTIVE 1 1 .names COM_TX UART_TX_LINE 1 1 .names I2C_COUNTER[0] report_data_radr[0] 1 1 .names I2C_COUNTER[1] report_data_radr[1] 1 1 .names I2C_COUNTER[2] report_data_radr[2] 1 1 .names I2C_COUNTER[3] report_data_radr[3] 1 1 .names ring_rd[0] report_data_radr[4] 1 1 .names ring_rd[1] report_data_radr[5] 1 1 .names ring_rd[2] report_data_radr[6] 1 1 .names ring_rd[3] report_data_radr[7] 1 1 .names REPORT_DATA.r_data[0] report_data_rd[0] 1 1 .names REPORT_DATA.r_data[1] report_data_rd[1] 1 1 .names REPORT_DATA.r_data[2] report_data_rd[2] 1 1 .names REPORT_DATA.r_data[3] report_data_rd[3] 1 1 .names REPORT_DATA.r_data[4] report_data_rd[4] 1 1 .names REPORT_DATA.r_data[5] report_data_rd[5] 1 1 .names REPORT_DATA.r_data[6] report_data_rd[6] 1 1 .names REPORT_DATA.r_data[7] report_data_rd[7] 1 1 .names wr_cnt[0] report_data_wadr[0] 1 1 .names wr_cnt[1] report_data_wadr[1] 1 1 .names wr_cnt[2] report_data_wadr[2] 1 1 .names wr_cnt[3] report_data_wadr[3] 1 1 .names ring_wr[0] report_data_wadr[4] 1 1 .names ring_wr[1] report_data_wadr[5] 1 1 .names ring_wr[2] report_data_wadr[6] 1 1 .names ring_wr[3] report_data_wadr[7] 1 1 .names KEYBOARD.REPORT.r_data[0] report_data_wr[0] 1 1 .names KEYBOARD.REPORT.r_data[1] report_data_wr[1] 1 1 .names KEYBOARD.REPORT.r_data[2] report_data_wr[2] 1 1 .names KEYBOARD.REPORT.r_data[3] report_data_wr[3] 1 1 .names KEYBOARD.REPORT.r_data[4] report_data_wr[4] 1 1 .names KEYBOARD.REPORT.r_data[5] report_data_wr[5] 1 1 .names KEYBOARD.REPORT.r_data[6] report_data_wr[6] 1 1 .names KEYBOARD.REPORT.r_data[7] report_data_wr[7] 1 1 .names $undef temp_output_report[0] 1 1 .end