# Generated by Yosys 0.7 (Apio build) (git sha1 8c071a2, gcc 4.8.4-2ubuntu1~14.04.3 -fPIC -Os) .model top .inputs CLK SCL SDA COM_RX KBD_COLUMNS[0] KBD_COLUMNS[1] KBD_COLUMNS[2] KBD_COLUMNS[3] KBD_COLUMNS[4] KBD_COLUMNS[5] KBD_COLUMNS[6] KBD_COLUMNS[7] KBD_ROWS[0] KBD_ROWS[1] KBD_ROWS[2] KBD_ROWS[3] KBD_ROWS[4] KBD_ROWS[5] KBD_ROWS[6] KBD_ROWS[7] KBD_ROWS[8] KBD_ROWS[9] KBD_ROWS[10] KBD_ROWS[11] KBD_ROWS[12] KBD_ROWS[13] KBD_ROWS[14] KBD_ROWS[15] .outputs LED1 LED2 LED3 LED4 LED5 SDA INTERRUPT COM_TX COM_DCD COM_DSR COM_RTS KBD_ROWS[0] KBD_ROWS[1] KBD_ROWS[2] KBD_ROWS[3] KBD_ROWS[4] KBD_ROWS[5] KBD_ROWS[6] KBD_ROWS[7] KBD_ROWS[8] KBD_ROWS[9] KBD_ROWS[10] KBD_ROWS[11] KBD_ROWS[12] KBD_ROWS[13] KBD_ROWS[14] KBD_ROWS[15] .names $false .names $true 1 .names $undef .gate SB_LUT4 I0=$abc$27697$n496 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$27697$n1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0111 .gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=$false I3=$false O=$abc$27697$n496 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=I2C.i2c_state_machine I1=I2C.i2c_start_latency I2=$false I3=$false O=I2C_TRANS .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=rststate[3] I1=rststate[2] I2=rststate[1] I3=rststate[0] O=I2C.FLT_SCL.RESET .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=$abc$27697$n500 I1=$abc$27697$n1671 I2=$abc$27697$n505 I3=UART.tx_activity O=$abc$27697$n3 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000111111111 .gate SB_LUT4 I0=$abc$27697$n501 I1=$abc$27697$n504 I2=$abc$27697$n1328 I3=$abc$27697$n1314 O=$abc$27697$n500 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000110000000101 .gate SB_LUT4 I0=UART_TX_DATA[0] I1=UART_TX_DATA[2] I2=$abc$27697$n502 I3=$false O=$abc$27697$n501 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 .gate SB_LUT4 I0=$abc$27697$n1902 I1=$abc$27697$n1903 I2=$false I3=$false O=$abc$27697$n502 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0110 .gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=$false I3=$false O=$abc$27697$n1902 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0110 .gate SB_LUT4 I0=UART_TX_DATA[4] I1=UART_TX_DATA[6] I2=$abc$27697$n502 I3=$false O=$abc$27697$n504 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 .gate SB_LUT4 I0=$abc$27697$n506 I1=$abc$27697$n507 I2=$abc$27697$n1314 I3=$abc$27697$n1328 O=$abc$27697$n505 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1010001100000000 .gate SB_LUT4 I0=UART_TX_DATA[5] I1=UART_TX_DATA[7] I2=$abc$27697$n502 I3=$false O=$abc$27697$n506 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 .gate SB_LUT4 I0=UART_TX_DATA[1] I1=UART_TX_DATA[3] I2=$abc$27697$n502 I3=$false O=$abc$27697$n507 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 .gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$false I3=$false O=$abc$27697$n19 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1011 .gate SB_LUT4 I0=$abc$27697$n510_1 I1=$abc$27697$n548 I2=$abc$27697$n561 I3=$abc$27697$n553 O=$abc$27697$n25 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100111100000000 .gate SB_LUT4 I0=$abc$27697$n511_1 I1=$abc$27697$n534 I2=$abc$27697$n547 I3=$abc$27697$n537 O=$abc$27697$n510_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001111100000000 .gate SB_LUT4 I0=$abc$27697$n512 I1=$abc$27697$n525 I2=KEYBOARD.is_pressed I3=$abc$27697$n530 O=$abc$27697$n511_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1110111100000000 .gate SB_LUT4 I0=$abc$27697$n519 I1=KEYBOARD.COLS_SHADOW[7] I2=$abc$27697$n513 I3=$false O=$abc$27697$n512 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10010000 .gate SB_LUT4 I0=$abc$27697$n514 I1=$abc$27697$n517 I2=$abc$27697$n518 I3=$false O=$abc$27697$n513 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=KEYBOARD.row_time[3] I1=$abc$27697$n516 I2=KEYBOARD.row_time[2] I3=$abc$27697$n515 O=$abc$27697$n514 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=KEYBOARD.row_time[12] I1=KEYBOARD.row_time[14] I2=KEYBOARD.row_time[15] I3=KEYBOARD.row_time[13] O=$abc$27697$n515 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=KEYBOARD.row_time[8] I1=KEYBOARD.row_time[9] I2=KEYBOARD.row_time[10] I3=KEYBOARD.row_time[11] O=$abc$27697$n516 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=KEYBOARD.row_time[5] I1=KEYBOARD.row_time[7] I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[4] O=$abc$27697$n517 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[1] I2=$false I3=$false O=$abc$27697$n518 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=KEYBOARD.RAM.r_data[7] I1=KEYBOARD.temp[7] I2=$abc$27697$n520 I3=$abc$27697$n522 O=$abc$27697$n519 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0101001100110011 .gate SB_LUT4 I0=KEYBOARD.row_time[8] I1=$abc$27697$n521 I2=$abc$27697$n515 I3=KEYBOARD.row_time[9] O=$abc$27697$n520 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=KEYBOARD.row_time[10] I1=KEYBOARD.row_time[11] I2=$false I3=$false O=$abc$27697$n521 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$27697$n523 I1=$abc$27697$n524_1 I2=$false I3=$false O=$abc$27697$n522 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[5] I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[7] O=$abc$27697$n523 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=KEYBOARD.row_time[3] I1=KEYBOARD.row_time[1] I2=KEYBOARD.row_time[2] I3=KEYBOARD.row_time[0] O=$abc$27697$n524_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=$abc$27697$n526 I1=KEYBOARD.COLS_SHADOW[6] I2=$abc$27697$n527_1 I3=$false O=$abc$27697$n525 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10010000 .gate SB_LUT4 I0=KEYBOARD.RAM.r_data[6] I1=KEYBOARD.temp[6] I2=$abc$27697$n520 I3=$abc$27697$n522 O=$abc$27697$n526 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0101001100110011 .gate SB_LUT4 I0=$abc$27697$n514 I1=$abc$27697$n528 I2=$abc$27697$n529 I3=$false O=$abc$27697$n527_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[1] I2=$false I3=$false O=$abc$27697$n528 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 .gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[6] I2=KEYBOARD.row_time[7] I3=KEYBOARD.row_time[5] O=$abc$27697$n529 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[6] I1=$abc$27697$n526 I2=$abc$27697$n527_1 I3=$abc$27697$n531 O=$abc$27697$n530 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000011101111 .gate SB_LUT4 I0=$abc$27697$n532 I1=$abc$27697$n518 I2=$abc$27697$n523 I3=$abc$27697$n533_1 O=$abc$27697$n531 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=$abc$27697$n515 I1=$abc$27697$n521 I2=KEYBOARD.row_time[8] I3=KEYBOARD.row_time[9] O=$abc$27697$n532 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=KEYBOARD.row_time[2] I1=KEYBOARD.row_time[3] I2=$false I3=$false O=$abc$27697$n533_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$27697$n535 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[5] I3=$abc$27697$n531 O=$abc$27697$n534 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1011001000000000 .gate SB_LUT4 I0=KEYBOARD.RAM.r_data[5] I1=KEYBOARD.temp[5] I2=$abc$27697$n536 I3=$false O=$abc$27697$n535 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 .gate SB_LUT4 I0=$abc$27697$n520 I1=$abc$27697$n522 I2=$false I3=$false O=$abc$27697$n536 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$27697$n538 I1=$abc$27697$n542_1 I2=$abc$27697$n544 I3=$false O=$abc$27697$n537 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000001 .gate SB_LUT4 I0=$abc$27697$n541 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[4] I3=$abc$27697$n539 O=$abc$27697$n538 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1011001000000000 .gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[5] I2=$abc$27697$n532 I3=$abc$27697$n540 O=$abc$27697$n539 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=$abc$27697$n528 I1=$abc$27697$n533_1 I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[7] O=$abc$27697$n540 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=KEYBOARD.RAM.r_data[4] I1=KEYBOARD.temp[4] I2=$abc$27697$n520 I3=$abc$27697$n522 O=$abc$27697$n541 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0101001100110011 .gate SB_LUT4 I0=$abc$27697$n532 I1=$abc$27697$n518 I2=$abc$27697$n529 I3=$abc$27697$n543 O=$abc$27697$n542_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=KEYBOARD.row_time[2] I1=KEYBOARD.row_time[3] I2=$false I3=$false O=$abc$27697$n543 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$27697$n532 I1=$abc$27697$n545 I2=$abc$27697$n518 I3=$false O=$abc$27697$n544 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$27697$n546 I1=KEYBOARD.row_time[2] I2=KEYBOARD.row_time[3] I3=$false O=$abc$27697$n545 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[5] I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[7] O=$abc$27697$n546 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[7] I1=$abc$27697$n519 I2=$abc$27697$n513 I3=$abc$27697$n539 O=$abc$27697$n547 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000011101111 .gate SB_LUT4 I0=$abc$27697$n549 I1=$abc$27697$n551 I2=$false I3=$false O=$abc$27697$n548 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$27697$n550 I1=KEYBOARD.COLS_SHADOW[1] I2=KEYBOARD.is_pressed I3=$abc$27697$n542_1 O=$abc$27697$n549 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000100000000 .gate SB_LUT4 I0=KEYBOARD.RAM.r_data[1] I1=KEYBOARD.temp[1] I2=$abc$27697$n536 I3=$false O=$abc$27697$n550 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 .gate SB_LUT4 I0=$abc$27697$n552 I1=KEYBOARD.COLS_SHADOW[3] I2=KEYBOARD.is_pressed I3=$abc$27697$n544 O=$abc$27697$n551 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000100000000 .gate SB_LUT4 I0=KEYBOARD.RAM.r_data[3] I1=KEYBOARD.temp[3] I2=$abc$27697$n536 I3=$false O=$abc$27697$n552 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 .gate SB_LUT4 I0=$abc$27697$n554 I1=$abc$27697$n557 I2=$false I3=$false O=$abc$27697$n553 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$27697$n555 I1=KEYBOARD.COLS_SHADOW[0] I2=KEYBOARD.is_pressed I3=$abc$27697$n556_1 O=$abc$27697$n554 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000100000000 .gate SB_LUT4 I0=KEYBOARD.RAM.r_data[0] I1=KEYBOARD.temp[0] I2=$abc$27697$n536 I3=$false O=$abc$27697$n555 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 .gate SB_LUT4 I0=$abc$27697$n520 I1=$abc$27697$n523 I2=$abc$27697$n528 I3=$abc$27697$n543 O=$abc$27697$n556_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=$abc$27697$n558 I1=KEYBOARD.COLS_SHADOW[2] I2=KEYBOARD.is_pressed I3=$abc$27697$n559 O=$abc$27697$n557 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000100000000 .gate SB_LUT4 I0=KEYBOARD.RAM.r_data[2] I1=KEYBOARD.temp[2] I2=$abc$27697$n536 I3=$false O=$abc$27697$n558 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 .gate SB_LUT4 I0=$abc$27697$n532 I1=$abc$27697$n560 I2=$abc$27697$n517 I3=$false O=$abc$27697$n559 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$27697$n528 I1=KEYBOARD.row_time[2] I2=KEYBOARD.row_time[3] I3=$false O=$abc$27697$n560 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$27697$n556_1 I1=$abc$27697$n559 I2=$false I3=$false O=$abc$27697$n561 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$27697$n563 I1=$abc$27697$n540 I2=$abc$27697$n564 I3=$false O=$abc$27697$n29 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=KEYBOARD.row_time[8] I1=$abc$27697$n515 I2=KEYBOARD.row_time[9] I3=$false O=$abc$27697$n563 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[5] I2=KEYBOARD.row_time[10] I3=KEYBOARD.row_time[11] O=$abc$27697$n564 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[6] I1=$abc$27697$n567 I2=$abc$27697$n566 I3=$false O=$abc$27697$n44 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10111111 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$27697$n566 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[4] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.kbd_code_hid[7] O=$abc$27697$n567 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=I2C_HID_DESC.last_rd_request I1=I2C.wr I2=I2C.FLT_SCL.RESET I3=$false O=$abc$27697$n259 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01001111 .gate SB_LUT4 I0=I2C_OUTPUT_TYPE[2] I1=$abc$27697$n579 I2=$abc$27697$n578 I3=$abc$27697$n570 O=$abc$27697$n278 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100111100000000 .gate SB_LUT4 I0=$abc$27697$n576 I1=$abc$27697$n577 I2=$abc$27697$n571 I3=$abc$27697$n575 O=$abc$27697$n570 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000001001111 .gate SB_LUT4 I0=$abc$27697$n496 I1=$abc$27697$n574 I2=I2C.FLT_SCL.RESET I3=$abc$27697$n572 O=$abc$27697$n571 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=$0\uart_double_ff[0:0] I1=$abc$27697$n19 I2=$false I3=$false O=$abc$27697$n572 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=last_trans I1=I2C_TRANS I2=$false I3=$false O=$0\uart_double_ff[0:0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=last_wr I1=I2C.wr I2=$false I3=$false O=$abc$27697$n574 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$0\uart_double_ff[0:0] I1=I2C.wr I2=last_wr I3=I2C.FLT_SCL.RESET O=$abc$27697$n575 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1011111000000000 .gate SB_LUT4 I0=UART.tx_activity I1=last_uart_active I2=uart_double_ff I3=$false O=$abc$27697$n576 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=LED1 I1=UART_WR I2=last_isr I3=KEYBOARD.isr O=$abc$27697$n577 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=$abc$27697$n1 I1=I2C.wr I2=last_wr I3=$false O=$abc$27697$n578 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000001 .gate SB_LUT4 I0=$abc$27697$n1960 I1=I2C_OUTPUT_TYPE[0] I2=I2C_OUTPUT_TYPE[1] I3=I2C.is_read O=$abc$27697$n579 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100000100000000 .gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$27697$n574 I2=I2C.is_read I3=$false O=$abc$27697$n285 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$27697$n574 I2=$abc$27697$n578 I3=$false O=$abc$27697$n288 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000111 .gate SB_LUT4 I0=$abc$27697$n574 I1=I2C.FLT_SCL.RESET I2=$abc$27697$n583 I3=$false O=$abc$27697$n291 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$27697$n571 I1=$abc$27697$n576 I2=$abc$27697$n578 I3=$false O=$abc$27697$n583 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00001101 .gate SB_LUT4 I0=$abc$27697$n574 I1=I2C.FLT_SCL.RESET I2=$abc$27697$n1087_1 I3=$false O=$abc$27697$n295 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$27697$n587 I1=I2C.is_read I2=I2C.FLT_SCL.RESET I3=$abc$27697$n593 O=$abc$27697$n357 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=$abc$27697$n591 I1=$abc$27697$n592 I2=$abc$27697$n588 I3=$false O=$abc$27697$n587 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000111 .gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[2] I2=$abc$27697$n589 I3=$false O=$abc$27697$n588 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$27697$n590 I1=i2c_input_data_type[0] I2=$false I3=$false O=$abc$27697$n589 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[1] I2=i2c_input_data_type[2] I3=$false O=$abc$27697$n590 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=i2c_input_data_type[1] I1=i2c_input_data_type[0] I2=i2c_input_data_type[3] I3=i2c_input_data_type[2] O=$abc$27697$n591 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[3] I2=I2C.byte_counter[2] I3=$false O=$abc$27697$n592 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$27697$n594 I1=$abc$27697$n595 I2=I2C.byte_counter[1] I3=$false O=$abc$27697$n593 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=I2C.byte_counter[2] I1=I2C.byte_counter[3] I2=$abc$27697$n574 I3=$false O=$abc$27697$n594 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11100000 .gate SB_LUT4 I0=I2C.byte_counter[4] I1=I2C.byte_counter[5] I2=I2C.byte_counter[6] I3=I2C.byte_counter[7] O=$abc$27697$n595 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[0] I2=$abc$27697$n603 I3=$false O=$abc$27697$n602 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=I2C.byte_counter[3] I1=I2C.byte_counter[2] I2=$abc$27697$n595 I3=$false O=$abc$27697$n603 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$27697$n608 I1=I2C.received_byte[0] I2=$abc$27697$n606 I3=$abc$27697$n607 O=$abc$27697$n605 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111111100000000 .gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[5] I2=I2C.received_byte[7] I3=I2C.received_byte[6] O=$abc$27697$n606 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=i2c_input_data_type[1] I1=i2c_input_data_type[3] I2=i2c_input_data_type[0] I3=i2c_input_data_type[2] O=$abc$27697$n607 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=I2C.received_byte[3] I1=I2C.received_byte[1] I2=I2C.received_byte[2] I3=$false O=$abc$27697$n608 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000001 .gate SB_LUT4 I0=$abc$27697$n613 I1=$abc$27697$n590 I2=$abc$27697$n614 I3=$abc$27697$n612 O=$abc$27697$n611 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000110001011111 .gate SB_LUT4 I0=$abc$27697$n603 I1=I2C.byte_counter[0] I2=I2C.byte_counter[1] I3=$false O=$abc$27697$n612 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=I2C.byte_counter[2] I1=I2C.byte_counter[3] I2=$abc$27697$n595 I3=I2C.byte_counter[1] O=$abc$27697$n613 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$27697$n608 I2=$abc$27697$n606 I3=$false O=$abc$27697$n614 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=I2C.byte_counter[0] I1=$abc$27697$n613 I2=$false I3=$false O=$abc$27697$n615 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$27697$n606 I1=$abc$27697$n619 I2=$abc$27697$n590 I3=$false O=$abc$27697$n618_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01110000 .gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[3] I2=I2C.received_byte[1] I3=I2C.received_byte[2] O=$abc$27697$n619 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=$abc$27697$n607 I1=I2C.byte_counter[0] I2=I2C.byte_counter[1] I3=$abc$27697$n603 O=$abc$27697$n621 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=$abc$27697$n574 I1=$abc$27697$n572 I2=I2C.FLT_SCL.RESET I3=$abc$27697$n583 O=$abc$27697$n422 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1110111100000000 .gate SB_LUT4 I0=$abc$27697$n578 I1=I2C.is_read I2=$abc$27697$n570 I3=$false O=$abc$27697$n434 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01110000 .gate SB_LUT4 I0=$abc$27697$n630 I1=i2c_input_data_type[0] I2=$false I3=$false O=$abc$27697$n629 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$27697$n641_1 I1=$abc$27697$n642 I2=$abc$27697$n631 I3=$false O=$abc$27697$n630 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$27697$n589 I1=$abc$27697$n639 I2=$abc$27697$n632 I3=$abc$27697$n636 O=$abc$27697$n631 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000001101 .gate SB_LUT4 I0=$abc$27697$n633 I1=$abc$27697$n591 I2=$abc$27697$n1953 I3=$false O=$abc$27697$n632 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=$abc$27697$n635 I2=$abc$27697$n634 I3=$false O=$abc$27697$n633 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=I2C_INPUT_LEN[4] I1=I2C_INPUT_LEN[5] I2=I2C_INPUT_LEN[6] I3=I2C_INPUT_LEN[7] O=$abc$27697$n634 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=I2C_INPUT_LEN[0] I2=I2C_INPUT_LEN[1] I3=$false O=$abc$27697$n635 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=I2C_INPUT_LEN[0] I1=I2C_INPUT_LEN[1] I2=$abc$27697$n637 I3=$abc$27697$n638 O=$abc$27697$n636 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1011111100000000 .gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=I2C_INPUT_LEN[2] I2=$abc$27697$n634 I3=$false O=$abc$27697$n637 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=i2c_input_data_type[0] I1=i2c_input_data_type[3] I2=i2c_input_data_type[1] I3=i2c_input_data_type[2] O=$abc$27697$n638 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=$abc$27697$n634 I1=$abc$27697$n640 I2=$false I3=$false O=$abc$27697$n639 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=I2C_INPUT_LEN[1] I2=I2C_INPUT_LEN[0] I3=I2C_INPUT_LEN[3] O=$abc$27697$n640 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=I2C_INPUT_LEN[1] I1=I2C_INPUT_LEN[0] I2=$abc$27697$n637 I3=$abc$27697$n607 O=$abc$27697$n641_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1110111100000000 .gate SB_LUT4 I0=I2C_INPUT_LEN[1] I1=$abc$27697$n637 I2=I2C_INPUT_LEN[0] I3=$abc$27697$n591 O=$abc$27697$n642 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1011111100000000 .gate SB_LUT4 I0=i2c_input_data_type[3] I1=$abc$27697$n644 I2=$false I3=$false O=$abc$27697$n643 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$27697$n630 I1=i2c_input_data_type[2] I2=$false I3=$false O=$abc$27697$n644 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$27697$n630 I1=i2c_input_data_type[1] I2=$false I3=$false O=$abc$27697$n645 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$27697$n291 I1=$abc$27697$n19 I2=$false I3=$false O=$abc$27697$n467 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$27697$n649 I1=$abc$27697$n657 I2=$false I3=$false O=$abc$27697$n478 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$27697$n917 I1=$abc$27697$n918 I2=$abc$27697$n650 I3=$false O=$abc$27697$n649 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$27697$n929 I1=$abc$27697$n656 I2=$abc$27697$n651_1 I3=$abc$27697$n1891 O=$abc$27697$n650 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=$abc$27697$n652 I1=$abc$27697$n1116 I2=$false I3=$false O=$abc$27697$n651_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 .gate SB_LUT4 I0=I2C.i2c_start_latency I1=$abc$27697$n1486 I2=I2C.i2c_state_machine I3=I2C.is_read O=$abc$27697$n652 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1011111100000000 .gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$27697$n16 I2=I2C.FLT_SCL.out I3=$false O=$abc$27697$n1486 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11101111 .gate SB_LUT4 I0=$abc$27697$n511 I1=$abc$27697$n14 I2=I2C.FLT_SCL.out I3=$false O=$abc$27697$n656 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$27697$n915 I1=$abc$27697$n658 I2=$false I3=$false O=$abc$27697$n657 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=I2C.i2c_bit_counter[0] I1=I2C.i2c_bit_counter[1] I2=$abc$27697$n1486 I3=$false O=$abc$27697$n658 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01100000 .gate SB_LUT4 I0=$abc$27697$n649 I1=$abc$27697$n660 I2=$false I3=$false O=$abc$27697$n483 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$27697$n658 I1=$abc$27697$n915 I2=$false I3=$false O=$abc$27697$n660 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$27697$n649 I1=$abc$27697$n662 I2=$false I3=$false O=$abc$27697$n488 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$27697$n658 I1=$abc$27697$n915 I2=$false I3=$false O=$abc$27697$n662 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$27697$n649 I1=$abc$27697$n664 I2=$false I3=$false O=$abc$27697$n493 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$27697$n658 I1=$abc$27697$n915 I2=$false I3=$false O=$abc$27697$n664 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$27697$n666 I1=$abc$27697$n657 I2=$false I3=$false O=$abc$27697$n498 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$27697$n918 I1=$abc$27697$n917 I2=$abc$27697$n650 I3=$false O=$abc$27697$n666 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$27697$n666 I1=$abc$27697$n660 I2=$false I3=$false O=$abc$27697$n503 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$27697$n666 I1=$abc$27697$n662 I2=$false I3=$false O=$abc$27697$n510 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$27697$n666 I1=$abc$27697$n664 I2=$false I3=$false O=$abc$27697$n524 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=I2C.i2c_start_latency I1=I2C.i2c_state_machine I2=$abc$27697$n1486 I3=$false O=$abc$27697$n527 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01001111 .gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$27697$n672 I2=$false I3=$false O=$abc$27697$n533 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0111 .gate SB_LUT4 I0=UART_WR I1=UART.TX_sig_last I2=UART.tx_activity I3=$false O=$abc$27697$n672 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00001101 .gate SB_LUT4 I0=$abc$27697$n674 I1=$abc$27697$n677 I2=$abc$27697$n672 I3=I2C.FLT_SCL.RESET O=$abc$27697$n542 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=$abc$27697$n675 I1=UART.tx_activity I2=$false I3=$false O=$abc$27697$n674 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=UART.tx_clk_counter[1] I1=UART.tx_clk_counter[0] I2=$abc$27697$n676 I3=$false O=$abc$27697$n675 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01100000 .gate SB_LUT4 I0=$abc$27697$n1093 I1=$abc$27697$n1096 I2=$abc$27697$n1097 I3=$false O=$abc$27697$n676 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000001 .gate SB_LUT4 I0=UART.tx_bit_counter[3] I1=$abc$27697$n678 I2=$false I3=$false O=$abc$27697$n677 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=UART.tx_bit_counter[2] I3=UART.tx_activity O=$abc$27697$n678 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=$abc$27697$n697 I1=KEYBOARD.report[4][1] I2=$abc$27697$n682 I3=$abc$27697$n692_1 O=$abc$27697$n681 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1101000000000000 .gate SB_LUT4 I0=$abc$27697$n690_1 I1=$abc$27697$n691_1 I2=$abc$27697$n683 I3=$false O=$abc$27697$n682 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01110000 .gate SB_LUT4 I0=$abc$27697$n684 I1=$abc$27697$n687 I2=$false I3=$false O=$abc$27697$n683 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$27697$n685 I1=$abc$27697$n686 I2=$false I3=$false O=$abc$27697$n684 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=KEYBOARD.report[1][4] I1=KEYBOARD.report[1][5] I2=KEYBOARD.report[1][6] I3=KEYBOARD.report[1][7] O=$abc$27697$n685 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=KEYBOARD.report[1][0] I1=KEYBOARD.report[1][1] I2=KEYBOARD.report[1][2] I3=KEYBOARD.report[1][3] O=$abc$27697$n686 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=$abc$27697$n688 I1=$abc$27697$n689_1 I2=$false I3=$false O=$abc$27697$n687 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=KEYBOARD.report[2][4] I1=KEYBOARD.report[2][5] I2=KEYBOARD.report[2][6] I3=KEYBOARD.report[2][7] O=$abc$27697$n688 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=KEYBOARD.report[2][0] I1=KEYBOARD.report[2][1] I2=KEYBOARD.report[2][2] I3=KEYBOARD.report[2][3] O=$abc$27697$n689_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=KEYBOARD.report[3][4] I1=KEYBOARD.report[3][5] I2=KEYBOARD.report[3][6] I3=KEYBOARD.report[3][7] O=$abc$27697$n690_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=KEYBOARD.report[3][0] I1=KEYBOARD.report[3][1] I2=KEYBOARD.report[3][2] I3=KEYBOARD.report[3][3] O=$abc$27697$n691_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=$abc$27697$n693 I1=$abc$27697$n44 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$27697$n692_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$27697$n694_1 I1=$abc$27697$n1946 I2=$abc$27697$n1947 I3=$false O=$abc$27697$n693 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$27697$n695_1 I1=$abc$27697$n696 I2=KEYBOARD.kbd_code_hid[6] I3=KEYBOARD.kbd_code_hid[7] O=$abc$27697$n694_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$27697$n695_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.kbd_code_hid[4] I2=KEYBOARD.kbd_code_hid[3] I3=$false O=$abc$27697$n696 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=KEYBOARD.report[4][0] I1=KEYBOARD.report[4][2] I2=KEYBOARD.report[4][3] I3=$abc$27697$n698_1 O=$abc$27697$n697 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=KEYBOARD.report[4][4] I1=KEYBOARD.report[4][5] I2=KEYBOARD.report[4][6] I3=KEYBOARD.report[4][7] O=$abc$27697$n698_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=$abc$27697$n700 I1=$abc$27697$n703 I2=$abc$27697$n704 I3=$false O=$abc$27697$n699 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$27697$n701 I1=$abc$27697$n702_1 I2=$false I3=$false O=$abc$27697$n700 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=KEYBOARD.report[5][4] I1=KEYBOARD.report[5][5] I2=KEYBOARD.report[5][6] I3=KEYBOARD.report[5][7] O=$abc$27697$n701 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=KEYBOARD.report[5][0] I1=KEYBOARD.report[5][1] I2=KEYBOARD.report[5][2] I3=KEYBOARD.report[5][3] O=$abc$27697$n702_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=KEYBOARD.report[6][4] I1=KEYBOARD.report[6][5] I2=KEYBOARD.report[6][6] I3=KEYBOARD.report[6][7] O=$abc$27697$n703 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=KEYBOARD.report[6][0] I1=KEYBOARD.report[6][1] I2=KEYBOARD.report[6][2] I3=KEYBOARD.report[6][3] O=$abc$27697$n704 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.report[6][7] I2=$false I3=$false O=$abc$27697$n710 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.report[6][5] I2=KEYBOARD.kbd_code_hid[6] I3=KEYBOARD.report[6][6] O=$abc$27697$n712 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.report[6][3] I2=KEYBOARD.kbd_code_hid[4] I3=KEYBOARD.report[6][4] O=$abc$27697$n713_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=$abc$27697$n25 I1=$abc$27697$n692_1 I2=$false I3=$false O=$abc$27697$n714 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$27697$n25 I1=$abc$27697$n681 I2=$false I3=$false O=$abc$27697$n716 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.report[5][0] I2=KEYBOARD.kbd_code_hid[1] I3=KEYBOARD.report[5][1] O=$abc$27697$n720 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=$abc$27697$n716 I1=$abc$27697$n1138_1 I2=$abc$27697$n732_1 I3=$abc$27697$n692_1 O=$abc$27697$n618 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.report[4][0] I2=KEYBOARD.kbd_code_hid[2] I3=KEYBOARD.report[4][2] O=$abc$27697$n727 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.report[4][5] I2=KEYBOARD.kbd_code_hid[6] I3=KEYBOARD.report[4][6] O=$abc$27697$n730 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=$abc$27697$n25 I1=$abc$27697$n682 I2=$abc$27697$n692_1 I3=$false O=$abc$27697$n732_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.report[3][0] I2=KEYBOARD.kbd_code_hid[1] I3=KEYBOARD.report[3][1] O=$abc$27697$n741_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=$abc$27697$n745 I1=$abc$27697$n744 I2=$abc$27697$n25 I3=$abc$27697$n692_1 O=$abc$27697$n641 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1010110000000000 .gate SB_LUT4 I0=$abc$27697$n684 I1=$abc$27697$n687 I2=$false I3=$false O=$abc$27697$n744 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$27697$n746_1 I1=$abc$27697$n747 I2=$abc$27697$n748 I3=$abc$27697$n749 O=$abc$27697$n745 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.report[2][1] I2=KEYBOARD.kbd_code_hid[3] I3=KEYBOARD.report[2][3] O=$abc$27697$n746_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.report[2][0] I2=KEYBOARD.kbd_code_hid[4] I3=KEYBOARD.report[2][4] O=$abc$27697$n747 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.report[2][5] I2=KEYBOARD.kbd_code_hid[6] I3=KEYBOARD.report[2][6] O=$abc$27697$n748 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.report[2][2] I2=KEYBOARD.kbd_code_hid[7] I3=KEYBOARD.report[2][7] O=$abc$27697$n749 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=$abc$27697$n751_1 I1=$abc$27697$n684 I2=$abc$27697$n25 I3=$abc$27697$n692_1 O=$abc$27697$n651 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1010110000000000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.report[1][4] I2=$abc$27697$n752_1 I3=$abc$27697$n755_1 O=$abc$27697$n751_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000000000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.report[1][7] I2=$abc$27697$n753 I3=$abc$27697$n754_1 O=$abc$27697$n752_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000000000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.report[1][3] I2=KEYBOARD.kbd_code_hid[2] I3=KEYBOARD.report[1][2] O=$abc$27697$n753 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1011000000001011 .gate SB_LUT4 I0=KEYBOARD.report[1][3] I1=KEYBOARD.kbd_code_hid[3] I2=KEYBOARD.kbd_code_hid[6] I3=KEYBOARD.report[1][6] O=$abc$27697$n754_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1011000010111011 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.report[1][5] I2=$abc$27697$n756 I3=$abc$27697$n757 O=$abc$27697$n755_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000000000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.report[1][1] I2=KEYBOARD.report[1][6] I3=KEYBOARD.kbd_code_hid[6] O=$abc$27697$n756 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1011000010111011 .gate SB_LUT4 I0=KEYBOARD.report[1][1] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=KEYBOARD.report[1][0] O=$abc$27697$n757 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1011000000001011 .gate SB_LUT4 I0=$abc$27697$n693 I1=$abc$27697$n44 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$27697$n659 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$27697$n29 I1=$abc$27697$n1699 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$27697$n665 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11100000 .gate SB_LUT4 I0=$abc$27697$n515 I1=$abc$27697$n516 I2=$abc$27697$n517 I3=$abc$27697$n524_1 O=$abc$27697$n1699 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=$abc$27697$n29 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$27697$n667 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$27697$n29 I1=KEYBOARD.row_time[0] I2=I2C.FLT_SCL.RESET I3=$false O=$abc$27697$n670 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11100000 .gate SB_LUT4 I0=$abc$27697$n1293 I1=$abc$27697$n766 I2=$false I3=$false O=$abc$27697$n679 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$27697$n765 I1=$abc$27697$n1057 I2=$false I3=$false O=$abc$27697$n1293 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1110 .gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=I2C.FLT_SDA.counter[2] I3=$false O=$abc$27697$n765 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000001 .gate SB_LUT4 I0=$abc$27697$n1292 I1=$abc$27697$n1054 I2=I2C.FLT_SDA.out I3=I2C.SDAF O=$abc$27697$n766 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100010000 .gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=$false I3=$false O=$abc$27697$n1292 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1001 .gate SB_LUT4 I0=I2C.FLT_SDA.out I1=I2C.SDAF I2=$abc$27697$n765 I3=$false O=$abc$27697$n680 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01101111 .gate SB_LUT4 I0=$abc$27697$n1296 I1=$abc$27697$n1058 I2=$abc$27697$n772_1 I3=$false O=$abc$27697$n689 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$27697$n771_1 I1=$abc$27697$n1061 I2=$false I3=$false O=$abc$27697$n1296 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1110 .gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$false O=$abc$27697$n771_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000001 .gate SB_LUT4 I0=$abc$27697$n1295 I1=I2C.FLT_SCL.out I2=I2C.SCLF I3=$false O=$abc$27697$n772_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010100 .gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=$false I3=$false O=$abc$27697$n1295 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1001 .gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.SCLF I2=$abc$27697$n771_1 I3=$false O=$abc$27697$n690 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01101111 .gate SB_LUT4 I0=I2C_OUTPUT_TYPE[2] I1=I2C_OUTPUT_TYPE[1] I2=I2C_OUTPUT_TYPE[0] I3=$false O=$abc$27697$n741 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10111111 .gate SB_LUT4 I0=$abc$27697$n1486 I1=I2C.byte_counter[0] I2=$false I3=$false O=$abc$27697$n1072 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$27697$n1486 I1=I2C.byte_counter[1] I2=$false I3=$false O=$abc$27697$n1073 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$27697$n1486 I1=I2C.byte_counter[2] I2=$false I3=$false O=$abc$27697$n1075 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$27697$n1486 I1=I2C.byte_counter[3] I2=$false I3=$false O=$abc$27697$n1076 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$27697$n1486 I1=I2C.byte_counter[4] I2=$false I3=$false O=$abc$27697$n1078 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$27697$n1486 I1=I2C.byte_counter[5] I2=$false I3=$false O=$abc$27697$n1079 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$27697$n1486 I1=I2C.byte_counter[6] I2=$false I3=$false O=$abc$27697$n1081 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$27697$n1486 I1=I2C.byte_counter[7] I2=$false I3=$false O=$abc$27697$n1082 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=KEYBOARD.RAM.last_we I1=KEYBOARD.ram_wr I2=$false I3=$false O=$abc$27697$n1228 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=I2C.byte_counter[0] I1=$abc$27697$n1283 I2=I2C_OUTPUT_TYPE[1] I3=I2C_OUTPUT_TYPE[0] O=$abc$27697$n1284 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1100101011001100 .gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=I2C_OUTPUT_TYPE[0] I2=I2C.byte_counter[5] I3=$false O=$abc$27697$n1285 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01001011 .gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=I2C_OUTPUT_TYPE[0] I2=I2C.byte_counter[5] I3=I2C.byte_counter[6] O=$abc$27697$n1287 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100111110110000 .gate SB_LUT4 I0=I2C.byte_counter[7] I1=$abc$27697$n1288 I2=I2C_OUTPUT_TYPE[1] I3=I2C_OUTPUT_TYPE[0] O=$abc$27697$n1289 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1100101011001100 .gate SB_LUT4 I0=$abc$27697$n765 I1=$abc$27697$n1054 I2=$false I3=$false O=$abc$27697$n1291 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1110 .gate SB_LUT4 I0=$abc$27697$n771_1 I1=$abc$27697$n1058 I2=$false I3=$false O=$abc$27697$n1294 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1110 .gate SB_LUT4 I0=$abc$27697$n797 I1=$abc$27697$n792 I2=$abc$27697$n796 I3=$abc$27697$n496 O=$2\I2C_OUT_DESC_MASK[7:0][7] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001010000000000 .gate SB_LUT4 I0=I2C_OUTPUT_TYPE[0] I1=$abc$27697$n1096_1 I2=$abc$27697$n629 I3=$abc$27697$n793 O=$abc$27697$n792 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111000011101110 .gate SB_LUT4 I0=$abc$27697$n629 I1=$abc$27697$n645 I2=$abc$27697$n644 I3=$abc$27697$n794 O=$abc$27697$n793 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000001110 .gate SB_LUT4 I0=$abc$27697$n630 I1=i2c_input_data_type[3] I2=$false I3=$false O=$abc$27697$n794 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=$abc$27697$n1096_1 I2=$abc$27697$n645 I3=$abc$27697$n793 O=$abc$27697$n796 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111000011101110 .gate SB_LUT4 I0=$abc$27697$n793 I1=$abc$27697$n1096_1 I2=I2C_OUTPUT_TYPE[2] I3=$false O=$abc$27697$n797 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$27697$n792 I1=$abc$27697$n496 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1011 .gate SB_LUT4 I0=$abc$27697$n796 I1=$abc$27697$n496 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1011 .gate SB_LUT4 I0=$abc$27697$n797 I1=$abc$27697$n496 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$27697$n576 I1=$abc$27697$n572 I2=$false I3=$false O=$2\UART_WR[0:0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1011 .gate SB_LUT4 I0=$abc$27697$n803 I1=I2C.received_byte[0] I2=I2C.is_read I3=$false O=$abc$27697$n1367 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 .gate SB_LUT4 I0=I2C_HID_DESC.VAL[0] I1=I2C_TX_REPORT[0] I2=I2C_OUT_DESC_MASK[0] I3=$false O=$abc$27697$n803 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 .gate SB_LUT4 I0=$abc$27697$n805 I1=I2C.received_byte[1] I2=I2C.is_read I3=$false O=$abc$27697$n1368 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 .gate SB_LUT4 I0=I2C_HID_DESC.VAL[1] I1=I2C_TX_REPORT[1] I2=I2C_OUT_DESC_MASK[1] I3=$false O=$abc$27697$n805 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 .gate SB_LUT4 I0=$abc$27697$n807 I1=I2C.received_byte[2] I2=I2C.is_read I3=$false O=$abc$27697$n1369 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 .gate SB_LUT4 I0=I2C_HID_DESC.VAL[2] I1=I2C_TX_REPORT[2] I2=I2C_OUT_DESC_MASK[2] I3=$false O=$abc$27697$n807 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 .gate SB_LUT4 I0=$abc$27697$n809 I1=I2C.received_byte[3] I2=I2C.is_read I3=$false O=$abc$27697$n1370 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 .gate SB_LUT4 I0=I2C_HID_DESC.VAL[3] I1=I2C_TX_REPORT[3] I2=I2C_OUT_DESC_MASK[3] I3=$false O=$abc$27697$n809 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 .gate SB_LUT4 I0=$abc$27697$n811 I1=I2C.received_byte[4] I2=I2C.is_read I3=$false O=$abc$27697$n1371 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 .gate SB_LUT4 I0=I2C_HID_DESC.VAL[4] I1=I2C_TX_REPORT[4] I2=I2C_OUT_DESC_MASK[4] I3=$false O=$abc$27697$n811 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 .gate SB_LUT4 I0=$abc$27697$n813 I1=I2C.received_byte[5] I2=I2C.is_read I3=$false O=$abc$27697$n1372 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 .gate SB_LUT4 I0=I2C_HID_DESC.VAL[5] I1=I2C_TX_REPORT[5] I2=I2C_OUT_DESC_MASK[5] I3=$false O=$abc$27697$n813 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 .gate SB_LUT4 I0=$abc$27697$n815 I1=I2C.received_byte[6] I2=I2C.is_read I3=$false O=$abc$27697$n1373 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 .gate SB_LUT4 I0=I2C_HID_DESC.VAL[6] I1=I2C_TX_REPORT[6] I2=I2C_OUT_DESC_MASK[6] I3=$false O=$abc$27697$n815 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 .gate SB_LUT4 I0=$abc$27697$n817 I1=I2C.received_byte[7] I2=I2C.is_read I3=$false O=$abc$27697$n1374 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 .gate SB_LUT4 I0=I2C_HID_DESC.VAL[7] I1=I2C_TX_REPORT[7] I2=I2C_OUT_DESC_MASK[7] I3=$false O=$abc$27697$n817 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 .gate SB_LUT4 I0=$abc$27697$n825 I1=$abc$27697$n629 I2=$abc$27697$n819 I3=$false O=$0\i2c_input_data_type[3:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10001111 .gate SB_LUT4 I0=$abc$27697$n820 I1=I2C.received_byte[0] I2=I2C.received_byte[4] I3=$abc$27697$n822 O=$abc$27697$n819 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000001110111 .gate SB_LUT4 I0=$abc$27697$n821 I1=$abc$27697$n615 I2=$abc$27697$n574 I3=$abc$27697$n1959 O=$abc$27697$n820 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$27697$n606 I2=$abc$27697$n608 I3=$abc$27697$n1950 O=$abc$27697$n821 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111111100000000 .gate SB_LUT4 I0=$abc$27697$n823 I1=$abc$27697$n824 I2=$abc$27697$n574 I3=$false O=$abc$27697$n822 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$abc$27697$n603 I3=$false O=$abc$27697$n823 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[7] I2=I2C.received_byte[6] I3=$abc$27697$n608 O=$abc$27697$n824 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=$0\uart_double_ff[0:0] I1=$abc$27697$n574 I2=$false I3=$false O=$abc$27697$n825 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$27697$n825 I1=$abc$27697$n645 I2=$abc$27697$n827_1 I3=$false O=$0\i2c_input_data_type[3:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10001111 .gate SB_LUT4 I0=$abc$27697$n820 I1=I2C.received_byte[1] I2=$abc$27697$n828 I3=$false O=$abc$27697$n827_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000111 .gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[5] I2=$abc$27697$n822 I3=$false O=$abc$27697$n828 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01100000 .gate SB_LUT4 I0=$abc$27697$n825 I1=$abc$27697$n644 I2=$abc$27697$n830_1 I3=$false O=$0\i2c_input_data_type[3:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10001111 .gate SB_LUT4 I0=$abc$27697$n820 I1=I2C.received_byte[2] I2=$abc$27697$n831 I3=$false O=$abc$27697$n830_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01110000 .gate SB_LUT4 I0=I2C.received_byte[5] I1=I2C.received_byte[4] I2=$abc$27697$n822 I3=$false O=$abc$27697$n831 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10001111 .gate SB_LUT4 I0=$abc$27697$n794 I1=$abc$27697$n825 I2=$abc$27697$n820 I3=I2C.received_byte[3] O=$0\i2c_input_data_type[3:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111100010001000 .gate SB_LUT4 I0=$abc$27697$n574 I1=$abc$27697$n1393 I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$abc$27697$n574 I3=$false O=$2\I2C_INPUT_LEN[7:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10010000 .gate SB_LUT4 I0=$abc$27697$n574 I1=$abc$27697$n1396 I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$27697$n574 I1=$abc$27697$n1398 I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$27697$n574 I1=$abc$27697$n1400 I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][4] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$27697$n574 I1=$abc$27697$n1402 I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$27697$n574 I1=$abc$27697$n1404 I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$27697$n574 I1=$abc$27697$n1406 I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][7] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$27697$n1097_1 I1=$abc$27697$n1408 I2=$false I3=$false O=$0\rststate[3:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$27697$n1097_1 I1=$abc$27697$n1410 I2=$false I3=$false O=$0\rststate[3:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$27697$n1097_1 I1=$abc$27697$n1412 I2=$false I3=$false O=$0\rststate[3:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$27697$n1097_1 I1=$abc$27697$n1414 I2=$false I3=$false O=$0\rststate[3:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$27697$n851_1 I1=KEYBOARD.report[0][0] I2=$abc$27697$n849 I3=$abc$27697$n847_1 O=$abc$27697$n1476 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0101110000000000 .gate SB_LUT4 I0=$abc$27697$n613 I1=$abc$27697$n1098 I2=$false I3=$false O=$abc$27697$n847_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$27697$n823 I1=$abc$27697$n850_1 I2=$false I3=$false O=$abc$27697$n849 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 .gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$abc$27697$n866 I3=$abc$27697$n873 O=$abc$27697$n850_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000000110 .gate SB_LUT4 I0=$abc$27697$n860 I1=KEYBOARD.report[5][0] I2=$abc$27697$n852 I3=$abc$27697$n857_1 O=$abc$27697$n851_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000000000000 .gate SB_LUT4 I0=$abc$27697$n856 I1=KEYBOARD.report[2][0] I2=$abc$27697$n853_1 I3=$false O=$abc$27697$n852 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01110000 .gate SB_LUT4 I0=$abc$27697$n854_1 I1=KEYBOARD.report[6][0] I2=$abc$27697$n855 I3=KEYBOARD.report[4][0] O=$abc$27697$n853_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=$abc$27697$n866 I1=I2C.byte_counter[1] I2=I2C.byte_counter[0] I3=$abc$27697$n873 O=$abc$27697$n854_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100000100000000 .gate SB_LUT4 I0=$abc$27697$n866 I1=I2C.byte_counter[0] I2=I2C.byte_counter[1] I3=$abc$27697$n873 O=$abc$27697$n855 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001010000000000 .gate SB_LUT4 I0=$abc$27697$n866 I1=$abc$27697$n873 I2=I2C.byte_counter[0] I3=I2C.byte_counter[1] O=$abc$27697$n856 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000001 .gate SB_LUT4 I0=$abc$27697$n858 I1=KEYBOARD.report[3][0] I2=$abc$27697$n859 I3=KEYBOARD.report[1][0] O=$abc$27697$n857_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=$abc$27697$n873 I1=I2C.byte_counter[1] I2=I2C.byte_counter[0] I3=$abc$27697$n866 O=$abc$27697$n858 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100000100000000 .gate SB_LUT4 I0=$abc$27697$n873 I1=I2C.byte_counter[0] I2=I2C.byte_counter[1] I3=$abc$27697$n866 O=$abc$27697$n859 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001010000000000 .gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$abc$27697$n866 I3=$abc$27697$n873 O=$abc$27697$n860 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0110000000000000 .gate SB_LUT4 I0=$abc$27697$n1956 I1=$abc$27697$n862 I2=$abc$27697$n615 I3=$abc$27697$n1961 O=$abc$27697$n1477 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111010000000000 .gate SB_LUT4 I0=$abc$27697$n863 I1=KEYBOARD.report[0][1] I2=$abc$27697$n849 I3=$abc$27697$n867 O=$abc$27697$n862 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0101110000000000 .gate SB_LUT4 I0=$abc$27697$n860 I1=KEYBOARD.report[5][1] I2=$abc$27697$n864 I3=$abc$27697$n866_1 O=$abc$27697$n863 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000000000000 .gate SB_LUT4 I0=$abc$27697$n858 I1=KEYBOARD.report[3][1] I2=$abc$27697$n865 I3=$false O=$abc$27697$n864 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01110000 .gate SB_LUT4 I0=$abc$27697$n854_1 I1=KEYBOARD.report[6][1] I2=$abc$27697$n855 I3=KEYBOARD.report[4][1] O=$abc$27697$n865 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=$abc$27697$n856 I1=KEYBOARD.report[2][1] I2=$abc$27697$n859 I3=KEYBOARD.report[1][1] O=$abc$27697$n866_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=$abc$27697$n613 I1=I2C.byte_counter[0] I2=$abc$27697$n602 I3=$false O=$abc$27697$n867 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000111 .gate SB_LUT4 I0=$abc$27697$n849 I1=KEYBOARD.report[0][2] I2=$abc$27697$n869 I3=$abc$27697$n847_1 O=$abc$27697$n1478 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000111000000000 .gate SB_LUT4 I0=$abc$27697$n855 I1=KEYBOARD.report[4][2] I2=$abc$27697$n849 I3=$abc$27697$n870 O=$abc$27697$n869 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000000000000 .gate SB_LUT4 I0=$abc$27697$n858 I1=KEYBOARD.report[3][2] I2=$abc$27697$n871 I3=$abc$27697$n872 O=$abc$27697$n870 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000000000000 .gate SB_LUT4 I0=$abc$27697$n856 I1=KEYBOARD.report[2][2] I2=KEYBOARD.report[5][2] I3=$abc$27697$n860 O=$abc$27697$n871 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=$abc$27697$n859 I1=KEYBOARD.report[1][2] I2=KEYBOARD.report[6][2] I3=$abc$27697$n854_1 O=$abc$27697$n872 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=$abc$27697$n1956 I1=$abc$27697$n874 I2=$abc$27697$n615 I3=$abc$27697$n1961 O=$abc$27697$n1479 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111010000000000 .gate SB_LUT4 I0=$abc$27697$n849 I1=KEYBOARD.report[0][3] I2=$abc$27697$n875 I3=$abc$27697$n867 O=$abc$27697$n874 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000111000000000 .gate SB_LUT4 I0=$abc$27697$n855 I1=KEYBOARD.report[4][3] I2=$abc$27697$n849 I3=$abc$27697$n876 O=$abc$27697$n875 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000000000000 .gate SB_LUT4 I0=$abc$27697$n860 I1=KEYBOARD.report[5][3] I2=$abc$27697$n877_1 I3=$abc$27697$n878 O=$abc$27697$n876 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000000000000 .gate SB_LUT4 I0=$abc$27697$n856 I1=KEYBOARD.report[2][3] I2=$abc$27697$n859 I3=KEYBOARD.report[1][3] O=$abc$27697$n877_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=$abc$27697$n858 I1=KEYBOARD.report[3][3] I2=KEYBOARD.report[6][3] I3=$abc$27697$n854_1 O=$abc$27697$n878 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=$abc$27697$n849 I1=KEYBOARD.report[0][4] I2=$abc$27697$n880 I3=$abc$27697$n847_1 O=$abc$27697$n1480 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000111000000000 .gate SB_LUT4 I0=$abc$27697$n856 I1=KEYBOARD.report[2][4] I2=$abc$27697$n881 I3=$abc$27697$n883 O=$abc$27697$n880 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000000000000 .gate SB_LUT4 I0=$abc$27697$n854_1 I1=KEYBOARD.report[6][4] I2=$abc$27697$n849 I3=$abc$27697$n882 O=$abc$27697$n881 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000000000000 .gate SB_LUT4 I0=$abc$27697$n859 I1=KEYBOARD.report[1][4] I2=KEYBOARD.report[4][4] I3=$abc$27697$n855 O=$abc$27697$n882 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=$abc$27697$n858 I1=KEYBOARD.report[3][4] I2=KEYBOARD.report[5][4] I3=$abc$27697$n860 O=$abc$27697$n883 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=$abc$27697$n849 I1=KEYBOARD.report[0][5] I2=$abc$27697$n885_1 I3=$abc$27697$n847_1 O=$abc$27697$n1481 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000111000000000 .gate SB_LUT4 I0=$abc$27697$n855 I1=KEYBOARD.report[4][5] I2=$abc$27697$n849 I3=$abc$27697$n886 O=$abc$27697$n885_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000000000000 .gate SB_LUT4 I0=$abc$27697$n858 I1=KEYBOARD.report[3][5] I2=$abc$27697$n887 I3=$abc$27697$n888 O=$abc$27697$n886 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000000000000 .gate SB_LUT4 I0=$abc$27697$n856 I1=KEYBOARD.report[2][5] I2=KEYBOARD.report[5][5] I3=$abc$27697$n860 O=$abc$27697$n887 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=$abc$27697$n859 I1=KEYBOARD.report[1][5] I2=KEYBOARD.report[6][5] I3=$abc$27697$n854_1 O=$abc$27697$n888 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=$abc$27697$n849 I1=KEYBOARD.report[0][6] I2=$abc$27697$n890 I3=$abc$27697$n847_1 O=$abc$27697$n1482 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000111000000000 .gate SB_LUT4 I0=$abc$27697$n856 I1=KEYBOARD.report[2][6] I2=$abc$27697$n891 I3=$abc$27697$n893 O=$abc$27697$n890 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000000000000 .gate SB_LUT4 I0=$abc$27697$n854_1 I1=KEYBOARD.report[6][6] I2=$abc$27697$n849 I3=$abc$27697$n892 O=$abc$27697$n891 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000000000000 .gate SB_LUT4 I0=$abc$27697$n859 I1=KEYBOARD.report[1][6] I2=KEYBOARD.report[4][6] I3=$abc$27697$n855 O=$abc$27697$n892 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=$abc$27697$n858 I1=KEYBOARD.report[3][6] I2=KEYBOARD.report[5][6] I3=$abc$27697$n860 O=$abc$27697$n893 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=$abc$27697$n849 I1=KEYBOARD.report[0][7] I2=$abc$27697$n895 I3=$abc$27697$n847_1 O=$abc$27697$n1483 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000111000000000 .gate SB_LUT4 I0=$abc$27697$n855 I1=KEYBOARD.report[4][7] I2=$abc$27697$n849 I3=$abc$27697$n896 O=$abc$27697$n895 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000000000000 .gate SB_LUT4 I0=$abc$27697$n858 I1=KEYBOARD.report[3][7] I2=$abc$27697$n897 I3=$abc$27697$n898 O=$abc$27697$n896 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000000000000 .gate SB_LUT4 I0=$abc$27697$n856 I1=KEYBOARD.report[2][7] I2=KEYBOARD.report[5][7] I3=$abc$27697$n860 O=$abc$27697$n897 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=$abc$27697$n859 I1=KEYBOARD.report[1][7] I2=KEYBOARD.report[6][7] I3=$abc$27697$n854_1 O=$abc$27697$n898 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=$abc$27697$n1486 I1=I2C.i2c_bit_counter[0] I2=$false I3=$false O=$abc$27697$n1488 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$27697$n1486 I1=I2C.i2c_bit_counter[1] I2=$false I3=$false O=$abc$27697$n1490 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$27697$n1486 I1=I2C.i2c_bit_counter[2] I2=$false I3=$false O=$abc$27697$n1492 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=I2C.i2c_bit_counter[3] I1=$abc$27697$n1486 I2=$false I3=$false O=$abc$27697$n1914 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$27697$n1116 I1=$abc$27697$n1130 I2=$abc$27697$n652 I3=$abc$27697$n916 O=$abc$27697$n1502 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000011111111 .gate SB_LUT4 I0=$abc$27697$n809 I1=$abc$27697$n817 I2=$abc$27697$n917 I3=$abc$27697$n664 O=$abc$27697$n908 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0011010100000000 .gate SB_LUT4 I0=$abc$27697$n807 I1=$abc$27697$n815 I2=$abc$27697$n917 I3=$abc$27697$n662 O=$abc$27697$n909 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0011010100000000 .gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$abc$27697$n14 I2=$abc$27697$n511 I3=$false O=$abc$27697$n910 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000001 .gate SB_LUT4 I0=$abc$27697$n912 I1=$abc$27697$n910 I2=$abc$27697$n914 I3=$false O=$abc$27697$n911 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$27697$n913 I1=I2C.FLT_SCL.out I2=$abc$27697$n14 I3=$false O=$abc$27697$n912 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000001 .gate SB_LUT4 I0=$abc$27697$n1486 I1=I2C.is_ack I2=$false I3=$false O=$abc$27697$n913 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=$abc$27697$n16 I3=I2C.SDA_DIR O=$abc$27697$n914 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111110100000000 .gate SB_LUT4 I0=$abc$27697$n811 I1=$abc$27697$n813 I2=$abc$27697$n915 I3=$abc$27697$n658 O=$abc$27697$n915_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1100101011111111 .gate SB_LUT4 I0=$abc$27697$n914 I1=I2C.i2c_state_machine I2=$abc$27697$n917_1 I3=$false O=$abc$27697$n916 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00001101 .gate SB_LUT4 I0=$abc$27697$n924 I1=$abc$27697$n914 I2=$abc$27697$n918_1 I3=$abc$27697$n651_1 O=$abc$27697$n917_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111010000000000 .gate SB_LUT4 I0=$abc$27697$n919 I1=$abc$27697$n912 I2=$abc$27697$n511 I3=$false O=$abc$27697$n918_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$27697$n922 I1=$abc$27697$n923 I2=$abc$27697$n920 I3=$false O=$abc$27697$n919 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000111 .gate SB_LUT4 I0=$abc$27697$n595 I1=$abc$27697$n921 I2=$abc$27697$n1486 I3=$false O=$abc$27697$n920 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01110000 .gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[2] I2=I2C.byte_counter[3] I3=I2C.byte_counter[1] O=$abc$27697$n921 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=I2C.received_byte[2] I1=I2C.received_byte[7] I2=I2C.received_byte[6] I3=$false O=$abc$27697$n922 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[1] I2=I2C.received_byte[5] I3=I2C.received_byte[3] O=$abc$27697$n923 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$abc$27697$n14 I2=$abc$27697$n511 I3=$false O=$abc$27697$n924 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$27697$n928 I1=$abc$27697$n1116 I2=$abc$27697$n652 I3=$false O=$abc$27697$n927 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$27697$n913 I1=I2C.FLT_SCL.out I2=$abc$27697$n14 I3=$abc$27697$n511 O=$abc$27697$n928 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=$abc$27697$n1116 I1=$abc$27697$n1107 I2=$abc$27697$n652 I3=$false O=$abc$27697$n929_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$27697$n920 I1=$abc$27697$n933 I2=$abc$27697$n652 I3=$false O=$abc$27697$n1506 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11110100 .gate SB_LUT4 I0=$abc$27697$n1116 I1=$abc$27697$n913 I2=$abc$27697$n924 I3=I2C.received_byte[0] O=$abc$27697$n933 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=$abc$27697$n910 I1=$abc$27697$n927 I2=$false I3=$false O=$abc$27697$n936 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$27697$n938 I1=$abc$27697$n656 I2=$abc$27697$n651_1 I3=$false O=$abc$27697$n937 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$27697$n913 I1=$abc$27697$n924 I2=$false I3=$false O=$abc$27697$n938 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$27697$n910 I1=$abc$27697$n656 I2=$abc$27697$n1116 I3=$abc$27697$n652 O=$abc$27697$n939 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111010111110011 .gate SB_LUT4 I0=$abc$27697$n941 I1=$abc$27697$n1490 I2=$abc$27697$n939 I3=$abc$27697$n658 O=$abc$27697$n1510 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100010001001111 .gate SB_LUT4 I0=$abc$27697$n936 I1=$abc$27697$n937 I2=$abc$27697$n1116 I3=$false O=$abc$27697$n941 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000001 .gate SB_LUT4 I0=$abc$27697$n941 I1=$abc$27697$n1492 I2=$abc$27697$n939 I3=$abc$27697$n917 O=$abc$27697$n1512 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100111101000100 .gate SB_LUT4 I0=$abc$27697$n1914 I1=$abc$27697$n918 I2=$abc$27697$n939 I3=$abc$27697$n944 O=$abc$27697$n1514 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0101110011111111 .gate SB_LUT4 I0=$abc$27697$n928 I1=$abc$27697$n938 I2=$abc$27697$n1116 I3=$abc$27697$n652 O=$abc$27697$n944 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111010111110011 .gate SB_LUT4 I0=$abc$27697$n946 I1=I2C.byte_counter[0] I2=$abc$27697$n1116 I3=$false O=$abc$27697$n1516 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$27697$n1653 I1=$abc$27697$n1072 I2=$abc$27697$n947 I3=$false O=$abc$27697$n946 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 .gate SB_LUT4 I0=$abc$27697$n949 I1=$abc$27697$n948 I2=$false I3=$false O=$abc$27697$n947 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$27697$n511 I1=$abc$27697$n912 I2=$abc$27697$n928 I3=$abc$27697$n652 O=$abc$27697$n948 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111000010001000 .gate SB_LUT4 I0=$abc$27697$n950 I1=$abc$27697$n1081 I2=$abc$27697$n951 I3=$false O=$abc$27697$n949 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$27697$n1075 I1=I2C.byte_counter[0] I2=I2C.byte_counter[1] I3=$false O=$abc$27697$n950 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=I2C.byte_counter[3] I1=I2C.byte_counter[4] I2=I2C.byte_counter[5] I3=I2C.byte_counter[7] O=$abc$27697$n951 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=$abc$27697$n1101 I1=$abc$27697$n954_1 I2=I2C.byte_counter[1] I3=$abc$27697$n1116 O=$abc$27697$n1518 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111000000010001 .gate SB_LUT4 I0=$abc$27697$n948 I1=$abc$27697$n1073 I2=$false I3=$false O=$abc$27697$n954_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$27697$n956 I1=$abc$27697$n1075 I2=$abc$27697$n1116 I3=$abc$27697$n948 O=$abc$27697$n1520 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1100010111001100 .gate SB_LUT4 I0=$abc$27697$n949 I1=$abc$27697$n1655 I2=$false I3=$false O=$abc$27697$n956 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$27697$n1656 I1=$abc$27697$n1076 I2=$abc$27697$n958 I3=$false O=$abc$27697$n1522 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 .gate SB_LUT4 I0=$abc$27697$n949 I1=$abc$27697$n1116 I2=$abc$27697$n948 I3=$false O=$abc$27697$n958 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$27697$n1658 I1=$abc$27697$n1079 I2=$abc$27697$n958 I3=$false O=$abc$27697$n1526 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 .gate SB_LUT4 I0=$abc$27697$n964 I1=I2C.byte_counter[6] I2=$abc$27697$n1116 I3=$false O=$abc$27697$n1528 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11000101 .gate SB_LUT4 I0=$abc$27697$n949 I1=$abc$27697$n1081 I2=$abc$27697$n1659 I3=$abc$27697$n948 O=$abc$27697$n964 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011100110011 .gate SB_LUT4 I0=$abc$27697$n1660 I1=$abc$27697$n1082 I2=$abc$27697$n958 I3=$false O=$abc$27697$n1530 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 .gate SB_LUT4 I0=$abc$27697$n967 I1=I2C.is_ack I2=$abc$27697$n1116 I3=$false O=$abc$27697$n1532 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11000101 .gate SB_LUT4 I0=$abc$27697$n968 I1=$abc$27697$n924 I2=$abc$27697$n969 I3=$abc$27697$n652 O=$abc$27697$n967 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000001100001010 .gate SB_LUT4 I0=$abc$27697$n913 I1=$abc$27697$n511 I2=$abc$27697$n918_1 I3=$false O=$abc$27697$n968 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00001101 .gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$abc$27697$n14 I2=$abc$27697$n913 I3=$false O=$abc$27697$n969 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11100000 .gate SB_LUT4 I0=$abc$27697$n936 I1=$abc$27697$n972 I2=$abc$27697$n973 I3=$abc$27697$n971 O=$abc$27697$n1534 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111111110110000 .gate SB_LUT4 I0=$abc$27697$n1962 I1=$abc$27697$n651_1 I2=$abc$27697$n929_1 I3=$abc$27697$n928 O=$abc$27697$n971 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111010000000000 .gate SB_LUT4 I0=$abc$27697$n651_1 I1=$abc$27697$n938 I2=I2C.i2c_state_machine I3=$false O=$abc$27697$n972 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11010000 .gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=$abc$27697$n16 I3=I2C.wr O=$abc$27697$n973 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111110100000000 .gate SB_LUT4 I0=$abc$27697$n1093 I1=$abc$27697$n674 I2=$false I3=$false O=$abc$27697$n1662 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1011 .gate SB_LUT4 I0=UART.tx_clk_counter[1] I1=UART.tx_clk_counter[0] I2=UART.tx_activity I3=$false O=$abc$27697$n1664 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10010000 .gate SB_LUT4 I0=$abc$27697$n1096 I1=$abc$27697$n674 I2=$false I3=$false O=$abc$27697$n1666 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1011 .gate SB_LUT4 I0=$abc$27697$n1097 I1=$abc$27697$n674 I2=$false I3=$false O=$abc$27697$n1668 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1011 .gate SB_LUT4 I0=$abc$27697$n675 I1=$abc$27697$n677 I2=$abc$27697$n672 I3=$false O=$abc$27697$n1669 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000111 .gate SB_LUT4 I0=$abc$27697$n1672 I1=KEYBOARD.row_counter[0] I2=$abc$27697$n29 I3=$false O=$abc$27697$n1674 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 .gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=KEYBOARD.row_counter[1] I2=$false I3=$false O=$abc$27697$n1675 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0110 .gate SB_LUT4 I0=$abc$27697$n29 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$27697$n1677 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01111000 .gate SB_LUT4 I0=$abc$27697$n1678 I1=KEYBOARD.row_counter[2] I2=$abc$27697$n29 I3=$false O=$abc$27697$n1680 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 .gate SB_LUT4 I0=$abc$27697$n26 I1=KEYBOARD.row_counter[3] I2=$abc$27697$n29 I3=$false O=$abc$27697$n1682 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 .gate SB_LUT4 I0=$abc$27697$n987 I1=$abc$27697$n986 I2=$abc$27697$n556_1 I3=$abc$27697$n985 O=$abc$27697$n1702 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111111100001110 .gate SB_LUT4 I0=$abc$27697$n555 I1=KEYBOARD.COLS_SHADOW[0] I2=$abc$27697$n556_1 I3=$false O=$abc$27697$n985 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01100000 .gate SB_LUT4 I0=$abc$27697$n558 I1=KEYBOARD.COLS_SHADOW[2] I2=$abc$27697$n559 I3=$false O=$abc$27697$n986 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01100000 .gate SB_LUT4 I0=$abc$27697$n539 I1=$abc$27697$n525 I2=$abc$27697$n988 I3=$abc$27697$n559 O=$abc$27697$n987 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000011110001 .gate SB_LUT4 I0=$abc$27697$n541 I1=KEYBOARD.COLS_SHADOW[4] I2=$abc$27697$n539 I3=$false O=$abc$27697$n988 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01100000 .gate SB_LUT4 I0=$abc$27697$n556_1 I1=$abc$27697$n1102_1 I2=$abc$27697$n985 I3=$false O=$abc$27697$n1704 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11110001 .gate SB_LUT4 I0=$abc$27697$n531 I1=$abc$27697$n535 I2=KEYBOARD.COLS_SHADOW[5] I3=$abc$27697$n539 O=$abc$27697$n992 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000001111101 .gate SB_LUT4 I0=$abc$27697$n550 I1=KEYBOARD.COLS_SHADOW[1] I2=$abc$27697$n542_1 I3=$false O=$abc$27697$n993 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01100000 .gate SB_LUT4 I0=$abc$27697$n556_1 I1=$abc$27697$n995 I2=$abc$27697$n985 I3=$false O=$abc$27697$n1706 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11110001 .gate SB_LUT4 I0=$abc$27697$n996 I1=$abc$27697$n993 I2=$abc$27697$n559 I3=$abc$27697$n986 O=$abc$27697$n995 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000011110001 .gate SB_LUT4 I0=$abc$27697$n544 I1=$abc$27697$n552 I2=KEYBOARD.COLS_SHADOW[3] I3=$abc$27697$n542_1 O=$abc$27697$n996 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000001111101 .gate SB_LUT4 I0=$abc$27697$n1720 I1=$abc$27697$n1674 I2=$false I3=$false O=$abc$27697$n1709 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1110 .gate SB_LUT4 I0=$abc$27697$n999 I1=$abc$27697$n986 I2=$abc$27697$n556_1 I3=$abc$27697$n985 O=$abc$27697$n1720 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111111100001110 .gate SB_LUT4 I0=$abc$27697$n1104 I1=$abc$27697$n1001 I2=$abc$27697$n993 I3=$abc$27697$n559 O=$abc$27697$n999 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000011111000 .gate SB_LUT4 I0=$abc$27697$n512 I1=$abc$27697$n525 I2=$false I3=$false O=$abc$27697$n1001 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$27697$n1720 I1=$abc$27697$n1677 I2=$false I3=$false O=$abc$27697$n1712 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1110 .gate SB_LUT4 I0=$abc$27697$n1720 I1=$abc$27697$n1680 I2=$false I3=$false O=$abc$27697$n1715 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1110 .gate SB_LUT4 I0=$abc$27697$n1720 I1=$abc$27697$n1682 I2=$false I3=$false O=$abc$27697$n1718 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1110 .gate SB_LUT4 I0=$abc$27697$n555 I1=KEYBOARD.COLS_SHADOW[0] I2=$abc$27697$n556_1 I3=$false O=$abc$27697$n1724 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11000101 .gate SB_LUT4 I0=$abc$27697$n550 I1=KEYBOARD.COLS_SHADOW[1] I2=$abc$27697$n542_1 I3=$false O=$abc$27697$n1726 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11000101 .gate SB_LUT4 I0=$abc$27697$n558 I1=KEYBOARD.COLS_SHADOW[2] I2=$abc$27697$n559 I3=$false O=$abc$27697$n1728 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11000101 .gate SB_LUT4 I0=$abc$27697$n552 I1=KEYBOARD.COLS_SHADOW[3] I2=$abc$27697$n544 I3=$false O=$abc$27697$n1730 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11000101 .gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[4] I1=$abc$27697$n541 I2=$abc$27697$n539 I3=$false O=$abc$27697$n1732 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10100011 .gate SB_LUT4 I0=$abc$27697$n535 I1=KEYBOARD.COLS_SHADOW[5] I2=$abc$27697$n531 I3=$false O=$abc$27697$n1734 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11000101 .gate SB_LUT4 I0=$abc$27697$n526 I1=KEYBOARD.COLS_SHADOW[6] I2=$abc$27697$n527_1 I3=$false O=$abc$27697$n1736 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11000101 .gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[7] I1=$abc$27697$n519 I2=$abc$27697$n513 I3=$false O=$abc$27697$n1738 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10100011 .gate SB_LUT4 I0=$abc$27697$n25 I1=KEYBOARD.report[0][0] I2=$abc$27697$n566 I3=$false O=$abc$27697$n1867 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 .gate SB_LUT4 I0=$abc$27697$n25 I1=KEYBOARD.report[0][1] I2=$abc$27697$n1015 I3=$false O=$abc$27697$n1870 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$27697$n1015 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$27697$n25 I1=KEYBOARD.report[0][2] I2=$abc$27697$n1017 I3=$false O=$abc$27697$n1873 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.kbd_code_hid[1] I3=$false O=$abc$27697$n1017 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$27697$n25 I1=KEYBOARD.report[0][3] I2=$abc$27697$n1019 I3=$false O=$abc$27697$n1876 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$27697$n1019 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$27697$n25 I1=KEYBOARD.report[0][4] I2=$abc$27697$n1021 I3=$false O=$abc$27697$n1879 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$27697$n1021 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$27697$n25 I1=KEYBOARD.report[0][5] I2=$abc$27697$n1023 I3=$false O=$abc$27697$n1882 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$27697$n1023 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$27697$n25 I1=KEYBOARD.report[0][6] I2=$abc$27697$n1025 I3=$false O=$abc$27697$n1885 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$27697$n1025 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$27697$n25 I1=KEYBOARD.report[0][7] I2=$abc$27697$n695_1 I3=$false O=$abc$27697$n1888 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 .gate SB_LUT4 I0=$abc$27697$n1675 I1=$abc$27697$n1672 I2=$abc$27697$n1678 I3=$false O=$abc$27697$n2024 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000001 .gate SB_LUT4 I0=$abc$27697$n1675 I1=$abc$27697$n1678 I2=$abc$27697$n1672 I3=$false O=$abc$27697$n2026 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$27697$n1672 I1=$abc$27697$n1678 I2=$abc$27697$n1675 I3=$false O=$abc$27697$n2028 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$27697$n1678 I1=$abc$27697$n1672 I2=$abc$27697$n1675 I3=$false O=$abc$27697$n2030 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$27697$n1675 I1=$abc$27697$n1672 I2=$abc$27697$n1678 I3=$false O=$abc$27697$n2031 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$27697$n1675 I1=$abc$27697$n1672 I2=$abc$27697$n1678 I3=$false O=$abc$27697$n2032 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$27697$n1672 I1=$abc$27697$n1675 I2=$abc$27697$n1678 I3=$false O=$abc$27697$n2033 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$27697$n1675 I1=$abc$27697$n1672 I2=$abc$27697$n1678 I3=$false O=$abc$27697$n2034 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$false I2=$false I3=$false O=$abc$27697$n5 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$false I2=$false I3=$false O=$abc$27697$n7 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=LED1 I1=$false I2=$false I3=$false O=COM_DCD .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$27697$n10 I1=$false I2=$false I3=$false O=COM_DSR .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$27697$n12 I1=$false I2=$false I3=$false O=COM_TX .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=UART.tx_activity I1=$false I2=$false I3=$false O=$abc$27697$n21 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$false I2=$false I3=$false O=$abc$27697$n23 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$27697$n25 I1=$false I2=$false I3=$false O=$abc$27697$n24 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$27697$n26 I1=$false I2=$false I3=$false O=$abc$27697$n27 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.received_byte[7] I1=$false I2=$false I3=$false O=$abc$27697$n826 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.received_byte[6] I1=$false I2=$false I3=$false O=$abc$27697$n827 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.received_byte[5] I1=$false I2=$false I3=$false O=$abc$27697$n829 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.received_byte[4] I1=$false I2=$false I3=$false O=$abc$27697$n830 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.received_byte[3] I1=$false I2=$false I3=$false O=$abc$27697$n832 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.received_byte[2] I1=$false I2=$false I3=$false O=$abc$27697$n833 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.received_byte[1] I1=$false I2=$false I3=$false O=$abc$27697$n835 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=i2c_input_data_type[3] I1=$false I2=$false I3=$false O=$abc$27697$n841 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=i2c_input_data_type[1] I1=$false I2=$false I3=$false O=$abc$27697$n844 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=i2c_input_data_type[0] I1=$false I2=$false I3=$false O=$abc$27697$n845 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.byte_counter[7] I1=$false I2=$false I3=$false O=$abc$27697$n847 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.byte_counter[6] I1=$false I2=$false I3=$false O=$abc$27697$n848 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.byte_counter[5] I1=$false I2=$false I3=$false O=$abc$27697$n850 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.byte_counter[4] I1=$false I2=$false I3=$false O=$abc$27697$n851 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.byte_counter[3] I1=$false I2=$false I3=$false O=$abc$27697$n853 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.byte_counter[2] I1=$false I2=$false I3=$false O=$abc$27697$n854 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.byte_counter[0] I1=$false I2=$false I3=$false O=$abc$27697$n857 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$27697$n1914 I1=$false I2=$false I3=$false O=$abc$27697$n1494 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.byte_counter[1] I1=$false I2=$false I3=$false O=$abc$27697$n1889 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$27697$n1073 I1=$false I2=$false I3=$false O=$abc$27697$n1890 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$27697$n1490 I1=$false I2=$false I3=$false O=$abc$27697$n1892 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=KEYBOARD.row_time[1] I1=$false I2=$false I3=$false O=$abc$27697$n1896 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$27697$n1902 I1=$false I2=$false I3=$false O=$abc$27697$n1901 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$27697$n1075 I1=$false I2=$false I3=$false O=$abc$27697$n1906 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$27697$n1079 I1=$false I2=$false I3=$false O=$abc$27697$n1907 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$27697$n1076 I1=$false I2=$false I3=$false O=$abc$27697$n1908 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$27697$n1078 I1=$false I2=$false I3=$false O=$abc$27697$n1909 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$27697$n1081 I1=$false I2=$false I3=$false O=$abc$27697$n1910 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$27697$n1082 I1=$false I2=$false I3=$false O=$abc$27697$n1911 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$27697$n1488 I1=$false I2=$false I3=$false O=$abc$27697$n1912 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$27697$n1492 I1=$false I2=$false I3=$false O=$abc$27697$n1913 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$27697$n1903 I1=$false I2=$false I3=$false O=$abc$27697$n1915 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$27697$n1916 I1=$false I2=$false I3=$false O=$abc$27697$n1917 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$27697$n1918 I1=$false I2=$false I3=$false O=$abc$27697$n1919 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=i2c_input_data_type[2] I1=$false I2=$false I3=$false O=$abc$27697$n1920 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C_INPUT_LEN[1] I1=$false I2=$false I3=$false O=$abc$27697$n1922 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=$false I2=$false I3=$false O=$abc$27697$n1923 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=$false I2=$false I3=$false O=$abc$27697$n1924 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C_INPUT_LEN[4] I1=$false I2=$false I3=$false O=$abc$27697$n1925 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C_INPUT_LEN[5] I1=$false I2=$false I3=$false O=$abc$27697$n1926 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C_INPUT_LEN[6] I1=$false I2=$false I3=$false O=$abc$27697$n1927 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C_INPUT_LEN[7] I1=$false I2=$false I3=$false O=$abc$27697$n1928 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$27697$n571 I1=$abc$27697$n19 I2=$false I3=$false O=$abc$27697$n1087_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$27697$n587 I1=$abc$27697$n611 I2=$abc$27697$n615 I3=$abc$27697$n1115_1 O=$abc$27697$n1089 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000011111000 .gate SB_LUT4 I0=$abc$27697$n591 I1=$abc$27697$n592 I2=I2C.byte_counter[1] I3=$false O=$abc$27697$n1090_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$27697$n1092 I1=I2C.FLT_SCL.RESET I2=I2C.is_read I3=$abc$27697$n578 O=$abc$27697$n455 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000101100110011 .gate SB_LUT4 I0=$abc$27697$n645 I1=i2c_input_data_type[0] I2=$abc$27697$n643 I3=$false O=$abc$27697$n1092 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10010000 .gate SB_LUT4 I0=i2c_input_data_type[0] I1=i2c_input_data_type[3] I2=$abc$27697$n645 I3=$false O=$abc$27697$n1096_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=I2C.is_read I1=$abc$27697$n578 I2=$abc$27697$n643 I3=$abc$27697$n607 O=$abc$27697$n1097_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=$abc$27697$n602 I1=$abc$27697$n1956 I2=$abc$27697$n1961 I3=$false O=$abc$27697$n1098 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$27697$n944 I1=$abc$27697$n1488 I2=$abc$27697$n915 I3=$abc$27697$n939 O=$abc$27697$n1508 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000100011110000 .gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$abc$27697$n947 I3=$false O=$abc$27697$n1101 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10010000 .gate SB_LUT4 I0=$abc$27697$n1103_1 I1=$abc$27697$n993 I2=$false I3=$false O=$abc$27697$n1102_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$27697$n992 I1=$abc$27697$n988 I2=$abc$27697$n542_1 I3=$false O=$abc$27697$n1103_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00001110 .gate SB_LUT4 I0=$abc$27697$n992 I1=$abc$27697$n988 I2=$abc$27697$n996 I3=$false O=$abc$27697$n1104 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11100000 .gate SB_LUT4 I0=$abc$27697$n699 I1=$abc$27697$n681 I2=$abc$27697$n1119 I3=$abc$27697$n714 O=$abc$27697$n556 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111000010001000 .gate SB_LUT4 I0=I2C.SDA_DIR I1=I2C.FLT_SDA.out I2=$false I3=$false O=$abc$27697$n1107 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$27697$n618_1 I1=$abc$27697$n1090_1 I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$27697$n1108_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1011101111110000 .gate SB_LUT4 I0=I2C.byte_counter[3] I1=$abc$27697$n621 I2=$abc$27697$n595 I3=$abc$27697$n1108_1 O=$abc$27697$n1109_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=$abc$27697$n1109_1 I1=$abc$27697$n1089 I2=$abc$27697$n574 I3=$abc$27697$n1087_1 O=$abc$27697$n1110 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000111100000000 .gate SB_LUT4 I0=$abc$27697$n574 I1=$abc$27697$n578 I2=I2C.FLT_SCL.RESET I3=$abc$27697$n1087_1 O=$abc$27697$n1111 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=$abc$27697$n1110 I1=I2C.FLT_SCL.RESET I2=$abc$27697$n1111 I3=I2C.is_read O=$abc$27697$n401 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111000010001000 .gate SB_LUT4 I0=I2C.received_byte[2] I1=I2C.received_byte[0] I2=i2c_input_data_type[0] I3=I2C.received_byte[1] O=$abc$27697$n1113 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100000100000000 .gate SB_LUT4 I0=I2C.received_byte[3] I1=$abc$27697$n1113 I2=$abc$27697$n606 I3=$abc$27697$n590 O=$abc$27697$n1114_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100000011111111 .gate SB_LUT4 I0=$abc$27697$n605 I1=$abc$27697$n602 I2=$abc$27697$n1114_1 I3=$false O=$abc$27697$n1115_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=$abc$27697$n16 I3=I2C.i2c_state_machine O=$abc$27697$n1116 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000011111101 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.report[6][1] I2=KEYBOARD.kbd_code_hid[2] I3=KEYBOARD.report[6][2] O=$abc$27697$n1117_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=$abc$27697$n1117_1 I1=$abc$27697$n710 I2=$abc$27697$n712 I3=$abc$27697$n713_1 O=$abc$27697$n1118_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.report[6][0] I2=$abc$27697$n1118_1 I3=$false O=$abc$27697$n1119 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10010000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[6] I1=KEYBOARD.report[5][6] I2=KEYBOARD.kbd_code_hid[7] I3=KEYBOARD.report[5][7] O=$abc$27697$n1121_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=$abc$27697$n700 I1=$abc$27697$n716 I2=$abc$27697$n1141 I3=$abc$27697$n714 O=$abc$27697$n610 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111100010001000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[6] I1=KEYBOARD.report[3][6] I2=KEYBOARD.kbd_code_hid[7] I3=KEYBOARD.report[3][7] O=$abc$27697$n1124_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=$abc$27697$n683 I1=$abc$27697$n732_1 I2=$abc$27697$n1145_1 I3=$abc$27697$n714 O=$abc$27697$n626 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111100010001000 .gate SB_LUT4 I0=$abc$27697$n803 I1=$abc$27697$n805 I2=$abc$27697$n915 I3=$abc$27697$n658 O=$abc$27697$n1128_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0011010100000000 .gate SB_LUT4 I0=$abc$27697$n915_1 I1=$abc$27697$n1128_1 I2=$abc$27697$n917 I3=$abc$27697$n910 O=$abc$27697$n1129_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1010001100000000 .gate SB_LUT4 I0=$abc$27697$n908 I1=$abc$27697$n909 I2=$abc$27697$n1129_1 I3=$abc$27697$n911 O=$abc$27697$n1130 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000011101111 .gate SB_LUT4 I0=$abc$27697$n913 I1=$abc$27697$n924 I2=$abc$27697$n919 I3=$abc$27697$n1116 O=$abc$27697$n1131_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000010111111 .gate SB_LUT4 I0=$abc$27697$n1131_1 I1=$abc$27697$n652 I2=$abc$27697$n927 I3=$abc$27697$n929_1 O=$abc$27697$n1504 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111111111110010 .gate SB_LUT4 I0=$abc$27697$n1657 I1=$abc$27697$n949 I2=$abc$27697$n1078 I3=$abc$27697$n948 O=$abc$27697$n1133 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000100001111 .gate SB_LUT4 I0=$abc$27697$n1133 I1=I2C.byte_counter[4] I2=$abc$27697$n1116 I3=$false O=$abc$27697$n1524 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11000101 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.report[4][1] I2=KEYBOARD.kbd_code_hid[3] I3=KEYBOARD.report[4][3] O=$abc$27697$n1135_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.report[4][4] I2=KEYBOARD.kbd_code_hid[7] I3=KEYBOARD.report[4][7] O=$abc$27697$n1136 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=$abc$27697$n1135_1 I1=$abc$27697$n1136 I2=$abc$27697$n727 I3=$abc$27697$n730 O=$abc$27697$n1137_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111111111111111 .gate SB_LUT4 I0=$abc$27697$n1137_1 I1=$abc$27697$n25 I2=$false I3=$false O=$abc$27697$n1138_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.report[5][2] I2=KEYBOARD.kbd_code_hid[3] I3=KEYBOARD.report[5][3] O=$abc$27697$n1139 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.report[5][4] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.report[5][5] O=$abc$27697$n1140 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=$abc$27697$n1139 I1=$abc$27697$n1140 I2=$abc$27697$n720 I3=$abc$27697$n1121_1 O=$abc$27697$n1141 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.report[3][2] I2=KEYBOARD.kbd_code_hid[3] I3=KEYBOARD.report[3][3] O=$abc$27697$n1142_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.report[3][4] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.report[3][5] O=$abc$27697$n1144 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=$abc$27697$n1144 I1=$abc$27697$n741_1 I2=$abc$27697$n1124_1 I3=$abc$27697$n1142_1 O=$abc$27697$n1145_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_CARRY CI=$abc$27697$n1915 CO=$auto$alumacc.cc:470:replace_alu$5935.C[2] I0=$false I1=$abc$27697$n1902 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5935.C[2] CO=$auto$alumacc.cc:470:replace_alu$5935.C[3] I0=$false I1=$abc$27697$n1917 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5935.C[3] CO=$abc$27697$n1671 I0=$false I1=$abc$27697$n1919 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=KEYBOARD.kbd_code_hid[3] CO=$auto$alumacc.cc:470:replace_alu$5940.C[5] I0=KEYBOARD.kbd_code_hid[4] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5940.C[5] CO=$auto$alumacc.cc:470:replace_alu$5940.C[6] I0=KEYBOARD.kbd_code_hid[5] I1=$false .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5940.C[6] CO=$auto$alumacc.cc:470:replace_alu$5940.C[7] I0=KEYBOARD.kbd_code_hid[6] I1=$false .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5940.C[7] CO=$abc$27697$n1946 I0=KEYBOARD.kbd_code_hid[7] I1=$false .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=KEYBOARD.kbd_code_hid[0] CO=$auto$alumacc.cc:470:replace_alu$5945.C[2] I0=KEYBOARD.kbd_code_hid[1] I1=$false .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5945.C[2] CO=$auto$alumacc.cc:470:replace_alu$5945.C[3] I0=KEYBOARD.kbd_code_hid[2] I1=$false .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5945.C[3] CO=$auto$alumacc.cc:470:replace_alu$5945.C[4] I0=KEYBOARD.kbd_code_hid[3] I1=$false .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5945.C[4] CO=$auto$alumacc.cc:470:replace_alu$5945.C[5] I0=KEYBOARD.kbd_code_hid[4] I1=$false .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5945.C[5] CO=$auto$alumacc.cc:470:replace_alu$5945.C[6] I0=KEYBOARD.kbd_code_hid[5] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5945.C[6] CO=$auto$alumacc.cc:470:replace_alu$5945.C[7] I0=KEYBOARD.kbd_code_hid[6] I1=$false .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5945.C[7] CO=$abc$27697$n1947 I0=KEYBOARD.kbd_code_hid[7] I1=$false .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$abc$27697$n1912 CO=$auto$alumacc.cc:470:replace_alu$5956.C[2] I0=$false I1=$abc$27697$n1892 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5956.C[2] CO=$auto$alumacc.cc:470:replace_alu$5956.C[3] I0=$false I1=$abc$27697$n1913 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5956.C[3] CO=$abc$27697$n511 I0=$false I1=$abc$27697$n1914 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$abc$27697$n835 CO=$auto$alumacc.cc:470:replace_alu$5961.C[3] I0=$false I1=$abc$27697$n833 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5961.C[3] CO=$auto$alumacc.cc:470:replace_alu$5961.C[4] I0=$false I1=$abc$27697$n832 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5961.C[4] CO=$auto$alumacc.cc:470:replace_alu$5961.C[5] I0=$false I1=$abc$27697$n830 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5961.C[5] CO=$auto$alumacc.cc:470:replace_alu$5961.C[6] I0=$false I1=$abc$27697$n829 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5961.C[6] CO=$auto$alumacc.cc:470:replace_alu$5961.C[7] I0=$false I1=$abc$27697$n827 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5961.C[7] CO=$abc$27697$n1950 I0=$false I1=$abc$27697$n826 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$abc$27697$n845 CO=$auto$alumacc.cc:470:replace_alu$5974.C[2] I0=$false I1=$abc$27697$n844 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5974.C[2] CO=$auto$alumacc.cc:470:replace_alu$5974.C[3] I0=$true I1=$abc$27697$n1920 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5974.C[3] CO=$abc$27697$n1953 I0=$false I1=$abc$27697$n841 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$abc$27697$n857 CO=$auto$alumacc.cc:470:replace_alu$5985.C[2] I0=$true I1=$abc$27697$n1889 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5985.C[2] CO=$auto$alumacc.cc:470:replace_alu$5985.C[3] I0=$false I1=$abc$27697$n854 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5985.C[3] CO=$auto$alumacc.cc:470:replace_alu$5985.C[4] I0=$false I1=$abc$27697$n853 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5985.C[4] CO=$auto$alumacc.cc:470:replace_alu$5985.C[5] I0=$false I1=$abc$27697$n851 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5985.C[5] CO=$auto$alumacc.cc:470:replace_alu$5985.C[6] I0=$false I1=$abc$27697$n850 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5985.C[6] CO=$auto$alumacc.cc:470:replace_alu$5985.C[7] I0=$false I1=$abc$27697$n848 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5985.C[7] CO=$abc$27697$n1956 I0=$false I1=$abc$27697$n847 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$abc$27697$n835 CO=$auto$alumacc.cc:470:replace_alu$5996.C[3] I0=$true I1=$abc$27697$n833 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5996.C[3] CO=$auto$alumacc.cc:470:replace_alu$5996.C[4] I0=$false I1=$abc$27697$n832 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5996.C[4] CO=$auto$alumacc.cc:470:replace_alu$5996.C[5] I0=$false I1=$abc$27697$n830 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5996.C[5] CO=$auto$alumacc.cc:470:replace_alu$5996.C[6] I0=$false I1=$abc$27697$n829 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5996.C[6] CO=$auto$alumacc.cc:470:replace_alu$5996.C[7] I0=$false I1=$abc$27697$n827 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$5996.C[7] CO=$abc$27697$n1959 I0=$false I1=$abc$27697$n826 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$abc$27697$n1922 CO=$auto$alumacc.cc:470:replace_alu$6001.C[3] I0=$false I1=$abc$27697$n1923 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6001.C[3] CO=$auto$alumacc.cc:470:replace_alu$6001.C[4] I0=$false I1=$abc$27697$n1924 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6001.C[4] CO=$auto$alumacc.cc:470:replace_alu$6001.C[5] I0=$false I1=$abc$27697$n1925 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6001.C[5] CO=$auto$alumacc.cc:470:replace_alu$6001.C[6] I0=$false I1=$abc$27697$n1926 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6001.C[6] CO=$auto$alumacc.cc:470:replace_alu$6001.C[7] I0=$false I1=$abc$27697$n1927 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6001.C[7] CO=$abc$27697$n1960 I0=$false I1=$abc$27697$n1928 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$abc$27697$n854 CO=$auto$alumacc.cc:470:replace_alu$6006.C[4] I0=$true I1=$abc$27697$n853 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6006.C[4] CO=$auto$alumacc.cc:470:replace_alu$6006.C[5] I0=$false I1=$abc$27697$n851 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6006.C[5] CO=$auto$alumacc.cc:470:replace_alu$6006.C[6] I0=$false I1=$abc$27697$n850 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6006.C[6] CO=$auto$alumacc.cc:470:replace_alu$6006.C[7] I0=$false I1=$abc$27697$n848 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6006.C[7] CO=$abc$27697$n1961 I0=$false I1=$abc$27697$n847 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$abc$27697$n23 I2=rststate[0] I3=$false O=$abc$27697$n1408 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$false CO=$auto$alumacc.cc:470:replace_alu$6011.C[1] I0=$abc$27697$n23 I1=rststate[0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=rststate[1] I3=$auto$alumacc.cc:470:replace_alu$6011.C[1] O=$abc$27697$n1410 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6011.C[1] CO=$auto$alumacc.cc:470:replace_alu$6011.C[2] I0=$false I1=rststate[1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=rststate[2] I3=$auto$alumacc.cc:470:replace_alu$6011.C[2] O=$abc$27697$n1412 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6011.C[2] CO=$auto$alumacc.cc:470:replace_alu$6011.C[3] I0=$false I1=rststate[2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=rststate[3] I3=$auto$alumacc.cc:470:replace_alu$6011.C[3] O=$abc$27697$n1414 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=I2C.byte_counter[0] I2=$false I3=$true O=$abc$27697$n866 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=I2C.byte_counter[0] CO=$auto$alumacc.cc:470:replace_alu$6014.C[2] I0=I2C.byte_counter[1] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=I2C.byte_counter[2] I2=$false I3=$auto$alumacc.cc:470:replace_alu$6014.C[2] O=$abc$27697$n873 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=I2C.byte_counter[0] I2=$false I3=$true O=$abc$27697$n1393 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=I2C.byte_counter[0] CO=$auto$alumacc.cc:470:replace_alu$6017.C[2] I0=I2C.byte_counter[1] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=I2C.byte_counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$6017.C[2] O=$abc$27697$n1396 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6017.C[2] CO=$auto$alumacc.cc:470:replace_alu$6017.C[3] I0=I2C.byte_counter[2] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=I2C.byte_counter[3] I2=$true I3=$auto$alumacc.cc:470:replace_alu$6017.C[3] O=$abc$27697$n1398 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6017.C[3] CO=$auto$alumacc.cc:470:replace_alu$6017.C[4] I0=I2C.byte_counter[3] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=I2C.byte_counter[4] I2=$true I3=$auto$alumacc.cc:470:replace_alu$6017.C[4] O=$abc$27697$n1400 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6017.C[4] CO=$auto$alumacc.cc:470:replace_alu$6017.C[5] I0=I2C.byte_counter[4] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=I2C.byte_counter[5] I2=$true I3=$auto$alumacc.cc:470:replace_alu$6017.C[5] O=$abc$27697$n1402 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6017.C[5] CO=$auto$alumacc.cc:470:replace_alu$6017.C[6] I0=I2C.byte_counter[5] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=I2C.byte_counter[6] I2=$true I3=$auto$alumacc.cc:470:replace_alu$6017.C[6] O=$abc$27697$n1404 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6017.C[6] CO=$auto$alumacc.cc:470:replace_alu$6017.C[7] I0=I2C.byte_counter[6] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=I2C.byte_counter[7] I2=$true I3=$auto$alumacc.cc:470:replace_alu$6017.C[7] O=$abc$27697$n1406 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$abc$27697$n1890 CO=$auto$alumacc.cc:470:replace_alu$6020.C[3] I0=$false I1=$abc$27697$n1906 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6020.C[3] CO=$auto$alumacc.cc:470:replace_alu$6020.C[4] I0=$false I1=$abc$27697$n1908 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6020.C[4] CO=$auto$alumacc.cc:470:replace_alu$6020.C[5] I0=$false I1=$abc$27697$n1909 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6020.C[5] CO=$auto$alumacc.cc:470:replace_alu$6020.C[6] I0=$false I1=$abc$27697$n1907 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6020.C[6] CO=$auto$alumacc.cc:470:replace_alu$6020.C[7] I0=$false I1=$abc$27697$n1910 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6020.C[7] CO=$abc$27697$n1962 I0=$false I1=$abc$27697$n1911 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$true I2=$abc$27697$n1072 I3=$false O=$abc$27697$n1653 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$abc$27697$n1072 CO=$auto$alumacc.cc:470:replace_alu$6025.C[2] I0=$false I1=$abc$27697$n1073 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$abc$27697$n1075 I3=$auto$alumacc.cc:470:replace_alu$6025.C[2] O=$abc$27697$n1655 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6025.C[2] CO=$auto$alumacc.cc:470:replace_alu$6025.C[3] I0=$false I1=$abc$27697$n1075 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$abc$27697$n1076 I3=$auto$alumacc.cc:470:replace_alu$6025.C[3] O=$abc$27697$n1656 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6025.C[3] CO=$auto$alumacc.cc:470:replace_alu$6025.C[4] I0=$false I1=$abc$27697$n1076 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$abc$27697$n1078 I3=$auto$alumacc.cc:470:replace_alu$6025.C[4] O=$abc$27697$n1657 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6025.C[4] CO=$auto$alumacc.cc:470:replace_alu$6025.C[5] I0=$false I1=$abc$27697$n1078 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$abc$27697$n1079 I3=$auto$alumacc.cc:470:replace_alu$6025.C[5] O=$abc$27697$n1658 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6025.C[5] CO=$auto$alumacc.cc:470:replace_alu$6025.C[6] I0=$false I1=$abc$27697$n1079 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$abc$27697$n1081 I3=$auto$alumacc.cc:470:replace_alu$6025.C[6] O=$abc$27697$n1659 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6025.C[6] CO=$auto$alumacc.cc:470:replace_alu$6025.C[7] I0=$false I1=$abc$27697$n1081 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$abc$27697$n1082 I3=$auto$alumacc.cc:470:replace_alu$6025.C[7] O=$abc$27697$n1660 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$abc$27697$n1488 I2=$false I3=$true O=$abc$27697$n915 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$abc$27697$n1488 CO=$auto$alumacc.cc:470:replace_alu$6028.C[2] I0=$abc$27697$n1490 I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$abc$27697$n1492 I2=$true I3=$auto$alumacc.cc:470:replace_alu$6028.C[2] O=$abc$27697$n917 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6028.C[2] CO=$auto$alumacc.cc:470:replace_alu$6028.C[3] I0=$abc$27697$n1492 I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$abc$27697$n1494 I2=$true I3=$auto$alumacc.cc:470:replace_alu$6028.C[3] O=$abc$27697$n918 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6028.C[3] CO=$abc$27697$n1891 I0=$abc$27697$n1494 I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$true I3=$abc$27697$n1891 O=$abc$27697$n929 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[0] I2=$false I3=$true O=$abc$27697$n1058 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=I2C.FLT_SCL.counter[0] CO=$auto$alumacc.cc:470:replace_alu$6031.C[2] I0=I2C.FLT_SCL.counter[1] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$6031.C[2] O=$abc$27697$n1061 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[0] I2=$false I3=$true O=$abc$27697$n1054 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=I2C.FLT_SDA.counter[0] CO=$auto$alumacc.cc:470:replace_alu$6034.C[2] I0=I2C.FLT_SDA.counter[1] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$6034.C[2] O=$abc$27697$n1057 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$false I2=I2C.byte_counter[0] I3=$false O=$abc$27697$n1283 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=I2C.byte_counter[5] CO=$auto$alumacc.cc:470:replace_alu$6037.C[7] I0=$false I1=I2C.byte_counter[6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=I2C.byte_counter[7] I3=$auto$alumacc.cc:470:replace_alu$6037.C[7] O=$abc$27697$n1288 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_counter[0] I3=$false O=$abc$27697$n1672 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=KEYBOARD.row_counter[0] CO=$auto$alumacc.cc:470:replace_alu$6061.C[2] I0=$false I1=KEYBOARD.row_counter[1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[2] I3=$auto$alumacc.cc:470:replace_alu$6061.C[2] O=$abc$27697$n1678 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6061.C[2] CO=$auto$alumacc.cc:470:replace_alu$6061.C[3] I0=$false I1=KEYBOARD.row_counter[2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[3] I3=$auto$alumacc.cc:470:replace_alu$6061.C[3] O=$abc$27697$n26 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_time[0] I3=$false O=$auto$wreduce.cc:347:run$5921[0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[10] I3=$auto$alumacc.cc:470:replace_alu$6064.C[10] O=$auto$wreduce.cc:347:run$5921[10] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6064.C[10] CO=$auto$alumacc.cc:470:replace_alu$6064.C[11] I0=$false I1=KEYBOARD.row_time[10] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[11] I3=$auto$alumacc.cc:470:replace_alu$6064.C[11] O=$auto$wreduce.cc:347:run$5921[11] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6064.C[11] CO=$auto$alumacc.cc:470:replace_alu$6064.C[12] I0=$false I1=KEYBOARD.row_time[11] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[12] I3=$auto$alumacc.cc:470:replace_alu$6064.C[12] O=$auto$wreduce.cc:347:run$5921[12] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6064.C[12] CO=$auto$alumacc.cc:470:replace_alu$6064.C[13] I0=$false I1=KEYBOARD.row_time[12] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[13] I3=$auto$alumacc.cc:470:replace_alu$6064.C[13] O=$auto$wreduce.cc:347:run$5921[13] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6064.C[13] CO=$auto$alumacc.cc:470:replace_alu$6064.C[14] I0=$false I1=KEYBOARD.row_time[13] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[14] I3=$auto$alumacc.cc:470:replace_alu$6064.C[14] O=$auto$wreduce.cc:347:run$5921[14] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6064.C[14] CO=$auto$alumacc.cc:470:replace_alu$6064.C[15] I0=$false I1=KEYBOARD.row_time[14] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[15] I3=$auto$alumacc.cc:470:replace_alu$6064.C[15] O=$auto$wreduce.cc:347:run$5921[15] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=KEYBOARD.row_time[0] CO=$auto$alumacc.cc:470:replace_alu$6064.C[2] I0=$false I1=KEYBOARD.row_time[1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[2] I3=$auto$alumacc.cc:470:replace_alu$6064.C[2] O=$auto$wreduce.cc:347:run$5921[2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6064.C[2] CO=$auto$alumacc.cc:470:replace_alu$6064.C[3] I0=$false I1=KEYBOARD.row_time[2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[3] I3=$auto$alumacc.cc:470:replace_alu$6064.C[3] O=$auto$wreduce.cc:347:run$5921[3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6064.C[3] CO=$auto$alumacc.cc:470:replace_alu$6064.C[4] I0=$false I1=KEYBOARD.row_time[3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[4] I3=$auto$alumacc.cc:470:replace_alu$6064.C[4] O=$auto$wreduce.cc:347:run$5921[4] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6064.C[4] CO=$auto$alumacc.cc:470:replace_alu$6064.C[5] I0=$false I1=KEYBOARD.row_time[4] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[5] I3=$auto$alumacc.cc:470:replace_alu$6064.C[5] O=$auto$wreduce.cc:347:run$5921[5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6064.C[5] CO=$auto$alumacc.cc:470:replace_alu$6064.C[6] I0=$false I1=KEYBOARD.row_time[5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[6] I3=$auto$alumacc.cc:470:replace_alu$6064.C[6] O=$auto$wreduce.cc:347:run$5921[6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6064.C[6] CO=$auto$alumacc.cc:470:replace_alu$6064.C[7] I0=$false I1=KEYBOARD.row_time[6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[7] I3=$auto$alumacc.cc:470:replace_alu$6064.C[7] O=$auto$wreduce.cc:347:run$5921[7] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6064.C[7] CO=$auto$alumacc.cc:470:replace_alu$6064.C[8] I0=$false I1=KEYBOARD.row_time[7] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[8] I3=$auto$alumacc.cc:470:replace_alu$6064.C[8] O=$auto$wreduce.cc:347:run$5921[8] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6064.C[8] CO=$auto$alumacc.cc:470:replace_alu$6064.C[9] I0=$false I1=KEYBOARD.row_time[8] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[9] I3=$auto$alumacc.cc:470:replace_alu$6064.C[9] O=$auto$wreduce.cc:347:run$5921[9] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6064.C[9] CO=$auto$alumacc.cc:470:replace_alu$6064.C[10] I0=$false I1=KEYBOARD.row_time[9] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[0] I2=$false I3=$true O=$abc$27697$n1093 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=UART.tx_clk_counter[0] CO=$auto$alumacc.cc:470:replace_alu$6067.C[2] I0=UART.tx_clk_counter[1] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$6067.C[2] O=$abc$27697$n1096 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6067.C[2] CO=$auto$alumacc.cc:470:replace_alu$6067.C[3] I0=UART.tx_clk_counter[2] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[3] I2=$true I3=$auto$alumacc.cc:470:replace_alu$6067.C[3] O=$abc$27697$n1097 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[0] I2=$false I3=$true O=$abc$27697$n1903 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=UART.tx_bit_counter[0] CO=$auto$alumacc.cc:470:replace_alu$6070.C[2] I0=UART.tx_bit_counter[1] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$6070.C[2] O=$abc$27697$n1916 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$6070.C[2] CO=$auto$alumacc.cc:470:replace_alu$6070.C[3] I0=UART.tx_bit_counter[2] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[3] I2=$true I3=$auto$alumacc.cc:470:replace_alu$6070.C[3] O=$abc$27697$n1918 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$false I2=$abc$27697$n1915 I3=$true O=$abc$27697$n1328 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$abc$27697$n1915 CO=$auto$alumacc.cc:470:replace_alu$6073.C[2] I0=$false I1=$abc$27697$n1902 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$abc$27697$n1917 I3=$auto$alumacc.cc:470:replace_alu$6073.C[2] O=$abc$27697$n1314 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_DFFESR C=CLK D=$abc$27697$n1284 E=$abc$27697$n259 Q=I2C_HID_DESC.real_adress[0] R=$abc$27697$n23 .attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=I2C.byte_counter[1] E=$abc$27697$n259 Q=I2C_HID_DESC.real_adress[1] R=$abc$27697$n23 .attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=I2C.byte_counter[2] E=$abc$27697$n259 Q=I2C_HID_DESC.real_adress[2] R=$abc$27697$n23 .attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=I2C.byte_counter[3] E=$abc$27697$n259 Q=I2C_HID_DESC.real_adress[3] R=$abc$27697$n23 .attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=I2C.byte_counter[4] E=$abc$27697$n259 Q=I2C_HID_DESC.real_adress[4] R=$abc$27697$n23 .attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$27697$n1285 E=$abc$27697$n259 Q=I2C_HID_DESC.real_adress[5] R=$abc$27697$n23 .attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$27697$n1287 E=$abc$27697$n259 Q=I2C_HID_DESC.real_adress[6] R=$abc$27697$n23 .attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$27697$n1289 E=$abc$27697$n259 Q=I2C_HID_DESC.real_adress[7] R=$abc$27697$n23 .attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFSR C=CLK D=I2C.wr Q=I2C_HID_DESC.last_rd_request R=$abc$27697$n23 .attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=KEYBOARD.ram_wr Q=KEYBOARD.RAM.last_we .attr src "ram.v:8|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFFE C=CLK D=$abc$27697$n1 E=$abc$27697$n278 Q=LED1 .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFF C=CLK D=$0\rststate[3:0][0] Q=rststate[0] .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$0\rststate[3:0][1] Q=rststate[1] .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$0\rststate[3:0][2] Q=rststate[2] .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$0\rststate[3:0][3] Q=rststate[3] .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFFESR C=CLK D=$abc$27697$n1476 E=$abc$27697$n285 Q=I2C_TX_REPORT[0] R=$abc$27697$n741 .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$27697$n1477 E=$abc$27697$n285 Q=I2C_TX_REPORT[1] R=$abc$27697$n741 .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$27697$n1478 E=$abc$27697$n285 Q=I2C_TX_REPORT[2] R=$abc$27697$n741 .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$27697$n1479 E=$abc$27697$n285 Q=I2C_TX_REPORT[3] R=$abc$27697$n741 .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$27697$n1480 E=$abc$27697$n285 Q=I2C_TX_REPORT[4] R=$abc$27697$n741 .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$27697$n1481 E=$abc$27697$n285 Q=I2C_TX_REPORT[5] R=$abc$27697$n741 .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$27697$n1482 E=$abc$27697$n285 Q=I2C_TX_REPORT[6] R=$abc$27697$n741 .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$27697$n1483 E=$abc$27697$n285 Q=I2C_TX_REPORT[7] R=$abc$27697$n741 .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\UART_WR[0:0] E=$abc$27697$n288 Q=UART_WR R=$abc$27697$n23 .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$abc$27697$n1367 E=$abc$27697$n291 Q=UART_TX_DATA[0] S=$abc$27697$n19 .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$abc$27697$n1368 E=$abc$27697$n291 Q=UART_TX_DATA[1] S=$abc$27697$n19 .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$abc$27697$n1369 E=$abc$27697$n291 Q=UART_TX_DATA[2] S=$abc$27697$n19 .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$abc$27697$n1370 E=$abc$27697$n291 Q=UART_TX_DATA[3] S=$abc$27697$n19 .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$abc$27697$n1371 E=$abc$27697$n291 Q=UART_TX_DATA[4] S=$abc$27697$n19 .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$abc$27697$n1372 E=$abc$27697$n291 Q=UART_TX_DATA[5] S=$abc$27697$n19 .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$abc$27697$n1373 E=$abc$27697$n291 Q=UART_TX_DATA[6] S=$abc$27697$n19 .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$abc$27697$n1374 E=$abc$27697$n291 Q=UART_TX_DATA[7] S=$abc$27697$n19 .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$true E=$abc$27697$n295 Q=$abc$27697$n10 .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$abc$27697$n357 Q=temp_output_report[0] .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$abc$27697$n357 Q=temp_output_report[1] .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$abc$27697$n357 Q=temp_output_report[2] .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][0] E=$abc$27697$n401 Q=i2c_input_data_type[0] .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][1] E=$abc$27697$n401 Q=i2c_input_data_type[1] .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][2] E=$abc$27697$n401 Q=i2c_input_data_type[2] .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][3] E=$abc$27697$n401 Q=i2c_input_data_type[3] .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][0] E=$abc$27697$n422 Q=I2C_INPUT_LEN[0] R=$abc$27697$n23 .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][1] E=$abc$27697$n422 Q=I2C_INPUT_LEN[1] R=$abc$27697$n23 .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][2] E=$abc$27697$n422 Q=I2C_INPUT_LEN[2] R=$abc$27697$n23 .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][3] E=$abc$27697$n422 Q=I2C_INPUT_LEN[3] R=$abc$27697$n23 .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][4] E=$abc$27697$n422 Q=I2C_INPUT_LEN[4] R=$abc$27697$n23 .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][5] E=$abc$27697$n422 Q=I2C_INPUT_LEN[5] R=$abc$27697$n23 .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][6] E=$abc$27697$n422 Q=I2C_INPUT_LEN[6] R=$abc$27697$n23 .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][7] E=$abc$27697$n422 Q=I2C_INPUT_LEN[7] R=$abc$27697$n23 .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][0] E=$abc$27697$n434 Q=I2C_OUTPUT_TYPE[0] R=$abc$27697$n23 .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][1] E=$abc$27697$n434 Q=I2C_OUTPUT_TYPE[1] R=$abc$27697$n23 .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][2] E=$abc$27697$n434 Q=I2C_OUTPUT_TYPE[2] R=$abc$27697$n23 .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$27697$n434 Q=I2C_OUT_DESC_MASK[0] R=$abc$27697$n23 .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$27697$n434 Q=I2C_OUT_DESC_MASK[1] R=$abc$27697$n23 .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$27697$n434 Q=I2C_OUT_DESC_MASK[2] R=$abc$27697$n23 .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$27697$n434 Q=I2C_OUT_DESC_MASK[3] R=$abc$27697$n23 .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$27697$n434 Q=I2C_OUT_DESC_MASK[4] R=$abc$27697$n23 .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$27697$n434 Q=I2C_OUT_DESC_MASK[5] R=$abc$27697$n23 .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$27697$n434 Q=I2C_OUT_DESC_MASK[6] R=$abc$27697$n23 .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$27697$n434 Q=I2C_OUT_DESC_MASK[7] R=$abc$27697$n23 .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=temp_output_report[0] E=$abc$27697$n455 Q=LED2 S=$abc$27697$n23 .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=temp_output_report[1] E=$abc$27697$n455 Q=LED3 S=$abc$27697$n23 .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=temp_output_report[2] E=$abc$27697$n455 Q=LED4 S=$abc$27697$n23 .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=I2C.wr E=I2C.FLT_SCL.RESET Q=last_wr .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=I2C_TRANS E=I2C.FLT_SCL.RESET Q=last_trans .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=UART.tx_activity E=I2C.FLT_SCL.RESET Q=last_uart_active .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=KEYBOARD.isr E=I2C.FLT_SCL.RESET Q=last_isr .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\uart_double_ff[0:0] E=$abc$27697$n467 Q=uart_double_ff .attr src "top.v:57|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFN C=CLK D=SCL Q=I2C.SCLF .attr src "i2c_slave.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFN C=CLK D=I2C.SDA_IN Q=I2C.SDAF .attr src "i2c_slave.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFF C=CLK D=$abc$27697$n1534 Q=I2C.wr .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$abc$27697$n1532 Q=I2C.is_ack .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$abc$27697$n1516 Q=I2C.byte_counter[0] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$abc$27697$n1518 Q=I2C.byte_counter[1] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$abc$27697$n1520 Q=I2C.byte_counter[2] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$abc$27697$n1522 Q=I2C.byte_counter[3] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$abc$27697$n1524 Q=I2C.byte_counter[4] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$abc$27697$n1526 Q=I2C.byte_counter[5] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$abc$27697$n1528 Q=I2C.byte_counter[6] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$abc$27697$n1530 Q=I2C.byte_counter[7] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$27697$n478 Q=I2C.received_byte[0] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$27697$n483 Q=I2C.received_byte[1] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$27697$n488 Q=I2C.received_byte[2] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$27697$n493 Q=I2C.received_byte[3] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$27697$n498 Q=I2C.received_byte[4] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$27697$n503 Q=I2C.received_byte[5] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$27697$n510 Q=I2C.received_byte[6] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$27697$n524 Q=I2C.received_byte[7] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFF C=CLK D=$abc$27697$n1508 Q=I2C.i2c_bit_counter[0] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$abc$27697$n1510 Q=I2C.i2c_bit_counter[1] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$abc$27697$n1512 Q=I2C.i2c_bit_counter[2] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$abc$27697$n1514 Q=I2C.i2c_bit_counter[3] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$abc$27697$n1506 Q=I2C.is_read .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFFE C=CLK D=$abc$27697$n1486 E=$abc$27697$n527 Q=I2C.i2c_start_latency .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFF C=CLK D=$abc$27697$n7 Q=$abc$27697$n16 .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$abc$27697$n5 Q=$abc$27697$n14 .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$abc$27697$n1502 Q=I2C.SDA_DIR .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFFE C=CLK D=$abc$27697$n1669 E=I2C.FLT_SCL.RESET Q=UART.tx_activity .attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$27697$n3 E=$abc$27697$n542 Q=$abc$27697$n12 .attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$27697$n1662 E=$abc$27697$n533 Q=UART.tx_clk_counter[0] R=$abc$27697$n23 .attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$27697$n1664 E=$abc$27697$n533 Q=UART.tx_clk_counter[1] R=$abc$27697$n23 .attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$27697$n1666 E=$abc$27697$n533 Q=UART.tx_clk_counter[2] R=$abc$27697$n23 .attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$27697$n1668 E=$abc$27697$n533 Q=UART.tx_clk_counter[3] R=$abc$27697$n23 .attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$abc$27697$n1903 E=$abc$27697$n542 Q=UART.tx_bit_counter[0] S=$abc$27697$n21 .attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$27697$n1901 E=$abc$27697$n542 Q=UART.tx_bit_counter[1] R=$abc$27697$n21 .attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$27697$n1916 E=$abc$27697$n542 Q=UART.tx_bit_counter[2] R=$abc$27697$n21 .attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$abc$27697$n1918 E=$abc$27697$n542 Q=UART.tx_bit_counter[3] S=$abc$27697$n21 .attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=UART_WR E=I2C.FLT_SCL.RESET Q=UART.TX_sig_last .attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[0] E=$abc$27697$n556 Q=KEYBOARD.report[6][0] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[1] E=$abc$27697$n556 Q=KEYBOARD.report[6][1] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[2] E=$abc$27697$n556 Q=KEYBOARD.report[6][2] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[3] E=$abc$27697$n556 Q=KEYBOARD.report[6][3] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[4] E=$abc$27697$n556 Q=KEYBOARD.report[6][4] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[5] E=$abc$27697$n556 Q=KEYBOARD.report[6][5] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[6] E=$abc$27697$n556 Q=KEYBOARD.report[6][6] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[7] E=$abc$27697$n556 Q=KEYBOARD.report[6][7] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFN C=CLK D=KBD_COLUMNS[0] Q=KEYBOARD.COLS_SHADOW[0] .attr src "matrix_kbd.v:37|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFN C=CLK D=KBD_COLUMNS[1] Q=KEYBOARD.COLS_SHADOW[1] .attr src "matrix_kbd.v:37|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFN C=CLK D=KBD_COLUMNS[2] Q=KEYBOARD.COLS_SHADOW[2] .attr src "matrix_kbd.v:37|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFN C=CLK D=KBD_COLUMNS[3] Q=KEYBOARD.COLS_SHADOW[3] .attr src "matrix_kbd.v:37|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFN C=CLK D=KBD_COLUMNS[4] Q=KEYBOARD.COLS_SHADOW[4] .attr src "matrix_kbd.v:37|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFN C=CLK D=KBD_COLUMNS[5] Q=KEYBOARD.COLS_SHADOW[5] .attr src "matrix_kbd.v:37|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFN C=CLK D=KBD_COLUMNS[6] Q=KEYBOARD.COLS_SHADOW[6] .attr src "matrix_kbd.v:37|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFN C=CLK D=KBD_COLUMNS[7] Q=KEYBOARD.COLS_SHADOW[7] .attr src "matrix_kbd.v:37|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[0] E=$abc$27697$n610 Q=KEYBOARD.report[5][0] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[1] E=$abc$27697$n610 Q=KEYBOARD.report[5][1] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[2] E=$abc$27697$n610 Q=KEYBOARD.report[5][2] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[3] E=$abc$27697$n610 Q=KEYBOARD.report[5][3] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[4] E=$abc$27697$n610 Q=KEYBOARD.report[5][4] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[5] E=$abc$27697$n610 Q=KEYBOARD.report[5][5] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[6] E=$abc$27697$n610 Q=KEYBOARD.report[5][6] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[7] E=$abc$27697$n610 Q=KEYBOARD.report[5][7] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[0] E=$abc$27697$n618 Q=KEYBOARD.report[4][0] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[1] E=$abc$27697$n618 Q=KEYBOARD.report[4][1] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[2] E=$abc$27697$n618 Q=KEYBOARD.report[4][2] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[3] E=$abc$27697$n618 Q=KEYBOARD.report[4][3] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[4] E=$abc$27697$n618 Q=KEYBOARD.report[4][4] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[5] E=$abc$27697$n618 Q=KEYBOARD.report[4][5] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[6] E=$abc$27697$n618 Q=KEYBOARD.report[4][6] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[7] E=$abc$27697$n618 Q=KEYBOARD.report[4][7] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[0] E=$abc$27697$n626 Q=KEYBOARD.report[3][0] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[1] E=$abc$27697$n626 Q=KEYBOARD.report[3][1] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[2] E=$abc$27697$n626 Q=KEYBOARD.report[3][2] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[3] E=$abc$27697$n626 Q=KEYBOARD.report[3][3] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[4] E=$abc$27697$n626 Q=KEYBOARD.report[3][4] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[5] E=$abc$27697$n626 Q=KEYBOARD.report[3][5] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[6] E=$abc$27697$n626 Q=KEYBOARD.report[3][6] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[7] E=$abc$27697$n626 Q=KEYBOARD.report[3][7] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[0] E=$abc$27697$n641 Q=KEYBOARD.report[2][0] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[1] E=$abc$27697$n641 Q=KEYBOARD.report[2][1] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[2] E=$abc$27697$n641 Q=KEYBOARD.report[2][2] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[3] E=$abc$27697$n641 Q=KEYBOARD.report[2][3] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[4] E=$abc$27697$n641 Q=KEYBOARD.report[2][4] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[5] E=$abc$27697$n641 Q=KEYBOARD.report[2][5] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[6] E=$abc$27697$n641 Q=KEYBOARD.report[2][6] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[7] E=$abc$27697$n641 Q=KEYBOARD.report[2][7] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[0] E=$abc$27697$n651 Q=KEYBOARD.report[1][0] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[1] E=$abc$27697$n651 Q=KEYBOARD.report[1][1] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[2] E=$abc$27697$n651 Q=KEYBOARD.report[1][2] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[3] E=$abc$27697$n651 Q=KEYBOARD.report[1][3] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[4] E=$abc$27697$n651 Q=KEYBOARD.report[1][4] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[5] E=$abc$27697$n651 Q=KEYBOARD.report[1][5] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[6] E=$abc$27697$n651 Q=KEYBOARD.report[1][6] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[7] E=$abc$27697$n651 Q=KEYBOARD.report[1][7] R=$abc$27697$n25 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$27697$n1867 E=$abc$27697$n659 Q=KEYBOARD.report[0][0] .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$27697$n1870 E=$abc$27697$n659 Q=KEYBOARD.report[0][1] .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$27697$n1873 E=$abc$27697$n659 Q=KEYBOARD.report[0][2] .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$27697$n1876 E=$abc$27697$n659 Q=KEYBOARD.report[0][3] .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$27697$n1879 E=$abc$27697$n659 Q=KEYBOARD.report[0][4] .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$27697$n1882 E=$abc$27697$n659 Q=KEYBOARD.report[0][5] .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$27697$n1885 E=$abc$27697$n659 Q=KEYBOARD.report[0][6] .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$27697$n1888 E=$abc$27697$n659 Q=KEYBOARD.report[0][7] .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$27697$n1672 E=$abc$27697$n667 Q=KEYBOARD.ram_adr[0] .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$27697$n1675 E=$abc$27697$n667 Q=KEYBOARD.ram_adr[1] .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$27697$n1678 E=$abc$27697$n667 Q=KEYBOARD.ram_adr[2] .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$27697$n26 E=$abc$27697$n667 Q=KEYBOARD.ram_adr[3] .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$false E=$abc$27697$n667 Q=KEYBOARD.ram_adr[4] .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$false E=$abc$27697$n667 Q=KEYBOARD.ram_adr[5] .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$false E=$abc$27697$n667 Q=KEYBOARD.ram_adr[6] .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$false E=$abc$27697$n667 Q=KEYBOARD.ram_adr[7] .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$27697$n1699 E=$abc$27697$n665 Q=KEYBOARD.ram_wr .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$27697$n24 E=I2C.FLT_SCL.RESET Q=KEYBOARD.is_pressed .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$27697$n1702 E=I2C.FLT_SCL.RESET Q=KEYBOARD.kbd_code[0] .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$27697$n1704 E=I2C.FLT_SCL.RESET Q=KEYBOARD.kbd_code[1] .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$27697$n1706 E=I2C.FLT_SCL.RESET Q=KEYBOARD.kbd_code[2] .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$27697$n1709 E=I2C.FLT_SCL.RESET Q=KEYBOARD.kbd_code[3] .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$27697$n1712 E=I2C.FLT_SCL.RESET Q=KEYBOARD.kbd_code[4] .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$27697$n1715 E=I2C.FLT_SCL.RESET Q=KEYBOARD.kbd_code[5] .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$27697$n1718 E=I2C.FLT_SCL.RESET Q=KEYBOARD.kbd_code[6] .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$27697$n1720 E=I2C.FLT_SCL.RESET Q=KEYBOARD.kbd_code[7] .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$27697$n2024 E=$abc$27697$n667 Q=KEYBOARD.ROWS_EN[0] R=$abc$27697$n26 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$27697$n2026 E=$abc$27697$n667 Q=KEYBOARD.ROWS_EN[1] R=$abc$27697$n26 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$27697$n2028 E=$abc$27697$n667 Q=KEYBOARD.ROWS_EN[2] R=$abc$27697$n26 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$27697$n2030 E=$abc$27697$n667 Q=KEYBOARD.ROWS_EN[3] R=$abc$27697$n26 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$27697$n2031 E=$abc$27697$n667 Q=KEYBOARD.ROWS_EN[4] R=$abc$27697$n26 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$27697$n2032 E=$abc$27697$n667 Q=KEYBOARD.ROWS_EN[5] R=$abc$27697$n26 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$27697$n2033 E=$abc$27697$n667 Q=KEYBOARD.ROWS_EN[6] R=$abc$27697$n26 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$27697$n2034 E=$abc$27697$n667 Q=KEYBOARD.ROWS_EN[7] R=$abc$27697$n26 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$27697$n2024 E=$abc$27697$n667 Q=KEYBOARD.ROWS_EN[8] R=$abc$27697$n27 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$27697$n2026 E=$abc$27697$n667 Q=KEYBOARD.ROWS_EN[9] R=$abc$27697$n27 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$27697$n2028 E=$abc$27697$n667 Q=KEYBOARD.ROWS_EN[10] R=$abc$27697$n27 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$27697$n2030 E=$abc$27697$n667 Q=KEYBOARD.ROWS_EN[11] R=$abc$27697$n27 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$27697$n2031 E=$abc$27697$n667 Q=KEYBOARD.ROWS_EN[12] R=$abc$27697$n27 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$27697$n2032 E=$abc$27697$n667 Q=KEYBOARD.ROWS_EN[13] R=$abc$27697$n27 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$27697$n2033 E=$abc$27697$n667 Q=KEYBOARD.ROWS_EN[14] R=$abc$27697$n27 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$27697$n2034 E=$abc$27697$n667 Q=KEYBOARD.ROWS_EN[15] R=$abc$27697$n27 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$27697$n44 E=I2C.FLT_SCL.RESET Q=KEYBOARD.isr .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$27697$n1724 E=I2C.FLT_SCL.RESET Q=KEYBOARD.temp[0] .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$27697$n1726 E=I2C.FLT_SCL.RESET Q=KEYBOARD.temp[1] .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$27697$n1728 E=I2C.FLT_SCL.RESET Q=KEYBOARD.temp[2] .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$27697$n1730 E=I2C.FLT_SCL.RESET Q=KEYBOARD.temp[3] .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$27697$n1732 E=I2C.FLT_SCL.RESET Q=KEYBOARD.temp[4] .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$27697$n1734 E=I2C.FLT_SCL.RESET Q=KEYBOARD.temp[5] .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$27697$n1736 E=I2C.FLT_SCL.RESET Q=KEYBOARD.temp[6] .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$27697$n1738 E=I2C.FLT_SCL.RESET Q=KEYBOARD.temp[7] .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$27697$n1674 E=I2C.FLT_SCL.RESET Q=KEYBOARD.row_counter[0] .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$27697$n1677 E=I2C.FLT_SCL.RESET Q=KEYBOARD.row_counter[1] .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$27697$n1680 E=I2C.FLT_SCL.RESET Q=KEYBOARD.row_counter[2] .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$27697$n1682 E=I2C.FLT_SCL.RESET Q=KEYBOARD.row_counter[3] .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$5921[0] E=I2C.FLT_SCL.RESET Q=KEYBOARD.row_time[0] R=$abc$27697$n29 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$27697$n1896 E=$abc$27697$n670 Q=KEYBOARD.row_time[1] R=$abc$27697$n29 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$5921[2] E=I2C.FLT_SCL.RESET Q=KEYBOARD.row_time[2] R=$abc$27697$n29 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$5921[3] E=I2C.FLT_SCL.RESET Q=KEYBOARD.row_time[3] R=$abc$27697$n29 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$5921[4] E=I2C.FLT_SCL.RESET Q=KEYBOARD.row_time[4] R=$abc$27697$n29 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$5921[5] E=I2C.FLT_SCL.RESET Q=KEYBOARD.row_time[5] R=$abc$27697$n29 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$5921[6] E=I2C.FLT_SCL.RESET Q=KEYBOARD.row_time[6] R=$abc$27697$n29 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$5921[7] E=I2C.FLT_SCL.RESET Q=KEYBOARD.row_time[7] R=$abc$27697$n29 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$5921[8] E=I2C.FLT_SCL.RESET Q=KEYBOARD.row_time[8] R=$abc$27697$n29 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$5921[9] E=I2C.FLT_SCL.RESET Q=KEYBOARD.row_time[9] R=$abc$27697$n29 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$5921[10] E=I2C.FLT_SCL.RESET Q=KEYBOARD.row_time[10] R=$abc$27697$n29 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$5921[11] E=I2C.FLT_SCL.RESET Q=KEYBOARD.row_time[11] R=$abc$27697$n29 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$5921[12] E=I2C.FLT_SCL.RESET Q=KEYBOARD.row_time[12] R=$abc$27697$n29 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$5921[13] E=I2C.FLT_SCL.RESET Q=KEYBOARD.row_time[13] R=$abc$27697$n29 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$5921[14] E=I2C.FLT_SCL.RESET Q=KEYBOARD.row_time[14] R=$abc$27697$n29 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$5921[15] E=I2C.FLT_SCL.RESET Q=KEYBOARD.row_time[15] R=$abc$27697$n29 .attr src "matrix_kbd.v:43|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFES C=CLK D=I2C.SDAF E=$abc$27697$n679 Q=I2C.FLT_SDA.out S=$abc$27697$n23 .attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:23" .gate SB_DFFER C=CLK D=$abc$27697$n1291 E=$abc$27697$n680 Q=I2C.FLT_SDA.counter[0] R=$abc$27697$n23 .attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" .gate SB_DFFER C=CLK D=$abc$27697$n1292 E=$abc$27697$n680 Q=I2C.FLT_SDA.counter[1] R=$abc$27697$n23 .attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" .gate SB_DFFER C=CLK D=$abc$27697$n1293 E=$abc$27697$n680 Q=I2C.FLT_SDA.counter[2] R=$abc$27697$n23 .attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" .gate SB_DFFES C=CLK D=I2C.SCLF E=$abc$27697$n689 Q=I2C.FLT_SCL.out S=$abc$27697$n23 .attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:23" .gate SB_DFFER C=CLK D=$abc$27697$n1294 E=$abc$27697$n690 Q=I2C.FLT_SCL.counter[0] R=$abc$27697$n23 .attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" .gate SB_DFFER C=CLK D=$abc$27697$n1295 E=$abc$27697$n690 Q=I2C.FLT_SCL.counter[1] R=$abc$27697$n23 .attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" .gate SB_DFFER C=CLK D=$abc$27697$n1296 E=$abc$27697$n690 Q=I2C.FLT_SCL.counter[2] R=$abc$27697$n23 .attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" .gate SB_DFFR C=CLK D=$abc$27697$n1504 Q=I2C.i2c_state_machine R=$abc$27697$n23 .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:12" .gate SB_IO D_IN_0=I2C.SDA_IN D_OUT_0=$false OUTPUT_ENABLE=I2C.SDA_DIR PACKAGE_PIN=SDA .attr src "i2c_slave.v:178" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_RAM40_4K RADDR[0]=I2C_HID_DESC.real_adress[0] RADDR[1]=I2C_HID_DESC.real_adress[1] RADDR[2]=I2C_HID_DESC.real_adress[2] RADDR[3]=I2C_HID_DESC.real_adress[3] RADDR[4]=I2C_HID_DESC.real_adress[4] RADDR[5]=I2C_HID_DESC.real_adress[5] RADDR[6]=I2C_HID_DESC.real_adress[6] RADDR[7]=I2C_HID_DESC.real_adress[7] RCLK=CLK RCLKE=$true RDATA[0]=I2C_HID_DESC.VAL[0] RDATA[1]=I2C_HID_DESC.VAL[1] RDATA[2]=I2C_HID_DESC.VAL[2] RDATA[3]=I2C_HID_DESC.VAL[3] RDATA[4]=I2C_HID_DESC.VAL[4] RDATA[5]=I2C_HID_DESC.VAL[5] RDATA[6]=I2C_HID_DESC.VAL[6] RDATA[7]=I2C_HID_DESC.VAL[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WE=$false .attr src "descriptors.v:143" .param INIT_0 0000000000000000000000000000010000000000000000000000000000001010000000000000000000000000000000110000000000000000000000000000001000000000000000000000000000111111000000000000000100000000000000000000000000000000000000000001111000000000000000000000000000000000 .param INIT_1 0000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000001000000000000000100000000000001000000000010011111000000000000000000000000000001100000000000000000000000000000010100000000000000000000000000000011 .param INIT_2 0000000000000000000000000001010100000000111001110000000000101001000000001110000000000000000110010000000000000111000000000000010100000000000000010000000010100001000000000000011000000000000010010000000000000001000000000000010100000000000000000000000000000000 .param INIT_3 0000000000000101000000001001010100000000000000010000000010000001000000000000100000000000011101010000000000000001000000001001010100000000000000100000000010000001000000000000100000000000100101010000000000000001000000000111010100000000000000010000000000100101 .param INIT_4 0000000000000011000000001001000100000000000000110000000001110101000000000000000100000000100101010000000000000010000000001001000100000000000001010000000000101001000000000000000100000000000110010000000000001000000000000000010100000000000000010000000001110101 .param INIT_5 0000000000000000000000001000000100000000011001010000000000101001000000000000000000000000000110010000000000000111000000000000010100000000011001010000000000100101000000000000000000000000000101010000000000001000000000000111010100000000000001100000000010010101 .param INIT_6 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000 .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 .gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=KEYBOARD.ram_adr[0] RADDR[1]=KEYBOARD.ram_adr[1] RADDR[2]=KEYBOARD.ram_adr[2] RADDR[3]=KEYBOARD.ram_adr[3] RADDR[4]=KEYBOARD.ram_adr[4] RADDR[5]=KEYBOARD.ram_adr[5] RADDR[6]=KEYBOARD.ram_adr[6] RADDR[7]=KEYBOARD.ram_adr[7] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.RAM.r_data[0] RDATA[1]=$techmap6083\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[1] RDATA[2]=KEYBOARD.RAM.r_data[1] RDATA[3]=$techmap6083\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[3] RDATA[4]=KEYBOARD.RAM.r_data[2] RDATA[5]=$techmap6083\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[5] RDATA[6]=KEYBOARD.RAM.r_data[3] RDATA[7]=$techmap6083\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[7] RDATA[8]=KEYBOARD.RAM.r_data[4] RDATA[9]=$techmap6083\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[9] RDATA[10]=KEYBOARD.RAM.r_data[5] RDATA[11]=$techmap6083\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[11] RDATA[12]=KEYBOARD.RAM.r_data[6] RDATA[13]=$techmap6083\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[13] RDATA[14]=KEYBOARD.RAM.r_data[7] RDATA[15]=$techmap6083\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=KEYBOARD.ram_adr[0] WADDR[1]=KEYBOARD.ram_adr[1] WADDR[2]=KEYBOARD.ram_adr[2] WADDR[3]=KEYBOARD.ram_adr[3] WADDR[4]=KEYBOARD.ram_adr[4] WADDR[5]=KEYBOARD.ram_adr[5] WADDR[6]=KEYBOARD.ram_adr[6] WADDR[7]=KEYBOARD.ram_adr[7] WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=$abc$27697$n1228 WDATA[0]=KEYBOARD.temp[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.temp[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.temp[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.temp[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.temp[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.temp[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.temp[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.temp[7] WDATA[15]=$undef WE=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:277|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:35" .param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1 .param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_2 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_3 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_4 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_5 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_6 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_7 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_8 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_9 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_A xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_B xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_C xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_D xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_E xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 .gate SB_RAM40_4K RADDR[0]=KEYBOARD.kbd_code[0] RADDR[1]=KEYBOARD.kbd_code[1] RADDR[2]=KEYBOARD.kbd_code[2] RADDR[3]=KEYBOARD.kbd_code[3] RADDR[4]=KEYBOARD.kbd_code[4] RADDR[5]=KEYBOARD.kbd_code[5] RADDR[6]=KEYBOARD.kbd_code[6] RADDR[7]=KEYBOARD.kbd_code[7] RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.kbd_code_hid[0] RDATA[1]=KEYBOARD.kbd_code_hid[1] RDATA[2]=KEYBOARD.kbd_code_hid[2] RDATA[3]=KEYBOARD.kbd_code_hid[3] RDATA[4]=KEYBOARD.kbd_code_hid[4] RDATA[5]=KEYBOARD.kbd_code_hid[5] RDATA[6]=KEYBOARD.kbd_code_hid[6] RDATA[7]=KEYBOARD.kbd_code_hid[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WE=$false .attr src "matrix_kbd.v:262" .param INIT_0 0000000000000000000000000000000100000000000000010000000000000001000000001110011100000000010110000000000001001100000000000101001100000000000000010000000000000001000000000000000100000000000000010000000011100000000000000011100100000000111000010000000000101001 .param INIT_1 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 .param INIT_2 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 .param INIT_3 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 .param INIT_4 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 .param INIT_5 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 .param INIT_6 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 .param INIT_7 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 .param INIT_8 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 .param INIT_9 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[0] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[0] PACKAGE_PIN=KBD_ROWS[0] .attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[10] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[10] PACKAGE_PIN=KBD_ROWS[10] .attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[11] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[11] PACKAGE_PIN=KBD_ROWS[11] .attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[12] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[12] PACKAGE_PIN=KBD_ROWS[12] .attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[13] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[13] PACKAGE_PIN=KBD_ROWS[13] .attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[14] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[14] PACKAGE_PIN=KBD_ROWS[14] .attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[15] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[15] PACKAGE_PIN=KBD_ROWS[15] .attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[1] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[1] PACKAGE_PIN=KBD_ROWS[1] .attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[2] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[2] PACKAGE_PIN=KBD_ROWS[2] .attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[3] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[3] PACKAGE_PIN=KBD_ROWS[3] .attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[4] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[4] PACKAGE_PIN=KBD_ROWS[4] .attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[5] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[5] PACKAGE_PIN=KBD_ROWS[5] .attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[6] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[6] PACKAGE_PIN=KBD_ROWS[6] .attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[7] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[7] PACKAGE_PIN=KBD_ROWS[7] .attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[8] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[8] PACKAGE_PIN=KBD_ROWS[8] .attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[9] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[9] PACKAGE_PIN=KBD_ROWS[9] .attr src "matrix_kbd.v:288" .param PIN_TYPE 101001 .param PULLUP 0 .names I2C.is_read COM_RTS 1 1 .names CLK I2C.CLK 1 1 .names I2C.byte_counter[0] I2C.COUNTER[0] 1 1 .names I2C.byte_counter[1] I2C.COUNTER[1] 1 1 .names I2C.byte_counter[2] I2C.COUNTER[2] 1 1 .names I2C.byte_counter[3] I2C.COUNTER[3] 1 1 .names I2C.byte_counter[4] I2C.COUNTER[4] 1 1 .names I2C.byte_counter[5] I2C.COUNTER[5] 1 1 .names I2C.byte_counter[6] I2C.COUNTER[6] 1 1 .names I2C.byte_counter[7] I2C.COUNTER[7] 1 1 .names CLK I2C.FLT_SCL.CLK 1 1 .names I2C.SCLF I2C.FLT_SCL.IN 1 1 .names I2C.FLT_SCL.out I2C.FLT_SCL.OUT 1 1 .names CLK I2C.FLT_SDA.CLK 1 1 .names I2C.SDAF I2C.FLT_SDA.IN 1 1 .names I2C.FLT_SDA.out I2C.FLT_SDA.OUT 1 1 .names I2C.FLT_SCL.RESET I2C.FLT_SDA.RESET 1 1 .names I2C.is_ack I2C.IS_ACK 1 1 .names I2C.is_read I2C.IS_READ 1 1 .names I2C_TRANS I2C.IS_TRANSMISSION 1 1 .names I2C.received_byte[0] I2C.RECEIVED_BYTE[0] 1 1 .names I2C.received_byte[1] I2C.RECEIVED_BYTE[1] 1 1 .names I2C.received_byte[2] I2C.RECEIVED_BYTE[2] 1 1 .names I2C.received_byte[3] I2C.RECEIVED_BYTE[3] 1 1 .names I2C.received_byte[4] I2C.RECEIVED_BYTE[4] 1 1 .names I2C.received_byte[5] I2C.RECEIVED_BYTE[5] 1 1 .names I2C.received_byte[6] I2C.RECEIVED_BYTE[6] 1 1 .names I2C.received_byte[7] I2C.RECEIVED_BYTE[7] 1 1 .names I2C.FLT_SCL.RESET I2C.RESET 1 1 .names SCL I2C.SCL 1 1 .names I2C.FLT_SCL.out I2C.SCLD 1 1 .names SDA I2C.SDA 1 1 .names I2C.FLT_SDA.out I2C.SDAD 1 1 .names I2C.wr I2C.WR 1 1 .names I2C.is_ack I2C_ACK 1 1 .names I2C.byte_counter[0] I2C_COUNTER[0] 1 1 .names I2C.byte_counter[1] I2C_COUNTER[1] 1 1 .names I2C.byte_counter[2] I2C_COUNTER[2] 1 1 .names I2C.byte_counter[3] I2C_COUNTER[3] 1 1 .names I2C.byte_counter[4] I2C_COUNTER[4] 1 1 .names I2C.byte_counter[5] I2C_COUNTER[5] 1 1 .names I2C.byte_counter[6] I2C_COUNTER[6] 1 1 .names I2C.byte_counter[7] I2C_COUNTER[7] 1 1 .names I2C.byte_counter[0] I2C_HID_DESC.ADR[0] 1 1 .names I2C.byte_counter[1] I2C_HID_DESC.ADR[1] 1 1 .names I2C.byte_counter[2] I2C_HID_DESC.ADR[2] 1 1 .names I2C.byte_counter[3] I2C_HID_DESC.ADR[3] 1 1 .names I2C.byte_counter[4] I2C_HID_DESC.ADR[4] 1 1 .names I2C.byte_counter[5] I2C_HID_DESC.ADR[5] 1 1 .names I2C.byte_counter[6] I2C_HID_DESC.ADR[6] 1 1 .names I2C.byte_counter[7] I2C_HID_DESC.ADR[7] 1 1 .names CLK I2C_HID_DESC.CLK 1 1 .names I2C_OUTPUT_TYPE[0] I2C_HID_DESC.DESC_TYPE[0] 1 1 .names I2C_OUTPUT_TYPE[1] I2C_HID_DESC.DESC_TYPE[1] 1 1 .names I2C.wr I2C_HID_DESC.RD_REQUEST 1 1 .names I2C.FLT_SCL.RESET I2C_HID_DESC.RESET 1 1 .names I2C.is_read I2C_READ 1 1 .names I2C.received_byte[0] I2C_RX[0] 1 1 .names I2C.received_byte[1] I2C_RX[1] 1 1 .names I2C.received_byte[2] I2C_RX[2] 1 1 .names I2C.received_byte[3] I2C_RX[3] 1 1 .names I2C.received_byte[4] I2C_RX[4] 1 1 .names I2C.received_byte[5] I2C_RX[5] 1 1 .names I2C.received_byte[6] I2C_RX[6] 1 1 .names I2C.received_byte[7] I2C_RX[7] 1 1 .names I2C_HID_DESC.VAL[0] I2C_TX_DESC[0] 1 1 .names I2C_HID_DESC.VAL[1] I2C_TX_DESC[1] 1 1 .names I2C_HID_DESC.VAL[2] I2C_TX_DESC[2] 1 1 .names I2C_HID_DESC.VAL[3] I2C_TX_DESC[3] 1 1 .names I2C_HID_DESC.VAL[4] I2C_TX_DESC[4] 1 1 .names I2C_HID_DESC.VAL[5] I2C_TX_DESC[5] 1 1 .names I2C_HID_DESC.VAL[6] I2C_TX_DESC[6] 1 1 .names I2C_HID_DESC.VAL[7] I2C_TX_DESC[7] 1 1 .names I2C.wr I2C_WR 1 1 .names COM_DCD INT 1 1 .names COM_DCD INTERRUPT 1 1 .names KEYBOARD.isr ISR 1 1 .names COM_DSR KBD_FREEZE 1 1 .names LED2 KBD_LED_STATUS[0] 1 1 .names LED3 KBD_LED_STATUS[1] 1 1 .names LED4 KBD_LED_STATUS[2] 1 1 .names $undef KBD_LED_STATUS[3] 1 1 .names $undef KBD_LED_STATUS[4] 1 1 .names $undef KBD_LED_STATUS[5] 1 1 .names $undef KBD_LED_STATUS[6] 1 1 .names $undef KBD_LED_STATUS[7] 1 1 .names CLK KEYBOARD.CLK 1 1 .names KBD_COLUMNS[0] KEYBOARD.COLUMNS[0] 1 1 .names KBD_COLUMNS[1] KEYBOARD.COLUMNS[1] 1 1 .names KBD_COLUMNS[2] KEYBOARD.COLUMNS[2] 1 1 .names KBD_COLUMNS[3] KEYBOARD.COLUMNS[3] 1 1 .names KBD_COLUMNS[4] KEYBOARD.COLUMNS[4] 1 1 .names KBD_COLUMNS[5] KEYBOARD.COLUMNS[5] 1 1 .names KBD_COLUMNS[6] KEYBOARD.COLUMNS[6] 1 1 .names KBD_COLUMNS[7] KEYBOARD.COLUMNS[7] 1 1 .names KEYBOARD.isr KEYBOARD.INT 1 1 .names KEYBOARD.ram_adr[0] KEYBOARD.RAM.addr[0] 1 1 .names KEYBOARD.ram_adr[1] KEYBOARD.RAM.addr[1] 1 1 .names KEYBOARD.ram_adr[2] KEYBOARD.RAM.addr[2] 1 1 .names KEYBOARD.ram_adr[3] KEYBOARD.RAM.addr[3] 1 1 .names KEYBOARD.ram_adr[4] KEYBOARD.RAM.addr[4] 1 1 .names KEYBOARD.ram_adr[5] KEYBOARD.RAM.addr[5] 1 1 .names KEYBOARD.ram_adr[6] KEYBOARD.RAM.addr[6] 1 1 .names KEYBOARD.ram_adr[7] KEYBOARD.RAM.addr[7] 1 1 .names $undef KEYBOARD.RAM.addr[8] 1 1 .names CLK KEYBOARD.RAM.clk 1 1 .names KEYBOARD.RAM.r_data[0] KEYBOARD.RAM.rdata[0] 1 1 .names KEYBOARD.RAM.r_data[1] KEYBOARD.RAM.rdata[1] 1 1 .names KEYBOARD.RAM.r_data[2] KEYBOARD.RAM.rdata[2] 1 1 .names KEYBOARD.RAM.r_data[3] KEYBOARD.RAM.rdata[3] 1 1 .names KEYBOARD.RAM.r_data[4] KEYBOARD.RAM.rdata[4] 1 1 .names KEYBOARD.RAM.r_data[5] KEYBOARD.RAM.rdata[5] 1 1 .names KEYBOARD.RAM.r_data[6] KEYBOARD.RAM.rdata[6] 1 1 .names KEYBOARD.RAM.r_data[7] KEYBOARD.RAM.rdata[7] 1 1 .names KEYBOARD.temp[0] KEYBOARD.RAM.wdata[0] 1 1 .names KEYBOARD.temp[1] KEYBOARD.RAM.wdata[1] 1 1 .names KEYBOARD.temp[2] KEYBOARD.RAM.wdata[2] 1 1 .names KEYBOARD.temp[3] KEYBOARD.RAM.wdata[3] 1 1 .names KEYBOARD.temp[4] KEYBOARD.RAM.wdata[4] 1 1 .names KEYBOARD.temp[5] KEYBOARD.RAM.wdata[5] 1 1 .names KEYBOARD.temp[6] KEYBOARD.RAM.wdata[6] 1 1 .names KEYBOARD.temp[7] KEYBOARD.RAM.wdata[7] 1 1 .names KEYBOARD.ram_wr KEYBOARD.RAM.wen 1 1 .names I2C.FLT_SCL.RESET KEYBOARD.RESET 1 1 .names KBD_ROWS[0] KEYBOARD.ROWS[0] 1 1 .names KBD_ROWS[1] KEYBOARD.ROWS[1] 1 1 .names KBD_ROWS[2] KEYBOARD.ROWS[2] 1 1 .names KBD_ROWS[3] KEYBOARD.ROWS[3] 1 1 .names KBD_ROWS[4] KEYBOARD.ROWS[4] 1 1 .names KBD_ROWS[5] KEYBOARD.ROWS[5] 1 1 .names KBD_ROWS[6] KEYBOARD.ROWS[6] 1 1 .names KBD_ROWS[7] KEYBOARD.ROWS[7] 1 1 .names KBD_ROWS[8] KEYBOARD.ROWS[8] 1 1 .names KBD_ROWS[9] KEYBOARD.ROWS[9] 1 1 .names KBD_ROWS[10] KEYBOARD.ROWS[10] 1 1 .names KBD_ROWS[11] KEYBOARD.ROWS[11] 1 1 .names KBD_ROWS[12] KEYBOARD.ROWS[12] 1 1 .names KBD_ROWS[13] KEYBOARD.ROWS[13] 1 1 .names KBD_ROWS[14] KEYBOARD.ROWS[14] 1 1 .names KBD_ROWS[15] KEYBOARD.ROWS[15] 1 1 .names KEYBOARD.report[0][0] KEYBOARD.kbd_r0[0] 1 1 .names KEYBOARD.report[0][1] KEYBOARD.kbd_r0[1] 1 1 .names KEYBOARD.report[0][2] KEYBOARD.kbd_r0[2] 1 1 .names KEYBOARD.report[0][3] KEYBOARD.kbd_r0[3] 1 1 .names KEYBOARD.report[0][4] KEYBOARD.kbd_r0[4] 1 1 .names KEYBOARD.report[0][5] KEYBOARD.kbd_r0[5] 1 1 .names KEYBOARD.report[0][6] KEYBOARD.kbd_r0[6] 1 1 .names KEYBOARD.report[0][7] KEYBOARD.kbd_r0[7] 1 1 .names KEYBOARD.report[1][0] KEYBOARD.kbd_r2[0] 1 1 .names KEYBOARD.report[1][1] KEYBOARD.kbd_r2[1] 1 1 .names KEYBOARD.report[1][2] KEYBOARD.kbd_r2[2] 1 1 .names KEYBOARD.report[1][3] KEYBOARD.kbd_r2[3] 1 1 .names KEYBOARD.report[1][4] KEYBOARD.kbd_r2[4] 1 1 .names KEYBOARD.report[1][5] KEYBOARD.kbd_r2[5] 1 1 .names KEYBOARD.report[1][6] KEYBOARD.kbd_r2[6] 1 1 .names KEYBOARD.report[1][7] KEYBOARD.kbd_r2[7] 1 1 .names KEYBOARD.report[2][0] KEYBOARD.kbd_r3[0] 1 1 .names KEYBOARD.report[2][1] KEYBOARD.kbd_r3[1] 1 1 .names KEYBOARD.report[2][2] KEYBOARD.kbd_r3[2] 1 1 .names KEYBOARD.report[2][3] KEYBOARD.kbd_r3[3] 1 1 .names KEYBOARD.report[2][4] KEYBOARD.kbd_r3[4] 1 1 .names KEYBOARD.report[2][5] KEYBOARD.kbd_r3[5] 1 1 .names KEYBOARD.report[2][6] KEYBOARD.kbd_r3[6] 1 1 .names KEYBOARD.report[2][7] KEYBOARD.kbd_r3[7] 1 1 .names KEYBOARD.report[3][0] KEYBOARD.kbd_r4[0] 1 1 .names KEYBOARD.report[3][1] KEYBOARD.kbd_r4[1] 1 1 .names KEYBOARD.report[3][2] KEYBOARD.kbd_r4[2] 1 1 .names KEYBOARD.report[3][3] KEYBOARD.kbd_r4[3] 1 1 .names KEYBOARD.report[3][4] KEYBOARD.kbd_r4[4] 1 1 .names KEYBOARD.report[3][5] KEYBOARD.kbd_r4[5] 1 1 .names KEYBOARD.report[3][6] KEYBOARD.kbd_r4[6] 1 1 .names KEYBOARD.report[3][7] KEYBOARD.kbd_r4[7] 1 1 .names KEYBOARD.report[4][0] KEYBOARD.kbd_r5[0] 1 1 .names KEYBOARD.report[4][1] KEYBOARD.kbd_r5[1] 1 1 .names KEYBOARD.report[4][2] KEYBOARD.kbd_r5[2] 1 1 .names KEYBOARD.report[4][3] KEYBOARD.kbd_r5[3] 1 1 .names KEYBOARD.report[4][4] KEYBOARD.kbd_r5[4] 1 1 .names KEYBOARD.report[4][5] KEYBOARD.kbd_r5[5] 1 1 .names KEYBOARD.report[4][6] KEYBOARD.kbd_r5[6] 1 1 .names KEYBOARD.report[4][7] KEYBOARD.kbd_r5[7] 1 1 .names KEYBOARD.report[5][0] KEYBOARD.kbd_r6[0] 1 1 .names KEYBOARD.report[5][1] KEYBOARD.kbd_r6[1] 1 1 .names KEYBOARD.report[5][2] KEYBOARD.kbd_r6[2] 1 1 .names KEYBOARD.report[5][3] KEYBOARD.kbd_r6[3] 1 1 .names KEYBOARD.report[5][4] KEYBOARD.kbd_r6[4] 1 1 .names KEYBOARD.report[5][5] KEYBOARD.kbd_r6[5] 1 1 .names KEYBOARD.report[5][6] KEYBOARD.kbd_r6[6] 1 1 .names KEYBOARD.report[5][7] KEYBOARD.kbd_r6[7] 1 1 .names KEYBOARD.report[6][0] KEYBOARD.kbd_r7[0] 1 1 .names KEYBOARD.report[6][1] KEYBOARD.kbd_r7[1] 1 1 .names KEYBOARD.report[6][2] KEYBOARD.kbd_r7[2] 1 1 .names KEYBOARD.report[6][3] KEYBOARD.kbd_r7[3] 1 1 .names KEYBOARD.report[6][4] KEYBOARD.kbd_r7[4] 1 1 .names KEYBOARD.report[6][5] KEYBOARD.kbd_r7[5] 1 1 .names KEYBOARD.report[6][6] KEYBOARD.kbd_r7[6] 1 1 .names KEYBOARD.report[6][7] KEYBOARD.kbd_r7[7] 1 1 .names $undef KEYBOARD.ram_adr[8] 1 1 .names KEYBOARD.RAM.r_data[0] KEYBOARD.ram_rd[0] 1 1 .names KEYBOARD.RAM.r_data[1] KEYBOARD.ram_rd[1] 1 1 .names KEYBOARD.RAM.r_data[2] KEYBOARD.ram_rd[2] 1 1 .names KEYBOARD.RAM.r_data[3] KEYBOARD.ram_rd[3] 1 1 .names KEYBOARD.RAM.r_data[4] KEYBOARD.ram_rd[4] 1 1 .names KEYBOARD.RAM.r_data[5] KEYBOARD.ram_rd[5] 1 1 .names KEYBOARD.RAM.r_data[6] KEYBOARD.ram_rd[6] 1 1 .names KEYBOARD.RAM.r_data[7] KEYBOARD.ram_rd[7] 1 1 .names I2C_TRANS LED5 1 1 .names I2C.FLT_SCL.RESET RESET 1 1 .names CLK UART.CLK 1 1 .names I2C.FLT_SCL.RESET UART.RESET 1 1 .names UART.tx_activity UART.TX_ACTIVITY 1 1 .names UART_TX_DATA[0] UART.TX_BYTE[0] 1 1 .names UART_TX_DATA[1] UART.TX_BYTE[1] 1 1 .names UART_TX_DATA[2] UART.TX_BYTE[2] 1 1 .names UART_TX_DATA[3] UART.TX_BYTE[3] 1 1 .names UART_TX_DATA[4] UART.TX_BYTE[4] 1 1 .names UART_TX_DATA[5] UART.TX_BYTE[5] 1 1 .names UART_TX_DATA[6] UART.TX_BYTE[6] 1 1 .names UART_TX_DATA[7] UART.TX_BYTE[7] 1 1 .names COM_TX UART.TX_LINE 1 1 .names UART_WR UART.TX_SIGNAL 1 1 .names COM_TX UART.tx_line 1 1 .names UART.tx_activity UART_ACTIVE 1 1 .names COM_TX UART_TX_LINE 1 1 .names KEYBOARD.report[0][0] kbd_report[0][0] 1 1 .names KEYBOARD.report[0][1] kbd_report[0][1] 1 1 .names KEYBOARD.report[0][2] kbd_report[0][2] 1 1 .names KEYBOARD.report[0][3] kbd_report[0][3] 1 1 .names KEYBOARD.report[0][4] kbd_report[0][4] 1 1 .names KEYBOARD.report[0][5] kbd_report[0][5] 1 1 .names KEYBOARD.report[0][6] kbd_report[0][6] 1 1 .names KEYBOARD.report[0][7] kbd_report[0][7] 1 1 .names KEYBOARD.report[1][0] kbd_report[1][0] 1 1 .names KEYBOARD.report[1][1] kbd_report[1][1] 1 1 .names KEYBOARD.report[1][2] kbd_report[1][2] 1 1 .names KEYBOARD.report[1][3] kbd_report[1][3] 1 1 .names KEYBOARD.report[1][4] kbd_report[1][4] 1 1 .names KEYBOARD.report[1][5] kbd_report[1][5] 1 1 .names KEYBOARD.report[1][6] kbd_report[1][6] 1 1 .names KEYBOARD.report[1][7] kbd_report[1][7] 1 1 .names KEYBOARD.report[2][0] kbd_report[2][0] 1 1 .names KEYBOARD.report[2][1] kbd_report[2][1] 1 1 .names KEYBOARD.report[2][2] kbd_report[2][2] 1 1 .names KEYBOARD.report[2][3] kbd_report[2][3] 1 1 .names KEYBOARD.report[2][4] kbd_report[2][4] 1 1 .names KEYBOARD.report[2][5] kbd_report[2][5] 1 1 .names KEYBOARD.report[2][6] kbd_report[2][6] 1 1 .names KEYBOARD.report[2][7] kbd_report[2][7] 1 1 .names KEYBOARD.report[3][0] kbd_report[3][0] 1 1 .names KEYBOARD.report[3][1] kbd_report[3][1] 1 1 .names KEYBOARD.report[3][2] kbd_report[3][2] 1 1 .names KEYBOARD.report[3][3] kbd_report[3][3] 1 1 .names KEYBOARD.report[3][4] kbd_report[3][4] 1 1 .names KEYBOARD.report[3][5] kbd_report[3][5] 1 1 .names KEYBOARD.report[3][6] kbd_report[3][6] 1 1 .names KEYBOARD.report[3][7] kbd_report[3][7] 1 1 .names KEYBOARD.report[4][0] kbd_report[4][0] 1 1 .names KEYBOARD.report[4][1] kbd_report[4][1] 1 1 .names KEYBOARD.report[4][2] kbd_report[4][2] 1 1 .names KEYBOARD.report[4][3] kbd_report[4][3] 1 1 .names KEYBOARD.report[4][4] kbd_report[4][4] 1 1 .names KEYBOARD.report[4][5] kbd_report[4][5] 1 1 .names KEYBOARD.report[4][6] kbd_report[4][6] 1 1 .names KEYBOARD.report[4][7] kbd_report[4][7] 1 1 .names KEYBOARD.report[5][0] kbd_report[5][0] 1 1 .names KEYBOARD.report[5][1] kbd_report[5][1] 1 1 .names KEYBOARD.report[5][2] kbd_report[5][2] 1 1 .names KEYBOARD.report[5][3] kbd_report[5][3] 1 1 .names KEYBOARD.report[5][4] kbd_report[5][4] 1 1 .names KEYBOARD.report[5][5] kbd_report[5][5] 1 1 .names KEYBOARD.report[5][6] kbd_report[5][6] 1 1 .names KEYBOARD.report[5][7] kbd_report[5][7] 1 1 .names KEYBOARD.report[6][0] kbd_report[6][0] 1 1 .names KEYBOARD.report[6][1] kbd_report[6][1] 1 1 .names KEYBOARD.report[6][2] kbd_report[6][2] 1 1 .names KEYBOARD.report[6][3] kbd_report[6][3] 1 1 .names KEYBOARD.report[6][4] kbd_report[6][4] 1 1 .names KEYBOARD.report[6][5] kbd_report[6][5] 1 1 .names KEYBOARD.report[6][6] kbd_report[6][6] 1 1 .names KEYBOARD.report[6][7] kbd_report[6][7] 1 1 .names $undef temp_output_report[3] 1 1 .names $undef temp_output_report[4] 1 1 .names $undef temp_output_report[5] 1 1 .names $undef temp_output_report[6] 1 1 .names $undef temp_output_report[7] 1 1 .end