# Generated by Yosys 0.7 (Apio build) (git sha1 8c071a2, gcc 4.8.4-2ubuntu1~14.04.3 -fPIC -Os) .model top .inputs CLK SCL SDA COM_RX KBD_COLUMNS[0] KBD_COLUMNS[1] KBD_COLUMNS[2] KBD_COLUMNS[3] KBD_COLUMNS[4] KBD_COLUMNS[5] KBD_COLUMNS[6] KBD_COLUMNS[7] KBD_ROWS[0] KBD_ROWS[1] KBD_ROWS[2] KBD_ROWS[3] KBD_ROWS[4] KBD_ROWS[5] KBD_ROWS[6] KBD_ROWS[7] KBD_ROWS[8] KBD_ROWS[9] KBD_ROWS[10] KBD_ROWS[11] KBD_ROWS[12] KBD_ROWS[13] KBD_ROWS[14] KBD_ROWS[15] .outputs LED1 LED2 LED3 LED4 LED5 SDA INTERRUPT COM_TX COM_DCD COM_DSR COM_RTS KBD_ROWS[0] KBD_ROWS[1] KBD_ROWS[2] KBD_ROWS[3] KBD_ROWS[4] KBD_ROWS[5] KBD_ROWS[6] KBD_ROWS[7] KBD_ROWS[8] KBD_ROWS[9] KBD_ROWS[10] KBD_ROWS[11] KBD_ROWS[12] KBD_ROWS[13] KBD_ROWS[14] KBD_ROWS[15] .names $false .names $true 1 .names $undef .gate SB_LUT4 I0=$abc$56607$n658 I1=$abc$56607$n2229 I2=$abc$56607$n663 I3=UART.tx_activity O=$abc$56607$n1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000111111111 .gate SB_LUT4 I0=$abc$56607$n659 I1=$abc$56607$n662 I2=$abc$56607$n2509 I3=$abc$56607$n2495 O=$abc$56607$n658 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000101000001100 .gate SB_LUT4 I0=UART_TX_DATA[4] I1=UART_TX_DATA[6] I2=$abc$56607$n660 I3=$false O=$abc$56607$n659 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 .gate SB_LUT4 I0=$abc$56607$n2518 I1=$abc$56607$n2519 I2=$false I3=$false O=$abc$56607$n660 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0110 .gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=$false I3=$false O=$abc$56607$n2518 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0110 .gate SB_LUT4 I0=UART_TX_DATA[0] I1=UART_TX_DATA[2] I2=$abc$56607$n660 I3=$false O=$abc$56607$n662 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 .gate SB_LUT4 I0=$abc$56607$n664 I1=$abc$56607$n665 I2=$abc$56607$n2495 I3=$abc$56607$n2509 O=$abc$56607$n663 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1010110000000000 .gate SB_LUT4 I0=UART_TX_DATA[5] I1=UART_TX_DATA[7] I2=$abc$56607$n660 I3=$false O=$abc$56607$n664 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 .gate SB_LUT4 I0=UART_TX_DATA[1] I1=UART_TX_DATA[3] I2=$abc$56607$n660 I3=$false O=$abc$56607$n665 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 .gate SB_LUT4 I0=$abc$56607$n667 I1=$abc$56607$n670 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$56607$n3 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11010000 .gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=$false I3=$false O=$abc$56607$n667 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=I2C.i2c_state_machine I1=I2C.i2c_start_latency I2=$false I3=$false O=I2C_TRANS .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=rststate[3] I1=rststate[2] I2=rststate[1] I3=rststate[0] O=I2C.FLT_SCL.RESET .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=last_isr I1=KEYBOARD.isr I2=$false I3=$false O=$abc$56607$n670 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$false I3=$false O=$abc$56607$n19 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1011 .gate SB_LUT4 I0=I2C.byte_counter[0] I1=$abc$56607$n673 I2=$false I3=$false O=$abc$56607$n21 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=I2C.byte_counter[2] I1=I2C.byte_counter[3] I2=$abc$56607$n674 I3=I2C.byte_counter[1] O=$abc$56607$n673 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=I2C.byte_counter[4] I1=I2C.byte_counter[5] I2=I2C.byte_counter[6] I3=I2C.byte_counter[7] O=$abc$56607$n674 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=$abc$56607$n676 I1=$abc$56607$n715 I2=$abc$56607$n728 I3=$abc$56607$n720 O=$abc$56607$n27 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100111100000000 .gate SB_LUT4 I0=$abc$56607$n677 I1=$abc$56607$n701 I2=$abc$56607$n714 I3=$abc$56607$n704 O=$abc$56607$n676 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001111100000000 .gate SB_LUT4 I0=$abc$56607$n678 I1=$abc$56607$n691 I2=KEYBOARD.is_pressed I3=$abc$56607$n696 O=$abc$56607$n677 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1110111100000000 .gate SB_LUT4 I0=$abc$56607$n685 I1=KEYBOARD.COLS_SHADOW[7] I2=$abc$56607$n679 I3=$false O=$abc$56607$n678 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10010000 .gate SB_LUT4 I0=$abc$56607$n680 I1=$abc$56607$n683 I2=$abc$56607$n684 I3=$false O=$abc$56607$n679 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=KEYBOARD.row_time[3] I1=$abc$56607$n682 I2=KEYBOARD.row_time[2] I3=$abc$56607$n681 O=$abc$56607$n680 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=KEYBOARD.row_time[12] I1=KEYBOARD.row_time[14] I2=KEYBOARD.row_time[15] I3=KEYBOARD.row_time[13] O=$abc$56607$n681 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=KEYBOARD.row_time[8] I1=KEYBOARD.row_time[9] I2=KEYBOARD.row_time[10] I3=KEYBOARD.row_time[11] O=$abc$56607$n682 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=KEYBOARD.row_time[5] I1=KEYBOARD.row_time[7] I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[4] O=$abc$56607$n683 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[1] I2=$false I3=$false O=$abc$56607$n684 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=KEYBOARD.RAM.r_data[7] I1=KEYBOARD.temp[7] I2=$abc$56607$n686 I3=$abc$56607$n690 O=$abc$56607$n685 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0101001100110011 .gate SB_LUT4 I0=$abc$56607$n681 I1=$abc$56607$n687 I2=$abc$56607$n688 I3=$abc$56607$n689 O=$abc$56607$n686 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=KEYBOARD.row_time[10] I1=KEYBOARD.row_time[11] I2=$false I3=$false O=$abc$56607$n687 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=KEYBOARD.row_time[8] I1=KEYBOARD.row_time[9] I2=$false I3=$false O=$abc$56607$n688 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[5] I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[7] O=$abc$56607$n689 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=KEYBOARD.row_time[3] I1=KEYBOARD.row_time[2] I2=KEYBOARD.row_time[0] I3=KEYBOARD.row_time[1] O=$abc$56607$n690 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=$abc$56607$n692 I1=KEYBOARD.COLS_SHADOW[6] I2=$abc$56607$n693 I3=$false O=$abc$56607$n691 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10010000 .gate SB_LUT4 I0=KEYBOARD.RAM.r_data[6] I1=KEYBOARD.temp[6] I2=$abc$56607$n686 I3=$abc$56607$n690 O=$abc$56607$n692 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0101001100110011 .gate SB_LUT4 I0=$abc$56607$n680 I1=$abc$56607$n694 I2=$abc$56607$n695 I3=$false O=$abc$56607$n693 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[1] I2=$false I3=$false O=$abc$56607$n694 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 .gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[6] I2=KEYBOARD.row_time[7] I3=KEYBOARD.row_time[5] O=$abc$56607$n695 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[6] I1=$abc$56607$n692 I2=$abc$56607$n693 I3=$abc$56607$n697 O=$abc$56607$n696 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000011101111 .gate SB_LUT4 I0=$abc$56607$n698 I1=$abc$56607$n699 I2=$false I3=$false O=$abc$56607$n697 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$56607$n681 I1=$abc$56607$n687 I2=KEYBOARD.row_time[8] I3=KEYBOARD.row_time[9] O=$abc$56607$n698 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=$abc$56607$n684 I1=$abc$56607$n700 I2=KEYBOARD.row_time[4] I3=KEYBOARD.row_time[5] O=$abc$56607$n699 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=KEYBOARD.row_time[2] I1=KEYBOARD.row_time[3] I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[7] O=$abc$56607$n700 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=$abc$56607$n702 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[5] I3=$abc$56607$n697 O=$abc$56607$n701 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1011001000000000 .gate SB_LUT4 I0=KEYBOARD.RAM.r_data[5] I1=KEYBOARD.temp[5] I2=$abc$56607$n703 I3=$false O=$abc$56607$n702 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 .gate SB_LUT4 I0=$abc$56607$n686 I1=$abc$56607$n690 I2=$false I3=$false O=$abc$56607$n703 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$56607$n705 I1=$abc$56607$n709 I2=$abc$56607$n711 I3=$false O=$abc$56607$n704 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000001 .gate SB_LUT4 I0=$abc$56607$n708 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[4] I3=$abc$56607$n706 O=$abc$56607$n705 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1011001000000000 .gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[5] I2=$abc$56607$n698 I3=$abc$56607$n707 O=$abc$56607$n706 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=$abc$56607$n694 I1=$abc$56607$n700 I2=$false I3=$false O=$abc$56607$n707 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=KEYBOARD.RAM.r_data[4] I1=KEYBOARD.temp[4] I2=$abc$56607$n686 I3=$abc$56607$n690 O=$abc$56607$n708 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0101001100110011 .gate SB_LUT4 I0=$abc$56607$n698 I1=$abc$56607$n710 I2=$abc$56607$n695 I3=$false O=$abc$56607$n709 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=KEYBOARD.row_time[2] I1=$abc$56607$n684 I2=KEYBOARD.row_time[3] I3=$false O=$abc$56607$n710 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$56607$n698 I1=$abc$56607$n712 I2=$abc$56607$n713 I3=$false O=$abc$56607$n711 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=KEYBOARD.row_time[6] I1=$abc$56607$n684 I2=KEYBOARD.row_time[7] I3=$false O=$abc$56607$n712 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[5] I2=KEYBOARD.row_time[2] I3=KEYBOARD.row_time[3] O=$abc$56607$n713 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[7] I1=$abc$56607$n685 I2=$abc$56607$n679 I3=$abc$56607$n706 O=$abc$56607$n714 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000011101111 .gate SB_LUT4 I0=$abc$56607$n716 I1=$abc$56607$n718 I2=$false I3=$false O=$abc$56607$n715 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$56607$n717 I1=KEYBOARD.COLS_SHADOW[1] I2=KEYBOARD.is_pressed I3=$abc$56607$n709 O=$abc$56607$n716 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000100000000 .gate SB_LUT4 I0=KEYBOARD.RAM.r_data[1] I1=KEYBOARD.temp[1] I2=$abc$56607$n703 I3=$false O=$abc$56607$n717 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 .gate SB_LUT4 I0=$abc$56607$n719 I1=KEYBOARD.COLS_SHADOW[3] I2=KEYBOARD.is_pressed I3=$abc$56607$n711 O=$abc$56607$n718 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000100000000 .gate SB_LUT4 I0=KEYBOARD.RAM.r_data[3] I1=KEYBOARD.temp[3] I2=$abc$56607$n703 I3=$false O=$abc$56607$n719 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 .gate SB_LUT4 I0=$abc$56607$n721 I1=$abc$56607$n725 I2=$false I3=$false O=$abc$56607$n720 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$56607$n722 I1=KEYBOARD.COLS_SHADOW[2] I2=KEYBOARD.is_pressed I3=$abc$56607$n723 O=$abc$56607$n721 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000100000000 .gate SB_LUT4 I0=KEYBOARD.RAM.r_data[2] I1=KEYBOARD.temp[2] I2=$abc$56607$n703 I3=$false O=$abc$56607$n722 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 .gate SB_LUT4 I0=$abc$56607$n698 I1=$abc$56607$n724 I2=$abc$56607$n683 I3=$false O=$abc$56607$n723 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$56607$n694 I1=KEYBOARD.row_time[2] I2=KEYBOARD.row_time[3] I3=$false O=$abc$56607$n724 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$56607$n726 I1=KEYBOARD.COLS_SHADOW[0] I2=KEYBOARD.is_pressed I3=$abc$56607$n727 O=$abc$56607$n725 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000100000000 .gate SB_LUT4 I0=KEYBOARD.RAM.r_data[0] I1=KEYBOARD.temp[0] I2=$abc$56607$n703 I3=$false O=$abc$56607$n726 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 .gate SB_LUT4 I0=KEYBOARD.row_time[2] I1=$abc$56607$n694 I2=$abc$56607$n686 I3=KEYBOARD.row_time[3] O=$abc$56607$n727 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=$abc$56607$n723 I1=$abc$56607$n727 I2=$false I3=$false O=$abc$56607$n728 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$56607$n730 I1=$abc$56607$n707 I2=$false I3=$false O=$abc$56607$n33 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=$abc$56607$n681 I2=$abc$56607$n731 I3=KEYBOARD.row_time[5] O=$abc$56607$n730 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=$abc$56607$n688 I1=KEYBOARD.row_time[10] I2=KEYBOARD.row_time[11] I3=$false O=$abc$56607$n731 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$56607$n733 I1=$abc$56607$n736 I2=$false I3=$false O=$abc$56607$n842 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1011 .gate SB_LUT4 I0=$abc$56607$n734 I1=init_ram_cnt[7] I2=init_ram_cnt[5] I3=$false O=$abc$56607$n733 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=init_ram_cnt[0] I1=init_ram_cnt[1] I2=$abc$56607$n735 I3=$false O=$abc$56607$n734 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=init_ram_cnt[2] I1=init_ram_cnt[6] I2=init_ram_cnt[4] I3=init_ram_cnt[3] O=$abc$56607$n735 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$56607$n22 I2=$false I3=$false O=$abc$56607$n736 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$56607$n760 I1=$abc$56607$n753 I2=$abc$56607$n756 I3=$abc$56607$n1501_1 O=$abc$56607$n873 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=$abc$56607$n667 I1=$abc$56607$n747 I2=$abc$56607$n742 I3=$false O=$abc$56607$n741 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$56607$n842 I1=$abc$56607$n743 I2=$abc$56607$n746 I3=$false O=$abc$56607$n742 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$56607$n745 I1=$abc$56607$n744 I2=$false I3=$false O=$abc$56607$n743 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=wr_cnt[2] I3=wr_cnt[3] O=$abc$56607$n744 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=last_wr I1=I2C.wr I2=$false I3=$false O=$abc$56607$n745 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$false I3=$false O=$abc$56607$n746 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1001 .gate SB_LUT4 I0=$2\uart_double_ff[0:0] I1=$abc$56607$n19 I2=$false I3=$false O=$abc$56607$n747 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=last_trans I1=I2C_TRANS I2=$false I3=$false O=$2\uart_double_ff[0:0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=I2C_OUTPUT_TYPE[2] I1=I2C_OUTPUT_TYPE[1] I2=I2C_OUTPUT_TYPE[0] I3=$false O=$abc$56607$n751 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$56607$n742 I1=$abc$56607$n667 I2=$abc$56607$n19 I3=$false O=$abc$56607$n988 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$56607$n742 I1=$abc$56607$n747 I2=$abc$56607$n754 I3=$false O=$abc$56607$n753 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00001101 .gate SB_LUT4 I0=$abc$56607$n842 I1=$abc$56607$n755 I2=$false I3=$false O=$abc$56607$n754 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n744 I1=$abc$56607$n745 I2=$abc$56607$n746 I3=$false O=$abc$56607$n755 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$56607$n759 I1=$abc$56607$n758 I2=I2C.FLT_SCL.RESET I3=$abc$56607$n757 O=$abc$56607$n756 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000001001111 .gate SB_LUT4 I0=$abc$56607$n842 I1=$abc$56607$n744 I2=$abc$56607$n746 I3=$false O=$abc$56607$n757 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$56607$n733 I1=$abc$56607$n22 I2=$false I3=$false O=$abc$56607$n758 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$false I3=$false O=$abc$56607$n759 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n763 I1=$abc$56607$n766 I2=$abc$56607$n761 I3=$false O=$abc$56607$n760 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10110000 .gate SB_LUT4 I0=$abc$56607$n842 I1=UART.tx_activity I2=last_uart_active I3=$abc$56607$n762 O=$abc$56607$n761 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100000100000000 .gate SB_LUT4 I0=$abc$56607$n667 I1=$abc$56607$n747 I2=$abc$56607$n743 I3=$abc$56607$n746 O=$abc$56607$n762 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=ring_rd[2] I1=ring_wr[2] I2=$abc$56607$n764 I3=$abc$56607$n765 O=$abc$56607$n763 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000000000 .gate SB_LUT4 I0=ring_rd[1] I1=ring_wr[1] I2=$false I3=$false O=$abc$56607$n764 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1001 .gate SB_LUT4 I0=ring_rd[0] I1=ring_wr[0] I2=ring_rd[3] I3=ring_wr[3] O=$abc$56607$n765 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=I2C_TRANS I1=UART_WR I2=$abc$56607$n751 I3=int_tmr[19] O=$abc$56607$n766 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=$abc$56607$n768 I1=$abc$56607$n772 I2=$false I3=$false O=$abc$56607$n881 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=last_uart_active I1=$abc$56607$n741 I2=UART.tx_activity I3=$abc$56607$n769 O=$abc$56607$n768 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1011111100000000 .gate SB_LUT4 I0=$abc$56607$n842 I1=$abc$56607$n759 I2=$abc$56607$n770 I3=$false O=$abc$56607$n769 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10110000 .gate SB_LUT4 I0=$abc$56607$n733 I1=$abc$56607$n771 I2=I2C.FLT_SCL.RESET I3=$abc$56607$n757 O=$abc$56607$n770 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000001001111 .gate SB_LUT4 I0=$abc$56607$n670 I1=$abc$56607$n22 I2=$false I3=$false O=$abc$56607$n771 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n773 I1=$abc$56607$n842 I2=$abc$56607$n988 I3=$abc$56607$n754 O=$abc$56607$n772 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000001101 .gate SB_LUT4 I0=uart_double_ff I1=$abc$56607$n774 I2=$abc$56607$n762 I3=$false O=$abc$56607$n773 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=UART.tx_activity I1=last_uart_active I2=$false I3=$false O=$abc$56607$n774 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n741 I1=$abc$56607$n774 I2=$abc$56607$n776 I3=$abc$56607$n772 O=$abc$56607$n888 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1101000000000000 .gate SB_LUT4 I0=$abc$56607$n769 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$56607$n776 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$56607$n779 I1=$abc$56607$n778 I2=$abc$56607$n1501_1 I3=$false O=$abc$56607$n901 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$56607$n769 I1=$abc$56607$n753 I2=$false I3=$false O=$abc$56607$n778 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=UART_WR I1=int_tmr[19] I2=$abc$56607$n761 I3=$false O=$abc$56607$n779 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11100000 .gate SB_LUT4 I0=$abc$56607$n782 I1=$abc$56607$n781 I2=$abc$56607$n1501_1 I3=$false O=$abc$56607$n938 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$56607$n761 I1=UART_WR I2=$abc$56607$n769 I3=$abc$56607$n753 O=$abc$56607$n781 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000000000000 .gate SB_LUT4 I0=int_tmr[19] I1=int_tmr[0] I2=UART_WR I3=$abc$56607$n761 O=$abc$56607$n782 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000101100000000 .gate SB_LUT4 I0=$abc$56607$n1501_1 I1=$abc$56607$n781 I2=$false I3=$false O=$abc$56607$n985 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$56607$n22 I1=$3\report_wr_en[0:0] I2=I2C.FLT_SCL.RESET I3=$false O=$abc$56607$n992 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10001111 .gate SB_LUT4 I0=$abc$56607$n733 I1=$abc$56607$n670 I2=$false I3=$false O=$3\report_wr_en[0:0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n769 I1=$abc$56607$n787 I2=$false I3=$false O=$abc$56607$n999 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$56607$n788 I1=$abc$56607$n21 I2=$abc$56607$n754 I3=$abc$56607$n742 O=$abc$56607$n787 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000010001111 .gate SB_LUT4 I0=$abc$56607$n751 I1=I2C.is_read I2=$false I3=$false O=$abc$56607$n788 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$56607$n757 I1=$abc$56607$n791 I2=$abc$56607$n770 I3=$false O=$abc$56607$n1014 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00001101 .gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[2] I2=wr_cnt[1] I3=wr_cnt[3] O=$abc$56607$n791 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=$abc$56607$n770 I1=$abc$56607$n793 I2=$false I3=$false O=$abc$56607$n1018 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n757 I1=$abc$56607$n791 I2=$abc$56607$n736 I3=$abc$56607$n733 O=$abc$56607$n793 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=$abc$56607$n769 I1=$abc$56607$n795 I2=$abc$56607$n1465 I3=$false O=$abc$56607$n1039 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$56607$n754 I1=$abc$56607$n788 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$56607$n795 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11010000 .gate SB_LUT4 I0=$abc$56607$n1180 I1=$abc$56607$n795 I2=$false I3=$false O=$abc$56607$n1050 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$56607$n742 I1=$abc$56607$n769 I2=$false I3=$false O=$abc$56607$n1180 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n808 I1=$abc$56607$n805 I2=$abc$56607$n806 I3=$abc$56607$n807 O=$abc$56607$n804 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1011000010111011 .gate SB_LUT4 I0=I2C.byte_counter[2] I1=$abc$56607$n806 I2=$abc$56607$n674 I3=I2C.byte_counter[3] O=$abc$56607$n805 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$false I3=$false O=$abc$56607$n806 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=I2C.byte_counter[3] I1=I2C.byte_counter[2] I2=$abc$56607$n674 I3=$false O=$abc$56607$n807 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$56607$n809 I1=i2c_input_data_type[0] I2=$false I3=$false O=$abc$56607$n808 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[1] I2=i2c_input_data_type[2] I3=$false O=$abc$56607$n809 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$56607$n811 I1=$abc$56607$n755 I2=$false I3=$false O=$abc$56607$n810 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$56607$n733 I1=$abc$56607$n812 I2=$abc$56607$n673 I3=$false O=$abc$56607$n811 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000001 .gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$abc$56607$n807 I3=$false O=$abc$56607$n812 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=i2c_input_data_type[1] I1=i2c_input_data_type[0] I2=i2c_input_data_type[3] I3=i2c_input_data_type[2] O=$abc$56607$n815_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=$abc$56607$n673 I1=$abc$56607$n807 I2=I2C.is_read I3=$abc$56607$n754 O=$abc$56607$n816_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=$abc$56607$n742 I1=$abc$56607$n19 I2=$abc$56607$n741 I3=$false O=$abc$56607$n819 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00001101 .gate SB_LUT4 I0=i2c_input_data_type[1] I1=i2c_input_data_type[3] I2=i2c_input_data_type[0] I3=i2c_input_data_type[2] O=$abc$56607$n822 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=$abc$56607$n826 I1=$abc$56607$n822 I2=$abc$56607$n829 I3=$abc$56607$n809 O=$abc$56607$n825 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1011000010111011 .gate SB_LUT4 I0=I2C.received_byte[1] I1=I2C.received_byte[2] I2=$abc$56607$n827 I3=I2C.received_byte[0] O=$abc$56607$n826 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=I2C.received_byte[3] I1=I2C.received_byte[4] I2=I2C.received_byte[5] I3=$abc$56607$n828 O=$abc$56607$n827 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=I2C.received_byte[7] I1=I2C.received_byte[6] I2=$false I3=$false O=$abc$56607$n828 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$56607$n830 I1=I2C.received_byte[2] I2=$abc$56607$n827 I3=$false O=$abc$56607$n829 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[1] I2=i2c_input_data_type[0] I3=$false O=$abc$56607$n830 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01110000 .gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[1] I2=i2c_input_data_type[0] I3=$abc$56607$n809 O=$abc$56607$n832 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000101100000000 .gate SB_LUT4 I0=I2C.byte_counter[0] I1=$abc$56607$n673 I2=$abc$56607$n834 I3=I2C.is_read O=$abc$56607$n833 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000001111111 .gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[5] I2=$abc$56607$n828 I3=$abc$56607$n835 O=$abc$56607$n834 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[3] I2=I2C.received_byte[1] I3=I2C.received_byte[2] O=$abc$56607$n835 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=$abc$56607$n988 I1=I2C.is_read I2=$false I3=$false O=$abc$56607$n836 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$56607$n827 I2=I2C.received_byte[1] I3=I2C.received_byte[2] O=$abc$56607$n841 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=$abc$56607$n741 I1=$abc$56607$n753 I2=$abc$56607$n756 I3=$false O=$abc$56607$n1191 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=i2c_input_data_type[1] I1=I2C.is_read I2=$abc$56607$n849 I3=$abc$56607$n988 O=$abc$56607$n848 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=$abc$56607$n1513 I1=i2c_input_data_type[0] I2=$false I3=$false O=$abc$56607$n849 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=I2C_INPUT_LEN[0] I1=$abc$56607$n854 I2=I2C_INPUT_LEN[1] I3=$false O=$abc$56607$n853 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=I2C_INPUT_LEN[2] I2=$abc$56607$n855 I3=$false O=$abc$56607$n854 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=I2C_INPUT_LEN[4] I1=I2C_INPUT_LEN[5] I2=I2C_INPUT_LEN[6] I3=I2C_INPUT_LEN[7] O=$abc$56607$n855 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=I2C_INPUT_LEN[1] I1=$abc$56607$n854 I2=I2C_INPUT_LEN[0] I3=$abc$56607$n815_1 O=$abc$56607$n856 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1011111100000000 .gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=$abc$56607$n858 I2=$abc$56607$n855 I3=$false O=$abc$56607$n857 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=I2C_INPUT_LEN[0] I2=I2C_INPUT_LEN[1] I3=$false O=$abc$56607$n858 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=I2C_INPUT_LEN[1] I1=I2C_INPUT_LEN[0] I2=$abc$56607$n854 I3=$abc$56607$n822 O=$abc$56607$n860 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1110111100000000 .gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=I2C_INPUT_LEN[1] I2=I2C_INPUT_LEN[0] I3=I2C_INPUT_LEN[3] O=$abc$56607$n861 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=i2c_input_data_type[1] I1=i2c_input_data_type[0] I2=i2c_input_data_type[3] I3=i2c_input_data_type[2] O=$abc$56607$n863 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000110100000000 .gate SB_LUT4 I0=$abc$56607$n742 I1=$abc$56607$n19 I2=$abc$56607$n776 I3=$false O=$abc$56607$n1218 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01110000 .gate SB_LUT4 I0=$abc$56607$n754 I1=$abc$56607$n819 I2=$abc$56607$n769 I3=$false O=$abc$56607$n1221 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$56607$n778 I1=$abc$56607$n867 I2=$false I3=$false O=$abc$56607$n1227 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$56607$n741 I1=UART.tx_activity I2=last_uart_active I3=$abc$56607$n988 O=$abc$56607$n867 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000001111101 .gate SB_LUT4 I0=$abc$56607$n746 I1=$abc$56607$n758 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$56607$n1232 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01001111 .gate SB_LUT4 I0=$abc$56607$n742 I1=$abc$56607$n19 I2=$abc$56607$n769 I3=$abc$56607$n870 O=$abc$56607$n1236 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1101000000000000 .gate SB_LUT4 I0=$abc$56607$n741 I1=$abc$56607$n774 I2=$abc$56607$n754 I3=$abc$56607$n988 O=$abc$56607$n870 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000001101 .gate SB_LUT4 I0=$abc$56607$n358 I1=$abc$56607$n880 I2=$abc$56607$n872 I3=$false O=$abc$56607$n1248 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$56607$n360 I1=$abc$56607$n873_1 I2=$false I3=$false O=$abc$56607$n872 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n874 I1=$abc$56607$n878 I2=$abc$56607$n879 I3=$false O=$abc$56607$n873_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$56607$n875 I1=$abc$56607$n877 I2=$false I3=$false O=$abc$56607$n874 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=I2C.i2c_start_latency I1=$abc$56607$n2043 I2=I2C.i2c_state_machine I3=I2C.is_read O=$abc$56607$n875 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1011111100000000 .gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$56607$n14 I2=I2C.FLT_SCL.out I3=$false O=$abc$56607$n2043 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11101111 .gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$56607$n14 I2=I2C.FLT_SCL.out I3=I2C.i2c_state_machine O=$abc$56607$n877 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111111100010000 .gate SB_LUT4 I0=$abc$56607$n1249 I1=$abc$56607$n16 I2=I2C.FLT_SCL.out I3=$false O=$abc$56607$n878 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$56607$n361 I1=$abc$56607$n372 I2=$abc$56607$n2529 I3=$false O=$abc$56607$n879 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=I2C.i2c_bit_counter[0] I1=I2C.i2c_bit_counter[1] I2=$abc$56607$n2043 I3=$false O=$abc$56607$n880 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01100000 .gate SB_LUT4 I0=$abc$56607$n872 I1=$abc$56607$n882 I2=$false I3=$false O=$abc$56607$n1258 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$56607$n880 I1=$abc$56607$n358 I2=$false I3=$false O=$abc$56607$n882 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$56607$n880 I1=$abc$56607$n358 I2=$abc$56607$n872 I3=$false O=$abc$56607$n1268 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$56607$n872 I1=$abc$56607$n885 I2=$false I3=$false O=$abc$56607$n1278 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$56607$n880 I1=$abc$56607$n358 I2=$false I3=$false O=$abc$56607$n885 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n358 I1=$abc$56607$n880 I2=$abc$56607$n887 I3=$false O=$abc$56607$n1283 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$56607$n873_1 I1=$abc$56607$n360 I2=$false I3=$false O=$abc$56607$n887 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$56607$n887 I1=$abc$56607$n882 I2=$false I3=$false O=$abc$56607$n1290 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$56607$n880 I1=$abc$56607$n358 I2=$abc$56607$n887 I3=$false O=$abc$56607$n1297 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$56607$n887 I1=$abc$56607$n885 I2=$false I3=$false O=$abc$56607$n1302 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=I2C.i2c_start_latency I1=I2C.i2c_state_machine I2=$abc$56607$n2043 I3=$false O=$abc$56607$n1305 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01001111 .gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$56607$n893 I2=$false I3=$false O=$abc$56607$n1315 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0111 .gate SB_LUT4 I0=UART_WR I1=UART.TX_sig_last I2=UART.tx_activity I3=$false O=$abc$56607$n893 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00001101 .gate SB_LUT4 I0=$abc$56607$n895 I1=$abc$56607$n898 I2=$abc$56607$n893 I3=I2C.FLT_SCL.RESET O=$abc$56607$n1320 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=$abc$56607$n896 I1=UART.tx_activity I2=$false I3=$false O=$abc$56607$n895 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=UART.tx_clk_counter[1] I1=UART.tx_clk_counter[0] I2=$abc$56607$n897 I3=$false O=$abc$56607$n896 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01100000 .gate SB_LUT4 I0=$abc$56607$n1541 I1=$abc$56607$n1544 I2=$abc$56607$n1545 I3=$false O=$abc$56607$n897 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000001 .gate SB_LUT4 I0=UART.tx_bit_counter[3] I1=$abc$56607$n899 I2=$false I3=$false O=$abc$56607$n898 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=UART.tx_bit_counter[2] I3=UART.tx_activity O=$abc$56607$n899 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=$abc$56607$n1475 I1=$abc$56607$n1495 I2=$abc$56607$n901_1 I3=$abc$56607$n1477 O=$abc$56607$n1328 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=$abc$56607$n1494 I1=$abc$56607$n928 I2=$abc$56607$n926 I3=$false O=$abc$56607$n901_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000111 .gate SB_LUT4 I0=$abc$56607$n912 I1=$abc$56607$n905 I2=$false I3=$false O=$abc$56607$n904 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n906 I1=$abc$56607$n909 I2=$false I3=$false O=$abc$56607$n905 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$56607$n907 I1=$abc$56607$n908 I2=$false I3=$false O=$abc$56607$n906 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=KEYBOARD.report[2][4] I1=KEYBOARD.report[2][5] I2=KEYBOARD.report[2][6] I3=KEYBOARD.report[2][7] O=$abc$56607$n907 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=KEYBOARD.report[2][0] I1=KEYBOARD.report[2][1] I2=KEYBOARD.report[2][2] I3=KEYBOARD.report[2][3] O=$abc$56607$n908 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=$abc$56607$n910 I1=$abc$56607$n911 I2=$false I3=$false O=$abc$56607$n909 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=KEYBOARD.report[1][4] I1=KEYBOARD.report[1][5] I2=KEYBOARD.report[1][6] I3=KEYBOARD.report[1][7] O=$abc$56607$n910 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=KEYBOARD.report[1][0] I1=KEYBOARD.report[1][1] I2=KEYBOARD.report[1][2] I3=KEYBOARD.report[1][3] O=$abc$56607$n911 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=$abc$56607$n913 I1=$abc$56607$n914 I2=$false I3=$false O=$abc$56607$n912 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=KEYBOARD.report[3][4] I1=KEYBOARD.report[3][5] I2=KEYBOARD.report[3][6] I3=KEYBOARD.report[3][7] O=$abc$56607$n913 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=KEYBOARD.report[3][0] I1=KEYBOARD.report[3][1] I2=KEYBOARD.report[3][2] I3=KEYBOARD.report[3][3] O=$abc$56607$n914 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=$abc$56607$n916 I1=$abc$56607$n920 I2=$abc$56607$n923 I3=$false O=$abc$56607$n915 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000001 .gate SB_LUT4 I0=$abc$56607$n917 I1=$abc$56607$n2571 I2=$abc$56607$n2572 I3=$false O=$abc$56607$n916 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$56607$n918 I1=$abc$56607$n919 I2=KEYBOARD.kbd_code_hid[3] I3=$false O=$abc$56607$n917 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$56607$n918 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.kbd_code_hid[4] I3=KEYBOARD.kbd_code_hid[7] O=$abc$56607$n919 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=$abc$56607$n922 I2=$abc$56607$n921 I3=$false O=$abc$56607$n920 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$56607$n921 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.kbd_code_hid[4] I3=KEYBOARD.kbd_code_hid[5] O=$abc$56607$n922 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[0] I1=KEYBOARD.init_ram_cnt[1] I2=$abc$56607$n924 I3=$abc$56607$n925 O=$abc$56607$n923 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[5] I1=KEYBOARD.init_ram_cnt[6] I2=KEYBOARD.init_ram_cnt[7] I3=$false O=$abc$56607$n924 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000001 .gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[2] I1=KEYBOARD.init_ram_cnt[3] I2=KEYBOARD.init_ram_cnt[4] I3=KEYBOARD.init_ram_cnt[8] O=$abc$56607$n925 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=$abc$56607$n27 I1=$abc$56607$n905 I2=$abc$56607$n927 I3=$false O=$abc$56607$n926 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$56607$n915 I1=I2C.FLT_SCL.RESET I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$abc$56607$n927 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$56607$n929 I1=$abc$56607$n930 I2=$false I3=$false O=$abc$56607$n928 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=KEYBOARD.report[4][4] I1=KEYBOARD.report[4][5] I2=KEYBOARD.report[4][6] I3=KEYBOARD.report[4][7] O=$abc$56607$n929 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=KEYBOARD.report[4][0] I1=KEYBOARD.report[4][1] I2=KEYBOARD.report[4][2] I3=KEYBOARD.report[4][3] O=$abc$56607$n930 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=$abc$56607$n937 I1=$abc$56607$n938_1 I2=$abc$56607$n933 I3=$false O=$abc$56607$n932 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01110000 .gate SB_LUT4 I0=$abc$56607$n928 I1=$abc$56607$n934 I2=$false I3=$false O=$abc$56607$n933 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$56607$n935 I1=$abc$56607$n936 I2=$false I3=$false O=$abc$56607$n934 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=kbd_report[5][4] I1=kbd_report[5][5] I2=kbd_report[5][6] I3=kbd_report[5][7] O=$abc$56607$n935 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=kbd_report[5][0] I1=kbd_report[5][1] I2=kbd_report[5][2] I3=kbd_report[5][3] O=$abc$56607$n936 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=KEYBOARD.report[6][4] I1=KEYBOARD.report[6][5] I2=KEYBOARD.report[6][6] I3=KEYBOARD.report[6][7] O=$abc$56607$n937 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=KEYBOARD.report[6][0] I1=KEYBOARD.report[6][1] I2=KEYBOARD.report[6][2] I3=KEYBOARD.report[6][3] O=$abc$56607$n938_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=$abc$56607$n943 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$56607$n1490 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$56607$n923 I1=KEYBOARD.init_ram_cnt[8] I2=$false I3=$false O=$abc$56607$n943 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n945 I1=$abc$56607$n946 I2=$abc$56607$n947 I3=$abc$56607$n948 O=$abc$56607$n944 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.report[6][4] I2=KEYBOARD.report[6][3] I3=KEYBOARD.kbd_code_hid[3] O=$abc$56607$n945 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[6] I1=KEYBOARD.report[6][6] I2=KEYBOARD.report[6][5] I3=KEYBOARD.kbd_code_hid[5] O=$abc$56607$n946 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.report[6][2] I2=KEYBOARD.report[6][1] I3=KEYBOARD.kbd_code_hid[1] O=$abc$56607$n947 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.report[6][7] I2=KEYBOARD.report[6][0] I3=KEYBOARD.kbd_code_hid[0] O=$abc$56607$n948 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=$abc$56607$n950 I1=$abc$56607$n951 I2=$abc$56607$n901_1 I3=$abc$56607$n958 O=$abc$56607$n1407 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=$abc$56607$n1494 I1=$abc$56607$n933 I2=$false I3=$false O=$abc$56607$n950 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$56607$n953 I1=$abc$56607$n952 I2=$false I3=$false O=$abc$56607$n951 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n27 I1=$abc$56607$n927 I2=$false I3=$false O=$abc$56607$n952 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$56607$n954 I1=$abc$56607$n955 I2=$abc$56607$n956 I3=$abc$56607$n957 O=$abc$56607$n953 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=kbd_report[5][7] I2=kbd_report[5][3] I3=KEYBOARD.kbd_code_hid[3] O=$abc$56607$n954 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[6] I1=kbd_report[5][6] I2=kbd_report[5][0] I3=KEYBOARD.kbd_code_hid[0] O=$abc$56607$n955 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=kbd_report[5][4] I2=KEYBOARD.kbd_code_hid[5] I3=kbd_report[5][5] O=$abc$56607$n956 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=kbd_report[5][2] I2=kbd_report[5][1] I3=KEYBOARD.kbd_code_hid[1] O=$abc$56607$n957 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=$abc$56607$n1495 I1=$abc$56607$n959 I2=$false I3=$false O=$abc$56607$n958 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$56607$n915 I1=KEYBOARD.init_ram_cnt[8] I2=I2C.FLT_SCL.RESET I3=$false O=$abc$56607$n959 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01110000 .gate SB_LUT4 I0=$abc$56607$n1494 I1=$abc$56607$n928 I2=$abc$56607$n958 I3=$abc$56607$n961 O=$abc$56607$n1422 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1101000000000000 .gate SB_LUT4 I0=$abc$56607$n952 I1=$abc$56607$n962 I2=$abc$56607$n926 I3=$false O=$abc$56607$n961 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00001101 .gate SB_LUT4 I0=$abc$56607$n963 I1=$abc$56607$n964 I2=$abc$56607$n965 I3=$abc$56607$n966 O=$abc$56607$n962 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.report[4][4] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.report[4][5] O=$abc$56607$n963 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[6] I1=KEYBOARD.report[4][6] I2=KEYBOARD.report[4][0] I3=KEYBOARD.kbd_code_hid[0] O=$abc$56607$n964 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.report[4][7] I2=KEYBOARD.report[4][2] I3=KEYBOARD.kbd_code_hid[2] O=$abc$56607$n965 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.report[4][3] I2=KEYBOARD.report[4][1] I3=KEYBOARD.kbd_code_hid[1] O=$abc$56607$n966 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=$abc$56607$n968 I1=$abc$56607$n1494 I2=$abc$56607$n926 I3=$abc$56607$n959 O=$abc$56607$n1431 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=$abc$56607$n969 I1=$abc$56607$n952 I2=$false I3=$false O=$abc$56607$n968 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n970 I1=$abc$56607$n971 I2=$abc$56607$n972 I3=$abc$56607$n973 O=$abc$56607$n969 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.report[3][3] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.report[3][5] O=$abc$56607$n970 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.report[3][7] I2=KEYBOARD.report[3][4] I3=KEYBOARD.kbd_code_hid[4] O=$abc$56607$n971 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.report[3][2] I2=KEYBOARD.report[3][0] I3=KEYBOARD.kbd_code_hid[0] O=$abc$56607$n972 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[6] I1=KEYBOARD.report[3][6] I2=KEYBOARD.report[3][1] I3=KEYBOARD.kbd_code_hid[1] O=$abc$56607$n973 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=$abc$56607$n952 I1=$abc$56607$n976 I2=$abc$56607$n959 I3=$abc$56607$n975 O=$abc$56607$n1435 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000001101 .gate SB_LUT4 I0=$abc$56607$n906 I1=$abc$56607$n909 I2=$abc$56607$n27 I3=$abc$56607$n927 O=$abc$56607$n975 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000110100000000 .gate SB_LUT4 I0=$abc$56607$n977 I1=$abc$56607$n978 I2=$abc$56607$n979_1 I3=$abc$56607$n980 O=$abc$56607$n976 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.report[2][7] I2=KEYBOARD.report[2][3] I3=KEYBOARD.kbd_code_hid[3] O=$abc$56607$n977 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.report[2][4] I2=KEYBOARD.report[2][0] I3=KEYBOARD.kbd_code_hid[0] O=$abc$56607$n978 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.report[2][2] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.report[2][5] O=$abc$56607$n979_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[6] I1=KEYBOARD.report[2][6] I2=KEYBOARD.report[2][1] I3=KEYBOARD.kbd_code_hid[1] O=$abc$56607$n980 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=$abc$56607$n1516 I1=$abc$56607$n989 I2=$abc$56607$n959 I3=$false O=$abc$56607$n1450 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.report[1][2] I2=$abc$56607$n986 I3=$abc$56607$n987 O=$abc$56607$n985_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000000000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.report[1][1] I2=KEYBOARD.report[1][0] I3=KEYBOARD.kbd_code_hid[0] O=$abc$56607$n986 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=KEYBOARD.report[1][5] I1=KEYBOARD.kbd_code_hid[5] I2=KEYBOARD.kbd_code_hid[4] I3=KEYBOARD.report[1][4] O=$abc$56607$n987 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1011000000001011 .gate SB_LUT4 I0=$abc$56607$n27 I1=$abc$56607$n909 I2=$abc$56607$n927 I3=$false O=$abc$56607$n989 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$56607$n920 I1=$abc$56607$n916 I2=$abc$56607$n943 I3=I2C.FLT_SCL.RESET O=$abc$56607$n1457 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100000011111111 .gate SB_LUT4 I0=$abc$56607$n33 I1=$abc$56607$n1490 I2=$abc$56607$n1484 I3=$false O=$abc$56607$n1462 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10110000 .gate SB_LUT4 I0=$abc$56607$n923 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$56607$n1484 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n33 I1=$abc$56607$n994 I2=$abc$56607$n1490 I3=I2C.FLT_SCL.RESET O=$abc$56607$n1470 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1110111100000000 .gate SB_LUT4 I0=$abc$56607$n681 I1=$abc$56607$n682 I2=$abc$56607$n683 I3=$abc$56607$n690 O=$abc$56607$n994 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=$abc$56607$n33 I1=$abc$56607$n1490 I2=$false I3=$false O=$abc$56607$n1471 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$56607$n976 I1=$abc$56607$n1516 I2=$abc$56607$n998 I3=$abc$56607$n997 O=$abc$56607$n1483 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000010111111 .gate SB_LUT4 I0=$abc$56607$n920 I1=$abc$56607$n916 I2=$abc$56607$n943 I3=I2C.FLT_SCL.RESET O=$abc$56607$n997 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100111100000000 .gate SB_LUT4 I0=$abc$56607$n944 I1=$abc$56607$n953 I2=$abc$56607$n962 I3=$abc$56607$n969 O=$abc$56607$n998 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=$abc$56607$n33 I1=KEYBOARD.row_time[0] I2=$abc$56607$n1490 I3=$false O=$abc$56607$n1489 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11100000 .gate SB_LUT4 I0=I2C_HID_DESC.last_rd_request I1=I2C.wr I2=I2C.FLT_SCL.RESET I3=$false O=$abc$56607$n1492 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01001111 .gate SB_LUT4 I0=$abc$56607$n2481 I1=$abc$56607$n1632 I2=$abc$56607$n1004 I3=$false O=$abc$56607$n1501 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$56607$n1003 I1=$abc$56607$n1635 I2=$false I3=$false O=$abc$56607$n2481 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1110 .gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=I2C.FLT_SDA.counter[2] I3=$false O=$abc$56607$n1003 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000001 .gate SB_LUT4 I0=$abc$56607$n2480 I1=I2C.FLT_SDA.out I2=I2C.SDAF I3=$false O=$abc$56607$n1004 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010100 .gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=$false I3=$false O=$abc$56607$n2480 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1001 .gate SB_LUT4 I0=I2C.FLT_SDA.out I1=I2C.SDAF I2=$abc$56607$n1003 I3=$false O=$abc$56607$n1502 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01101111 .gate SB_LUT4 I0=$abc$56607$n2484 I1=$abc$56607$n1010 I2=$false I3=$false O=$abc$56607$n1511 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n1009 I1=$abc$56607$n1639 I2=$false I3=$false O=$abc$56607$n2484 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1110 .gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$false O=$abc$56607$n1009 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000001 .gate SB_LUT4 I0=$abc$56607$n2483 I1=$abc$56607$n1636 I2=I2C.FLT_SCL.out I3=I2C.SCLF O=$abc$56607$n1010 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100010000 .gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=$false I3=$false O=$abc$56607$n2483 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1001 .gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.SCLF I2=$abc$56607$n1009 I3=$false O=$abc$56607$n1512 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01101111 .gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.byte_counter[0] I2=$false I3=$false O=$abc$56607$n1520 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.byte_counter[1] I2=$false I3=$false O=$abc$56607$n1521 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.byte_counter[2] I2=$false I3=$false O=$abc$56607$n1523 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.byte_counter[3] I2=$false I3=$false O=$abc$56607$n1524 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.byte_counter[4] I2=$false I3=$false O=$abc$56607$n1526 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.byte_counter[5] I2=$false I3=$false O=$abc$56607$n1527 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.byte_counter[6] I2=$false I3=$false O=$abc$56607$n1529 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.byte_counter[7] I2=$false I3=$false O=$abc$56607$n1530 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][0] I2=$false I3=$false O=$10\int_tmr[19:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n667 I1=int_tmr[1] I2=$false I3=$false O=$10\int_tmr[19:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][2] I2=$false I3=$false O=$10\int_tmr[19:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][3] I2=$false I3=$false O=$10\int_tmr[19:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][4] I2=$false I3=$false O=$10\int_tmr[19:0][4] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][5] I2=$false I3=$false O=$10\int_tmr[19:0][5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][6] I2=$false I3=$false O=$10\int_tmr[19:0][6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][7] I2=$false I3=$false O=$10\int_tmr[19:0][7] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][8] I2=$false I3=$false O=$10\int_tmr[19:0][8] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][9] I2=$false I3=$false O=$10\int_tmr[19:0][9] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][10] I2=$false I3=$false O=$10\int_tmr[19:0][10] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][11] I2=$false I3=$false O=$10\int_tmr[19:0][11] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][12] I2=$false I3=$false O=$10\int_tmr[19:0][12] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][13] I2=$false I3=$false O=$10\int_tmr[19:0][13] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][14] I2=$false I3=$false O=$10\int_tmr[19:0][14] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][15] I2=$false I3=$false O=$10\int_tmr[19:0][15] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][16] I2=$false I3=$false O=$10\int_tmr[19:0][16] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][17] I2=$false I3=$false O=$10\int_tmr[19:0][17] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n667 I1=$13\int_tmr[19:0][18] I2=$false I3=$false O=$10\int_tmr[19:0][18] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=int_tmr[19] I1=$abc$56607$n1733 I2=$abc$56607$n667 I3=$false O=$10\int_tmr[19:0][19] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00001110 .gate SB_LUT4 I0=$abc$56607$n1042 I1=I2C.received_byte[0] I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 .gate SB_LUT4 I0=I2C_HID_DESC.VAL[0] I1=REPORT_DATA.r_data[0] I2=I2C_OUT_DESC_MASK[0] I3=$false O=$abc$56607$n1042 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 .gate SB_LUT4 I0=$abc$56607$n1044 I1=I2C.received_byte[1] I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 .gate SB_LUT4 I0=I2C_HID_DESC.VAL[1] I1=REPORT_DATA.r_data[1] I2=I2C_OUT_DESC_MASK[1] I3=$false O=$abc$56607$n1044 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 .gate SB_LUT4 I0=$abc$56607$n1046 I1=I2C.received_byte[2] I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 .gate SB_LUT4 I0=I2C_HID_DESC.VAL[2] I1=REPORT_DATA.r_data[2] I2=I2C_OUT_DESC_MASK[2] I3=$false O=$abc$56607$n1046 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 .gate SB_LUT4 I0=$abc$56607$n1048 I1=I2C.received_byte[3] I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 .gate SB_LUT4 I0=I2C_HID_DESC.VAL[3] I1=REPORT_DATA.r_data[3] I2=I2C_OUT_DESC_MASK[3] I3=$false O=$abc$56607$n1048 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 .gate SB_LUT4 I0=$abc$56607$n1050_1 I1=I2C.received_byte[4] I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][4] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 .gate SB_LUT4 I0=I2C_HID_DESC.VAL[4] I1=REPORT_DATA.r_data[4] I2=I2C_OUT_DESC_MASK[4] I3=$false O=$abc$56607$n1050_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 .gate SB_LUT4 I0=$abc$56607$n1052 I1=I2C.received_byte[5] I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 .gate SB_LUT4 I0=I2C_HID_DESC.VAL[5] I1=REPORT_DATA.r_data[5] I2=I2C_OUT_DESC_MASK[5] I3=$false O=$abc$56607$n1052 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 .gate SB_LUT4 I0=$abc$56607$n1054 I1=I2C.received_byte[6] I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 .gate SB_LUT4 I0=I2C_HID_DESC.VAL[6] I1=REPORT_DATA.r_data[6] I2=I2C_OUT_DESC_MASK[6] I3=$false O=$abc$56607$n1054 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 .gate SB_LUT4 I0=$abc$56607$n1056 I1=I2C.received_byte[7] I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][7] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 .gate SB_LUT4 I0=I2C_HID_DESC.VAL[7] I1=REPORT_DATA.r_data[7] I2=I2C_OUT_DESC_MASK[7] I3=$false O=$abc$56607$n1056 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 .gate SB_LUT4 I0=$abc$56607$n774 I1=$abc$56607$n747 I2=$false I3=$false O=$2\UART_WR[0:0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1011 .gate SB_LUT4 I0=ring_rd[0] I1=$abc$56607$n1811 I2=$abc$56607$n763 I3=$false O=$10\ring_rd[3:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 .gate SB_LUT4 I0=$abc$56607$n763 I1=ring_rd[0] I2=ring_rd[1] I3=$false O=$10\ring_rd[3:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10110100 .gate SB_LUT4 I0=ring_rd[2] I1=$abc$56607$n1817 I2=$abc$56607$n763 I3=$false O=$10\ring_rd[3:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 .gate SB_LUT4 I0=ring_rd[3] I1=$abc$56607$n1820 I2=$abc$56607$n763 I3=$false O=$10\ring_rd[3:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 .gate SB_LUT4 I0=$10\ring_rd[3:0][0] I1=report_data_radr[1] I2=$abc$56607$n21 I3=$false O=$0\report_data_radr[7:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10100011 .gate SB_LUT4 I0=$10\ring_rd[3:0][1] I1=$abc$56607$n1824 I2=$abc$56607$n21 I3=$false O=$0\report_data_radr[7:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 .gate SB_LUT4 I0=$abc$56607$n1827 I1=$10\ring_rd[3:0][0] I2=$10\ring_rd[3:0][2] I3=$abc$56607$n21 O=$0\report_data_radr[7:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0011110010101010 .gate SB_LUT4 I0=$abc$56607$n1830 I1=$abc$56607$n2545 I2=$abc$56607$n2543 I3=$abc$56607$n21 O=$0\report_data_radr[7:0][4] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0011110010101010 .gate SB_LUT4 I0=$10\ring_rd[3:0][0] I1=$10\ring_rd[3:0][2] I2=$false I3=$false O=$abc$56607$n2545 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$10\ring_rd[3:0][1] I1=$10\ring_rd[3:0][3] I2=$false I3=$false O=$abc$56607$n2543 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0110 .gate SB_LUT4 I0=$abc$56607$n1832 I1=$abc$56607$n1833 I2=$abc$56607$n21 I3=$false O=$0\report_data_radr[7:0][5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 .gate SB_LUT4 I0=$abc$56607$n1835 I1=$abc$56607$n1836 I2=$abc$56607$n21 I3=$false O=$0\report_data_radr[7:0][6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 .gate SB_LUT4 I0=$abc$56607$n1838 I1=$abc$56607$n1839 I2=$abc$56607$n21 I3=$false O=$0\report_data_radr[7:0][7] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 .gate SB_LUT4 I0=$abc$56607$n849 I1=$abc$56607$n1075 I2=$abc$56607$n1072 I3=$abc$56607$n745 O=$0\i2c_input_data_type[3:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000111110001000 .gate SB_LUT4 I0=$abc$56607$n1073 I1=I2C.received_byte[0] I2=I2C.received_byte[4] I3=$abc$56607$n1074 O=$abc$56607$n1072 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000001110111 .gate SB_LUT4 I0=$abc$56607$n826 I1=$abc$56607$n2580 I2=$abc$56607$n2570 I3=$abc$56607$n21 O=$abc$56607$n1073 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1011000000000000 .gate SB_LUT4 I0=$abc$56607$n812 I1=$abc$56607$n828 I2=$abc$56607$n835 I3=$false O=$abc$56607$n1074 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$2\uart_double_ff[0:0] I1=$abc$56607$n745 I2=$false I3=$false O=$abc$56607$n1075 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$56607$n1075 I1=$abc$56607$n1077 I2=$abc$56607$n1078 I3=$false O=$0\i2c_input_data_type[3:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11111000 .gate SB_LUT4 I0=$abc$56607$n1513 I1=i2c_input_data_type[1] I2=$false I3=$false O=$abc$56607$n1077 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=I2C.received_byte[1] I1=$abc$56607$n1073 I2=$abc$56607$n1079 I3=$abc$56607$n745 O=$abc$56607$n1078 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111100000000000 .gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[5] I2=$abc$56607$n1074 I3=$false O=$abc$56607$n1079 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01100000 .gate SB_LUT4 I0=$abc$56607$n1075 I1=i2c_input_data_type[2] I2=$abc$56607$n1513 I3=$abc$56607$n1081 O=$0\i2c_input_data_type[3:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111111110000000 .gate SB_LUT4 I0=$abc$56607$n1079 I1=$abc$56607$n1082 I2=$abc$56607$n745 I3=$false O=$abc$56607$n1081 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10110000 .gate SB_LUT4 I0=$abc$56607$n1073 I1=I2C.received_byte[2] I2=$abc$56607$n834 I3=$abc$56607$n812 O=$abc$56607$n1082 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=$abc$56607$n1075 I1=i2c_input_data_type[3] I2=$abc$56607$n1513 I3=$abc$56607$n1084 O=$0\i2c_input_data_type[3:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111111110000000 .gate SB_LUT4 I0=$abc$56607$n1073 I1=$abc$56607$n745 I2=I2C.received_byte[3] I3=$false O=$abc$56607$n1084 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$56607$n764 I1=$abc$56607$n1086 I2=ring_wr[0] I3=$abc$56607$n1902 O=$2\ring_wr[3:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111011101000000 .gate SB_LUT4 I0=$abc$56607$n49 I1=ring_rd[3] I2=$abc$56607$n1911 I3=$abc$56607$n1087 O=$abc$56607$n1086 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100000100000000 .gate SB_LUT4 I0=ring_rd[0] I1=$abc$56607$n1902 I2=ring_rd[2] I3=$abc$56607$n1908 O=$abc$56607$n1087 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=$abc$56607$n1089 I1=ring_wr[0] I2=ring_wr[1] I3=$false O=$2\ring_wr[3:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10110100 .gate SB_LUT4 I0=$abc$56607$n764 I1=ring_wr[0] I2=$abc$56607$n1086 I3=$false O=$abc$56607$n1089 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01100000 .gate SB_LUT4 I0=ring_wr[2] I1=$abc$56607$n1908 I2=$abc$56607$n1089 I3=$false O=$2\ring_wr[3:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 .gate SB_LUT4 I0=ring_wr[3] I1=$abc$56607$n1911 I2=$abc$56607$n1089 I3=$false O=$2\ring_wr[3:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 .gate SB_LUT4 I0=$abc$56607$n1479 I1=$abc$56607$n1093 I2=$abc$56607$n1097 I3=$abc$56607$n1099_1 O=$2\I2C_OUT_DESC_MASK[7:0][7] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001010000000000 .gate SB_LUT4 I0=I2C_OUTPUT_TYPE[0] I1=$abc$56607$n1478 I2=$abc$56607$n1096 I3=$abc$56607$n1095 O=$abc$56607$n1093 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000011110001 .gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[2] I2=$abc$56607$n1513 I3=i2c_input_data_type[0] O=$abc$56607$n1095 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[2] I2=$abc$56607$n1513 I3=i2c_input_data_type[1] O=$abc$56607$n1096 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=$abc$56607$n1478 I2=$abc$56607$n1095 I3=$abc$56607$n1096 O=$abc$56607$n1097 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000011110001 .gate SB_LUT4 I0=$abc$56607$n670 I1=I2C.is_read I2=$false I3=$false O=$abc$56607$n1099_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$56607$n1093 I1=$abc$56607$n1099_1 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0111 .gate SB_LUT4 I0=$abc$56607$n1097 I1=$abc$56607$n1099_1 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0111 .gate SB_LUT4 I0=$abc$56607$n1479 I1=$abc$56607$n1099_1 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$56607$n791 I1=$abc$56607$n1894 I2=$abc$56607$n670 I3=$false O=$2\wr_cnt[3:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11110100 .gate SB_LUT4 I0=$abc$56607$n670 I1=$abc$56607$n791 I2=wr_cnt[0] I3=wr_cnt[1] O=$2\wr_cnt[3:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100010000 .gate SB_LUT4 I0=$abc$56607$n670 I1=$abc$56607$n791 I2=$abc$56607$n1897 I3=$false O=$2\wr_cnt[3:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$56607$n670 I1=$abc$56607$n791 I2=$abc$56607$n1899 I3=$false O=$2\wr_cnt[3:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$56607$n1112 I1=kbd_report[5][0] I2=$abc$56607$n1114 I3=KEYBOARD.report[2][0] O=$abc$56607$n1111 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=$abc$56607$n1113_1 I1=$abc$56607$n312 I2=$false I3=$false O=$abc$56607$n1112 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=$abc$56607$n305 I3=$false O=$abc$56607$n1113_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10010000 .gate SB_LUT4 I0=$abc$56607$n305 I1=$abc$56607$n312 I2=wr_cnt[0] I3=wr_cnt[1] O=$abc$56607$n1114 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100010000 .gate SB_LUT4 I0=$abc$56607$n312 I1=$abc$56607$n1113_1 I2=$false I3=$false O=$abc$56607$n1115 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[2] I2=wr_cnt[3] I3=wr_cnt[1] O=$abc$56607$n1116 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=$abc$56607$n1118 I1=KEYBOARD.report[3][0] I2=KEYBOARD.report[4][0] I3=$abc$56607$n1119 O=$abc$56607$n1117 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=$abc$56607$n312 I1=wr_cnt[0] I2=wr_cnt[1] I3=$abc$56607$n305 O=$abc$56607$n1118 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001010000000000 .gate SB_LUT4 I0=$abc$56607$n305 I1=wr_cnt[1] I2=wr_cnt[0] I3=$abc$56607$n312 O=$abc$56607$n1119 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100000100000000 .gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=$abc$56607$n305 I3=$abc$56607$n312 O=$abc$56607$n1120 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0110000000001001 .gate SB_LUT4 I0=$abc$56607$n305 I1=wr_cnt[0] I2=wr_cnt[1] I3=$abc$56607$n312 O=$abc$56607$n1121 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001010000000000 .gate SB_LUT4 I0=wr_cnt[2] I1=wr_cnt[1] I2=$abc$56607$n321 I3=$false O=$abc$56607$n1124 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010100 .gate SB_LUT4 I0=wr_cnt[2] I1=wr_cnt[1] I2=$abc$56607$n321 I3=$false O=$abc$56607$n1126 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=wr_cnt[1] I1=$abc$56607$n321 I2=wr_cnt[2] I3=$false O=$abc$56607$n1127 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=wr_cnt[1] I1=wr_cnt[2] I2=$abc$56607$n321 I3=$false O=$abc$56607$n1128 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$56607$n670 I1=$abc$56607$n1130 I2=$false I3=$false O=$abc$56607$n1129 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 .gate SB_LUT4 I0=wr_cnt[2] I1=wr_cnt[3] I2=wr_cnt[0] I3=$false O=$abc$56607$n1130 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$56607$n1132 I1=$abc$56607$n670 I2=$false I3=$false O=$3\report_data_wr[7:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1110 .gate SB_LUT4 I0=$abc$56607$n1133 I1=$abc$56607$n1139 I2=$abc$56607$n1130 I3=$abc$56607$n1116 O=$abc$56607$n1132 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000110000000101 .gate SB_LUT4 I0=$abc$56607$n1120 I1=KEYBOARD.report[0][1] I2=$abc$56607$n1134 I3=$false O=$abc$56607$n1133 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01110000 .gate SB_LUT4 I0=$abc$56607$n1114 I1=KEYBOARD.report[2][1] I2=$abc$56607$n1135 I3=$abc$56607$n1138 O=$abc$56607$n1134 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000000000000 .gate SB_LUT4 I0=$abc$56607$n1115 I1=KEYBOARD.report[1][1] I2=$abc$56607$n1136 I3=$false O=$abc$56607$n1135 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01110000 .gate SB_LUT4 I0=$abc$56607$n1119 I1=KEYBOARD.report[4][1] I2=$abc$56607$n1137 I3=$false O=$abc$56607$n1136 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000111 .gate SB_LUT4 I0=$abc$56607$n1121 I1=KEYBOARD.report[6][1] I2=$false I3=$false O=$abc$56607$n1137 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$56607$n1112 I1=kbd_report[5][1] I2=$abc$56607$n1118 I3=KEYBOARD.report[3][1] O=$abc$56607$n1138 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=$abc$56607$n1140 I1=$abc$56607$n1145 I2=KEYBOARD.report[0][1] I3=$abc$56607$n1124 O=$abc$56607$n1139 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111000001110111 .gate SB_LUT4 I0=$abc$56607$n1141 I1=$abc$56607$n1144 I2=$false I3=$false O=$abc$56607$n1140 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$56607$n1142 I1=KEYBOARD.report[6][1] I2=$abc$56607$n1143 I3=KEYBOARD.report[4][1] O=$abc$56607$n1141 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=wr_cnt[1] I1=wr_cnt[2] I2=$abc$56607$n321 I3=$false O=$abc$56607$n1142 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000001 .gate SB_LUT4 I0=$abc$56607$n321 I1=wr_cnt[2] I2=wr_cnt[1] I3=$false O=$abc$56607$n1143 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$56607$n1126 I1=KEYBOARD.report[1][1] I2=KEYBOARD.report[2][1] I3=$abc$56607$n1127 O=$abc$56607$n1144 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=$abc$56607$n1128 I1=kbd_report[5][1] I2=$abc$56607$n1146 I3=KEYBOARD.report[3][1] O=$abc$56607$n1145 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=wr_cnt[1] I1=wr_cnt[2] I2=$abc$56607$n321 I3=$false O=$abc$56607$n1146 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$56607$n1148 I1=$abc$56607$n1482 I2=$abc$56607$n1116 I3=$abc$56607$n1129 O=$3\report_data_wr[7:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1100101000000000 .gate SB_LUT4 I0=$abc$56607$n1149 I1=$abc$56607$n1152 I2=KEYBOARD.report[0][2] I3=$abc$56607$n1120 O=$abc$56607$n1148 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111000001110111 .gate SB_LUT4 I0=$abc$56607$n1150 I1=$abc$56607$n1151 I2=$false I3=$false O=$abc$56607$n1149 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$56607$n1112 I1=kbd_report[5][2] I2=$abc$56607$n1118 I3=KEYBOARD.report[3][2] O=$abc$56607$n1150 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=$abc$56607$n1115 I1=KEYBOARD.report[1][2] I2=KEYBOARD.report[2][2] I3=$abc$56607$n1114 O=$abc$56607$n1151 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=$abc$56607$n1119 I1=KEYBOARD.report[4][2] I2=KEYBOARD.report[6][2] I3=$abc$56607$n1121 O=$abc$56607$n1152 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=$abc$56607$n1524_1 I1=$abc$56607$n1130 I2=$abc$56607$n1483_1 I3=$abc$56607$n670 O=$3\report_data_wr[7:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111111100000001 .gate SB_LUT4 I0=$abc$56607$n1120 I1=KEYBOARD.report[0][3] I2=$abc$56607$n1164 I3=$false O=$abc$56607$n1163 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01110000 .gate SB_LUT4 I0=$abc$56607$n1118 I1=KEYBOARD.report[3][3] I2=$abc$56607$n1114 I3=KEYBOARD.report[2][3] O=$abc$56607$n1164 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=$abc$56607$n1484_1 I1=$abc$56607$n1177 I2=$abc$56607$n1129 I3=$false O=$3\report_data_wr[7:0][4] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11100000 .gate SB_LUT4 I0=KEYBOARD.report[0][4] I1=$abc$56607$n1120 I2=$abc$56607$n1178 I3=$abc$56607$n1116 O=$abc$56607$n1177 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000010001111 .gate SB_LUT4 I0=$abc$56607$n1179 I1=$abc$56607$n1180_1 I2=$abc$56607$n1181 I3=$false O=$abc$56607$n1178 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=kbd_report[5][4] I1=KEYBOARD.report[1][4] I2=$abc$56607$n312 I3=$abc$56607$n1113_1 O=$abc$56607$n1179 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0101001111111111 .gate SB_LUT4 I0=$abc$56607$n1118 I1=KEYBOARD.report[3][4] I2=KEYBOARD.report[6][4] I3=$abc$56607$n1121 O=$abc$56607$n1180_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=$abc$56607$n1114 I1=KEYBOARD.report[2][4] I2=KEYBOARD.report[4][4] I3=$abc$56607$n1119 O=$abc$56607$n1181 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=$abc$56607$n1485 I1=$abc$56607$n1188 I2=$abc$56607$n1129 I3=$false O=$3\report_data_wr[7:0][5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11100000 .gate SB_LUT4 I0=KEYBOARD.report[0][5] I1=$abc$56607$n1120 I2=$abc$56607$n1189 I3=$abc$56607$n1116 O=$abc$56607$n1188 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000010001111 .gate SB_LUT4 I0=$abc$56607$n1190 I1=$abc$56607$n1191_1 I2=$abc$56607$n1192 I3=$false O=$abc$56607$n1189 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=kbd_report[5][5] I1=KEYBOARD.report[1][5] I2=$abc$56607$n312 I3=$abc$56607$n1113_1 O=$abc$56607$n1190 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0101001111111111 .gate SB_LUT4 I0=$abc$56607$n1118 I1=KEYBOARD.report[3][5] I2=KEYBOARD.report[6][5] I3=$abc$56607$n1121 O=$abc$56607$n1191_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=$abc$56607$n1114 I1=KEYBOARD.report[2][5] I2=KEYBOARD.report[4][5] I3=$abc$56607$n1119 O=$abc$56607$n1192 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=$abc$56607$n1126 I1=KEYBOARD.report[1][6] I2=$abc$56607$n1124 I3=$abc$56607$n1202 O=$abc$56607$n1201 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011100000000 .gate SB_LUT4 I0=$abc$56607$n1143 I1=KEYBOARD.report[4][6] I2=$abc$56607$n1203 I3=$abc$56607$n1204 O=$abc$56607$n1202 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000000000000 .gate SB_LUT4 I0=$abc$56607$n1128 I1=kbd_report[5][6] I2=KEYBOARD.report[6][6] I3=$abc$56607$n1142 O=$abc$56607$n1203 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=$abc$56607$n1127 I1=KEYBOARD.report[2][6] I2=KEYBOARD.report[3][6] I3=$abc$56607$n1146 O=$abc$56607$n1204 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=$abc$56607$n1118 I1=KEYBOARD.report[3][7] I2=$abc$56607$n1213 I3=$abc$56607$n1210 O=$abc$56607$n1209 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011100000000 .gate SB_LUT4 I0=$abc$56607$n1119 I1=KEYBOARD.report[4][7] I2=$abc$56607$n1211_1 I3=$abc$56607$n1212 O=$abc$56607$n1210 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011100000000 .gate SB_LUT4 I0=$abc$56607$n1114 I1=KEYBOARD.report[2][7] I2=$false I3=$false O=$abc$56607$n1211_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=kbd_report[5][7] I1=KEYBOARD.report[1][7] I2=$abc$56607$n312 I3=$abc$56607$n1113_1 O=$abc$56607$n1212 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0101001111111111 .gate SB_LUT4 I0=$abc$56607$n1121 I1=KEYBOARD.report[6][7] I2=$false I3=$false O=$abc$56607$n1213 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$56607$n1220 I1=$abc$56607$n1221_1 I2=$abc$56607$n771 I3=$6\report_data_wadr[7:0][0] O=$0\report_data_wadr[7:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111010001000100 .gate SB_LUT4 I0=init_ram_cnt[5] I1=init_ram_cnt[7] I2=$abc$56607$n734 I3=$abc$56607$n2575 O=$abc$56607$n1220 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1110111100000000 .gate SB_LUT4 I0=$abc$56607$n22 I1=$abc$56607$n1953 I2=$false I3=$false O=$abc$56607$n1221_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n22 I1=init_ram_cnt[1] I2=$abc$56607$n1220 I3=$abc$56607$n1223 O=$0\report_data_wadr[7:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000111111111 .gate SB_LUT4 I0=$2\ring_wr[3:0][0] I1=$abc$56607$n1224 I2=$abc$56607$n670 I3=$abc$56607$n22 O=$abc$56607$n1223 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0101001111111111 .gate SB_LUT4 I0=wr_cnt[1] I1=ring_wr[0] I2=$false I3=$false O=$abc$56607$n1224 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0110 .gate SB_LUT4 I0=$abc$56607$n1226 I1=$abc$56607$n1228 I2=$false I3=$false O=$0\report_data_wadr[7:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 .gate SB_LUT4 I0=$2\ring_wr[3:0][1] I1=$abc$56607$n1227_1 I2=$abc$56607$n670 I3=$abc$56607$n22 O=$abc$56607$n1226 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0101001100000000 .gate SB_LUT4 I0=wr_cnt[1] I1=ring_wr[0] I2=wr_cnt[2] I3=ring_wr[1] O=$abc$56607$n1227_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000011101111000 .gate SB_LUT4 I0=$abc$56607$n1220 I1=init_ram_cnt[1] I2=init_ram_cnt[2] I3=$abc$56607$n22 O=$abc$56607$n1228 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000010111110 .gate SB_LUT4 I0=$abc$56607$n1220 I1=$abc$56607$n1959 I2=$abc$56607$n1230 I3=$abc$56607$n22 O=$0\report_data_wadr[7:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000111101000100 .gate SB_LUT4 I0=$abc$56607$n1231 I1=$2\ring_wr[3:0][0] I2=$2\ring_wr[3:0][2] I3=$abc$56607$n670 O=$abc$56607$n1230 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1100001101010101 .gate SB_LUT4 I0=$abc$56607$n1232_1 I1=$abc$56607$n1234 I2=ring_wr[0] I3=$false O=$abc$56607$n1231 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01101001 .gate SB_LUT4 I0=wr_cnt[2] I1=ring_wr[1] I2=$abc$56607$n1233 I3=$false O=$abc$56607$n1232_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000111 .gate SB_LUT4 I0=wr_cnt[2] I1=ring_wr[1] I2=ring_wr[0] I3=wr_cnt[1] O=$abc$56607$n1233 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0110000000000000 .gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[2] I2=$false I3=$false O=$abc$56607$n1234 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0110 .gate SB_LUT4 I0=$abc$56607$n1220 I1=$abc$56607$n1961 I2=$abc$56607$n1236_1 I3=$abc$56607$n22 O=$0\report_data_wadr[7:0][4] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000111101000100 .gate SB_LUT4 I0=$abc$56607$n1239 I1=$abc$56607$n2549 I2=$abc$56607$n2551 I3=$abc$56607$n670 O=$abc$56607$n1236_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1100001110101010 .gate SB_LUT4 I0=$2\ring_wr[3:0][2] I1=$2\ring_wr[3:0][0] I2=$false I3=$false O=$abc$56607$n2551 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$2\ring_wr[3:0][1] I1=$2\ring_wr[3:0][3] I2=$false I3=$false O=$abc$56607$n2549 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0110 .gate SB_LUT4 I0=$abc$56607$n2548 I1=$abc$56607$n2546 I2=$false I3=$false O=$abc$56607$n1239 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1001 .gate SB_LUT4 I0=$abc$56607$n1233 I1=$abc$56607$n1232_1 I2=ring_wr[0] I3=$abc$56607$n1234 O=$abc$56607$n2548 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1110101000110000 .gate SB_LUT4 I0=$abc$56607$n1242 I1=$abc$56607$n1243 I2=ring_wr[1] I3=ring_wr[3] O=$abc$56607$n2546 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1110101100010100 .gate SB_LUT4 I0=$abc$56607$n1234 I1=wr_cnt[2] I2=ring_wr[1] I3=$false O=$abc$56607$n1242 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[2] I2=$false I3=$false O=$abc$56607$n1243 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$56607$n1220 I1=$abc$56607$n1963 I2=$abc$56607$n22 I3=$abc$56607$n1245 O=$0\report_data_wadr[7:0][5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111010000000000 .gate SB_LUT4 I0=$abc$56607$n1943 I1=$6\report_data_wadr[7:0][5] I2=$abc$56607$n670 I3=$abc$56607$n22 O=$abc$56607$n1245 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1010110011111111 .gate SB_LUT4 I0=$abc$56607$n1220 I1=$abc$56607$n1965 I2=$abc$56607$n22 I3=$abc$56607$n1247 O=$0\report_data_wadr[7:0][6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111010000000000 .gate SB_LUT4 I0=$abc$56607$n1946 I1=$6\report_data_wadr[7:0][6] I2=$abc$56607$n670 I3=$abc$56607$n22 O=$abc$56607$n1247 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1010110011111111 .gate SB_LUT4 I0=$abc$56607$n1220 I1=$abc$56607$n1967 I2=$abc$56607$n22 I3=$abc$56607$n1249_1 O=$0\report_data_wadr[7:0][7] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111010000000000 .gate SB_LUT4 I0=$abc$56607$n1949 I1=$6\report_data_wadr[7:0][7] I2=$abc$56607$n670 I3=$abc$56607$n22 O=$abc$56607$n1249_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1010110011111111 .gate SB_LUT4 I0=$abc$56607$n1251 I1=$abc$56607$n1977 I2=$false I3=$false O=$0\rststate[3:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=i2c_input_data_type[3] I1=$abc$56607$n848 I2=i2c_input_data_type[2] I3=$false O=$abc$56607$n1251 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$56607$n1251 I1=$abc$56607$n1979 I2=$false I3=$false O=$0\rststate[3:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n1251 I1=$abc$56607$n1981 I2=$false I3=$false O=$0\rststate[3:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n1251 I1=$abc$56607$n1983 I2=$false I3=$false O=$0\rststate[3:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.i2c_bit_counter[0] I2=$false I3=$false O=$abc$56607$n2045 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.i2c_bit_counter[1] I2=$false I3=$false O=$abc$56607$n2047 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.i2c_bit_counter[2] I2=$false I3=$false O=$abc$56607$n2049 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=I2C.i2c_bit_counter[3] I1=$abc$56607$n2043 I2=$false I3=$false O=$abc$56607$n2617 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n1260 I1=$abc$56607$n1273 I2=$abc$56607$n1281 I3=$false O=$abc$56607$n2059 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11101111 .gate SB_LUT4 I0=$abc$56607$n1272 I1=$abc$56607$n1270 I2=$abc$56607$n1261 I3=$abc$56607$n1269 O=$abc$56607$n1260 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111100000000000 .gate SB_LUT4 I0=$abc$56607$n1262 I1=$abc$56607$n1263 I2=$abc$56607$n1264 I3=$abc$56607$n1267 O=$abc$56607$n1261 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=$abc$56607$n1044 I1=$abc$56607$n1052 I2=$abc$56607$n360 I3=$abc$56607$n882 O=$abc$56607$n1262 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0011010100000000 .gate SB_LUT4 I0=$abc$56607$n1048 I1=$abc$56607$n1056 I2=$abc$56607$n360 I3=$abc$56607$n885 O=$abc$56607$n1263 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0011010100000000 .gate SB_LUT4 I0=$abc$56607$n1265 I1=$abc$56607$n1266 I2=$abc$56607$n360 I3=$false O=$abc$56607$n1264 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$56607$n1042 I1=$abc$56607$n1046 I2=$abc$56607$n358 I3=$abc$56607$n880 O=$abc$56607$n1265 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111101011111100 .gate SB_LUT4 I0=$abc$56607$n1050_1 I1=$abc$56607$n1054 I2=$abc$56607$n358 I3=$abc$56607$n880 O=$abc$56607$n1266 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111101011111100 .gate SB_LUT4 I0=$abc$56607$n1249 I1=$abc$56607$n1268_1 I2=$false I3=$false O=$abc$56607$n1267 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$abc$56607$n16 I2=$false I3=$false O=$abc$56607$n1268_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$56607$n875 I1=$abc$56607$n877 I2=$false I3=$false O=$abc$56607$n1269 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$56607$n1249 I1=$abc$56607$n1271 I2=$abc$56607$n1268_1 I3=$false O=$abc$56607$n1270 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10001111 .gate SB_LUT4 I0=$abc$56607$n2043 I1=I2C.is_ack I2=$false I3=$false O=$abc$56607$n1271 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=$abc$56607$n14 I3=I2C.SDA_DIR O=$abc$56607$n1272 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111110100000000 .gate SB_LUT4 I0=$abc$56607$n1274 I1=$abc$56607$n874 I2=$abc$56607$n1280 I3=$false O=$abc$56607$n1273 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$56607$n1278_1 I1=$abc$56607$n1487 I2=$false I3=$false O=$abc$56607$n1274 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=I2C.byte_counter[4] I1=I2C.byte_counter[5] I2=I2C.byte_counter[6] I3=$abc$56607$n1277 O=$abc$56607$n1276 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=I2C.byte_counter[2] I1=I2C.byte_counter[3] I2=I2C.byte_counter[0] I3=I2C.byte_counter[1] O=$abc$56607$n1277 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=I2C.received_byte[7] I1=I2C.received_byte[3] I2=$abc$56607$n1279 I3=I2C.received_byte[6] O=$abc$56607$n1278_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[1] I2=I2C.received_byte[2] I3=I2C.received_byte[5] O=$abc$56607$n1279 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=$abc$56607$n1271 I1=$abc$56607$n1268_1 I2=$abc$56607$n1249 I3=$false O=$abc$56607$n1280 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$56607$n1282 I1=$abc$56607$n874 I2=I2C.i2c_state_machine I3=$abc$56607$n1272 O=$abc$56607$n1281 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1011000011111111 .gate SB_LUT4 I0=$abc$56607$n1268_1 I1=$abc$56607$n1249 I2=$false I3=$false O=$abc$56607$n1282 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$56607$n1280 I1=$abc$56607$n1274 I2=$abc$56607$n874 I3=$abc$56607$n1284 O=$abc$56607$n2061 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111111101110000 .gate SB_LUT4 I0=$abc$56607$n1285 I1=$abc$56607$n1286 I2=$abc$56607$n1269 I3=$false O=$abc$56607$n1284 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01110000 .gate SB_LUT4 I0=$abc$56607$n1271 I1=I2C.FLT_SCL.out I2=$abc$56607$n16 I3=$abc$56607$n1249 O=$abc$56607$n1285 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=$abc$56607$n14 I1=I2C.FLT_SCL.out I2=I2C.SDA_DIR I3=I2C.FLT_SDA.out O=$abc$56607$n1286 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000111100000000 .gate SB_LUT4 I0=$abc$56607$n1288 I1=$abc$56607$n875 I2=$false I3=$false O=$abc$56607$n2063 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1110 .gate SB_LUT4 I0=$abc$56607$n1280 I1=$abc$56607$n1487 I2=$abc$56607$n877 I3=I2C.received_byte[0] O=$abc$56607$n1288 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=$abc$56607$n1290_1 I1=$abc$56607$n2045 I2=$abc$56607$n1293 I3=$abc$56607$n358 O=$abc$56607$n2065 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100111101000100 .gate SB_LUT4 I0=$abc$56607$n1267 I1=$abc$56607$n1285 I2=$abc$56607$n875 I3=$abc$56607$n1291 O=$abc$56607$n1290_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000011101111 .gate SB_LUT4 I0=$abc$56607$n1292 I1=$abc$56607$n878 I2=$abc$56607$n875 I3=$abc$56607$n877 O=$abc$56607$n1291 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000111111111 .gate SB_LUT4 I0=$abc$56607$n1271 I1=$abc$56607$n1282 I2=$false I3=$false O=$abc$56607$n1292 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$56607$n1267 I1=$abc$56607$n878 I2=$abc$56607$n875 I3=$abc$56607$n877 O=$abc$56607$n1293 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0101001111111111 .gate SB_LUT4 I0=$abc$56607$n1290_1 I1=$abc$56607$n2047 I2=$abc$56607$n1293 I3=$abc$56607$n880 O=$abc$56607$n2067 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100010001001111 .gate SB_LUT4 I0=$abc$56607$n1290_1 I1=$abc$56607$n2049 I2=$abc$56607$n1293 I3=$abc$56607$n360 O=$abc$56607$n2069 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100111101000100 .gate SB_LUT4 I0=$abc$56607$n2210 I1=$abc$56607$n1520 I2=$abc$56607$n1301 I3=$abc$56607$n1304 O=$abc$56607$n2073 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111101011111100 .gate SB_LUT4 I0=$abc$56607$n1280 I1=$abc$56607$n1285 I2=$abc$56607$n875 I3=$false O=$abc$56607$n1300 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$56607$n1302_1 I1=$abc$56607$n1529 I2=$false I3=$false O=$abc$56607$n1301 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$56607$n1523 I1=$abc$56607$n1303 I2=I2C.byte_counter[0] I3=I2C.byte_counter[1] O=$abc$56607$n1302_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=I2C.byte_counter[3] I1=I2C.byte_counter[4] I2=I2C.byte_counter[5] I3=I2C.byte_counter[7] O=$abc$56607$n1303 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=$abc$56607$n1300 I1=$abc$56607$n877 I2=$false I3=$false O=$abc$56607$n1304 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$56607$n1306 I1=I2C.byte_counter[0] I2=I2C.byte_counter[1] I3=$abc$56607$n2043 O=$abc$56607$n2075 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111100000000000 .gate SB_LUT4 I0=$abc$56607$n1301 I1=$abc$56607$n1304 I2=$false I3=$false O=$abc$56607$n1306 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n2212 I1=$abc$56607$n1301 I2=$abc$56607$n1523 I3=$abc$56607$n1304 O=$abc$56607$n2077 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1110111011110000 .gate SB_LUT4 I0=$abc$56607$n2213 I1=$abc$56607$n1524 I2=$abc$56607$n1306 I3=$false O=$abc$56607$n2079 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 .gate SB_LUT4 I0=$abc$56607$n2214 I1=$abc$56607$n1301 I2=$abc$56607$n1526 I3=$abc$56607$n1304 O=$abc$56607$n2081 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1110111011110000 .gate SB_LUT4 I0=$abc$56607$n2215 I1=$abc$56607$n1527 I2=$abc$56607$n1301 I3=$abc$56607$n1304 O=$abc$56607$n2083 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111101011111100 .gate SB_LUT4 I0=$abc$56607$n2216 I1=$abc$56607$n1301 I2=$abc$56607$n1529 I3=$abc$56607$n1304 O=$abc$56607$n2085 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1110111011110000 .gate SB_LUT4 I0=$abc$56607$n2217 I1=$abc$56607$n1301 I2=$abc$56607$n1530 I3=$abc$56607$n1304 O=$abc$56607$n2087 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1110111011110000 .gate SB_LUT4 I0=$abc$56607$n1269 I1=$abc$56607$n1282 I2=$abc$56607$n1314 I3=$abc$56607$n1273 O=$abc$56607$n2089 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111111111111000 .gate SB_LUT4 I0=$abc$56607$n1249 I1=$abc$56607$n875 I2=$abc$56607$n1315_1 I3=$abc$56607$n1271 O=$abc$56607$n1314 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001111100000000 .gate SB_LUT4 I0=$abc$56607$n1268_1 I1=I2C.i2c_state_machine I2=$false I3=$false O=$abc$56607$n1315_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=I2C.i2c_state_machine I1=$abc$56607$n1321 I2=$abc$56607$n1317 I3=$false O=$abc$56607$n2091 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11110100 .gate SB_LUT4 I0=$abc$56607$n1318 I1=$abc$56607$n1322 I2=$abc$56607$n877 I3=$false O=$abc$56607$n1317 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11100000 .gate SB_LUT4 I0=$abc$56607$n875 I1=$abc$56607$n1319 I2=$abc$56607$n1320_1 I3=$abc$56607$n1321 O=$abc$56607$n1318 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000111100000000 .gate SB_LUT4 I0=$abc$56607$n1249 I1=I2C.FLT_SCL.out I2=$abc$56607$n16 I3=$abc$56607$n1271 O=$abc$56607$n1319 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0010101010101000 .gate SB_LUT4 I0=$abc$56607$n1271 I1=$abc$56607$n875 I2=$abc$56607$n1268_1 I3=$abc$56607$n1249 O=$abc$56607$n1320_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1010110011000000 .gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=$abc$56607$n14 I3=I2C.wr O=$abc$56607$n1321 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111110100000000 .gate SB_LUT4 I0=$abc$56607$n1286 I1=$abc$56607$n2583 I2=$abc$56607$n875 I3=$abc$56607$n1285 O=$abc$56607$n1322 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0101001100000000 .gate SB_LUT4 I0=$abc$56607$n1541 I1=$abc$56607$n895 I2=$false I3=$false O=$abc$56607$n2219 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1011 .gate SB_LUT4 I0=UART.tx_clk_counter[1] I1=UART.tx_clk_counter[0] I2=UART.tx_activity I3=$false O=$abc$56607$n2221 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10010000 .gate SB_LUT4 I0=$abc$56607$n1544 I1=$abc$56607$n895 I2=$false I3=$false O=$abc$56607$n2223 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1011 .gate SB_LUT4 I0=$abc$56607$n1545 I1=$abc$56607$n895 I2=$false I3=$false O=$abc$56607$n2225 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1011 .gate SB_LUT4 I0=$abc$56607$n896 I1=$abc$56607$n898 I2=$abc$56607$n893 I3=$false O=$abc$56607$n2226 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000111 .gate SB_LUT4 I0=$abc$56607$n2231 I1=KEYBOARD.init_ram_cnt[0] I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$abc$56607$n2232 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 .gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[1] I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=KEYBOARD.init_ram_cnt[8] O=$abc$56607$n2235 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0011110010101010 .gate SB_LUT4 I0=$abc$56607$n2237 I1=KEYBOARD.init_ram_cnt[2] I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$abc$56607$n2238 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 .gate SB_LUT4 I0=$abc$56607$n28 I1=KEYBOARD.init_ram_cnt[3] I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$abc$56607$n2240 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 .gate SB_LUT4 I0=$abc$56607$n923 I1=$abc$56607$n994 I2=$false I3=$false O=$abc$56607$n2242 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n2231 I1=KEYBOARD.row_counter[0] I2=$abc$56607$n33 I3=$false O=$abc$56607$n2244 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 .gate SB_LUT4 I0=$abc$56607$n33 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$56607$n2246 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01111000 .gate SB_LUT4 I0=$abc$56607$n2237 I1=KEYBOARD.row_counter[2] I2=$abc$56607$n33 I3=$false O=$abc$56607$n2248 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 .gate SB_LUT4 I0=$abc$56607$n28 I1=KEYBOARD.row_counter[3] I2=$abc$56607$n33 I3=$false O=$abc$56607$n2250 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 .gate SB_LUT4 I0=$abc$56607$n723 I1=$abc$56607$n1341 I2=$abc$56607$n1490_1 I3=$abc$56607$n1535_1 O=$abc$56607$n2269 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000011111 .gate SB_LUT4 I0=$abc$56607$n691 I1=$abc$56607$n708 I2=KEYBOARD.COLS_SHADOW[4] I3=$abc$56607$n706 O=$abc$56607$n1341 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1100001110101010 .gate SB_LUT4 I0=$abc$56607$n1344 I1=$abc$56607$n697 I2=$abc$56607$n706 I3=$abc$56607$n1492_1 O=$abc$56607$n1343 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111010000000000 .gate SB_LUT4 I0=$abc$56607$n702 I1=KEYBOARD.COLS_SHADOW[5] I2=$false I3=$false O=$abc$56607$n1344 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0110 .gate SB_LUT4 I0=$abc$56607$n717 I1=KEYBOARD.COLS_SHADOW[1] I2=$abc$56607$n709 I3=$false O=$abc$56607$n1347 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01100000 .gate SB_LUT4 I0=$abc$56607$n723 I1=$abc$56607$n1349 I2=$abc$56607$n1490_1 I3=$abc$56607$n1535_1 O=$abc$56607$n2273 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000011111 .gate SB_LUT4 I0=$abc$56607$n1350 I1=$abc$56607$n709 I2=$abc$56607$n1347 I3=$false O=$abc$56607$n1349 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00001110 .gate SB_LUT4 I0=$abc$56607$n719 I1=KEYBOARD.COLS_SHADOW[3] I2=$abc$56607$n711 I3=$false O=$abc$56607$n1350 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10010000 .gate SB_LUT4 I0=$abc$56607$n2287 I1=$abc$56607$n2244 I2=$false I3=$false O=$abc$56607$n2276 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1110 .gate SB_LUT4 I0=$abc$56607$n1343 I1=$abc$56607$n678 I2=$abc$56607$n691 I3=$abc$56607$n2273 O=$abc$56607$n2287 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=$abc$56607$n2287 I1=$abc$56607$n2246 I2=$false I3=$false O=$abc$56607$n2279 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1110 .gate SB_LUT4 I0=$abc$56607$n2287 I1=$abc$56607$n2248 I2=$false I3=$false O=$abc$56607$n2282 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1110 .gate SB_LUT4 I0=$abc$56607$n2287 I1=$abc$56607$n2250 I2=$false I3=$false O=$abc$56607$n2285 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1110 .gate SB_LUT4 I0=$abc$56607$n726 I1=KEYBOARD.COLS_SHADOW[0] I2=$abc$56607$n727 I3=$false O=$abc$56607$n2291 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11000101 .gate SB_LUT4 I0=$abc$56607$n717 I1=KEYBOARD.COLS_SHADOW[1] I2=$abc$56607$n709 I3=$false O=$abc$56607$n2293 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11000101 .gate SB_LUT4 I0=$abc$56607$n722 I1=KEYBOARD.COLS_SHADOW[2] I2=$abc$56607$n723 I3=$false O=$abc$56607$n2295 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11000101 .gate SB_LUT4 I0=$abc$56607$n719 I1=KEYBOARD.COLS_SHADOW[3] I2=$abc$56607$n711 I3=$false O=$abc$56607$n2297 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11000101 .gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[4] I1=$abc$56607$n708 I2=$abc$56607$n706 I3=$false O=$abc$56607$n2299 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10100011 .gate SB_LUT4 I0=$abc$56607$n702 I1=KEYBOARD.COLS_SHADOW[5] I2=$abc$56607$n697 I3=$false O=$abc$56607$n2301 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11000101 .gate SB_LUT4 I0=$abc$56607$n692 I1=KEYBOARD.COLS_SHADOW[6] I2=$abc$56607$n693 I3=$false O=$abc$56607$n2303 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11000101 .gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[7] I1=$abc$56607$n685 I2=$abc$56607$n679 I3=$false O=$abc$56607$n2305 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10100011 .gate SB_LUT4 I0=$abc$56607$n27 I1=$abc$56607$n904 I2=$abc$56607$n932 I3=$abc$56607$n920 O=$abc$56607$n2433 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000010111111 .gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.report[0][0] I2=$abc$56607$n921 I3=$false O=$abc$56607$n2436 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 .gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.report[0][1] I2=$abc$56607$n1367 I3=$false O=$abc$56607$n2439 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$56607$n1367 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.report[0][2] I2=$abc$56607$n1369 I3=$false O=$abc$56607$n2442 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.kbd_code_hid[1] I3=$false O=$abc$56607$n1369 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.report[0][3] I2=$abc$56607$n1371 I3=$false O=$abc$56607$n2445 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$56607$n1371 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.report[0][4] I2=$abc$56607$n1373 I3=$false O=$abc$56607$n2448 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$56607$n1373 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.report[0][5] I2=$abc$56607$n1375 I3=$false O=$abc$56607$n2451 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$56607$n1375 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.report[0][6] I2=$abc$56607$n1377 I3=$false O=$abc$56607$n2454 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$56607$n1377 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.report[0][7] I2=$abc$56607$n918 I3=$false O=$abc$56607$n2457 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 .gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.kbd_code_hid[0] I2=$false I3=$false O=$abc$56607$n2458 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.kbd_code_hid[1] I2=$false I3=$false O=$abc$56607$n2459 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.kbd_code_hid[2] I2=$false I3=$false O=$abc$56607$n2460 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.kbd_code_hid[3] I2=$false I3=$false O=$abc$56607$n2461 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.kbd_code_hid[4] I2=$false I3=$false O=$abc$56607$n2462 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.kbd_code_hid[5] I2=$false I3=$false O=$abc$56607$n2463 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.kbd_code_hid[6] I2=$false I3=$false O=$abc$56607$n2464 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n27 I1=KEYBOARD.kbd_code_hid[7] I2=$false I3=$false O=$abc$56607$n2465 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=I2C.byte_counter[0] I1=$abc$56607$n2471 I2=I2C_OUTPUT_TYPE[1] I3=I2C_OUTPUT_TYPE[0] O=$abc$56607$n2472 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1100101011001100 .gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=I2C_OUTPUT_TYPE[0] I2=I2C.byte_counter[5] I3=$false O=$abc$56607$n2474 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01001011 .gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=I2C_OUTPUT_TYPE[0] I2=I2C.byte_counter[5] I3=I2C.byte_counter[6] O=$abc$56607$n2476 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100111110110000 .gate SB_LUT4 I0=I2C.byte_counter[7] I1=$abc$56607$n2477 I2=I2C_OUTPUT_TYPE[1] I3=I2C_OUTPUT_TYPE[0] O=$abc$56607$n2478 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1100101011001100 .gate SB_LUT4 I0=$abc$56607$n1003 I1=$abc$56607$n1632 I2=$false I3=$false O=$abc$56607$n2479 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1110 .gate SB_LUT4 I0=$abc$56607$n1009 I1=$abc$56607$n1636 I2=$false I3=$false O=$abc$56607$n2482 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1110 .gate SB_LUT4 I0=init_ram_cnt[1] I1=init_ram_cnt[0] I2=$false I3=$false O=$2\init_ram_cnt[7:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0110 .gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1001 .gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[0] I1=KEYBOARD.init_ram_cnt[1] I2=$false I3=$false O=$abc$56607$n2534 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0110 .gate SB_LUT4 I0=$2\ring_wr[3:0][1] I1=$2\ring_wr[3:0][3] I2=$false I3=$false O=$abc$56607$n2671 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[1] I2=ring_wr[3] I3=ring_wr[2] O=$abc$56607$n2678 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001011111000000 .gate SB_LUT4 I0=ring_wr[3] I1=$abc$56607$n1242 I2=$false I3=$false O=$abc$56607$n2680 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[1] I2=ring_wr[3] I3=ring_wr[2] O=$abc$56607$n2681 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1110100000000000 .gate SB_LUT4 I0=$10\ring_rd[3:0][1] I1=$10\ring_rd[3:0][3] I2=$false I3=$false O=$abc$56607$n2691 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$56607$n2231 I1=$abc$56607$n2237 I2=KEYBOARD.row_counter[0] I3=KEYBOARD.row_counter[1] O=$abc$56607$n2701 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000001 .gate SB_LUT4 I0=$abc$56607$n2237 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$56607$n2231 O=$abc$56607$n2702 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100000100000000 .gate SB_LUT4 I0=$abc$56607$n2231 I1=$abc$56607$n2237 I2=KEYBOARD.row_counter[0] I3=KEYBOARD.row_counter[1] O=$abc$56607$n2703 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100010000 .gate SB_LUT4 I0=$abc$56607$n2237 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$56607$n2231 O=$abc$56607$n2704 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001010000000000 .gate SB_LUT4 I0=$abc$56607$n2231 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$56607$n2237 O=$abc$56607$n2705 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100000100000000 .gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=KEYBOARD.row_counter[1] I2=$abc$56607$n2231 I3=$abc$56607$n2237 O=$abc$56607$n2706 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000000000 .gate SB_LUT4 I0=$abc$56607$n2231 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$56607$n2237 O=$abc$56607$n2707 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001010000000000 .gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=KEYBOARD.row_counter[1] I2=$abc$56607$n2231 I3=$abc$56607$n2237 O=$abc$56607$n2708 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0110000000000000 .gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$false I2=$false I3=$false O=$abc$56607$n5 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$false I2=$false I3=$false O=$abc$56607$n7 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$56607$n8 I1=$false I2=$false I3=$false O=COM_DSR .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$56607$n10 I1=$false I2=$false I3=$false O=COM_TX .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=LED1 I1=$false I2=$false I3=$false O=COM_DCD .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$56607$n22 I1=$false I2=$false I3=$false O=$abc$56607$n23 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=UART.tx_activity I1=$false I2=$false I3=$false O=$abc$56607$n25 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$56607$n27 I1=$false I2=$false I3=$false O=$abc$56607$n26 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$56607$n28 I1=$false I2=$false I3=$false O=$abc$56607$n29 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[8] I1=$false I2=$false I3=$false O=$abc$56607$n31 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$false I2=$false I3=$false O=$abc$56607$n35 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=init_ram_cnt[7] I1=$false I2=$false I3=$false O=$abc$56607$n236 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=init_ram_cnt[6] I1=$false I2=$false I3=$false O=$abc$56607$n237 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=init_ram_cnt[5] I1=$false I2=$false I3=$false O=$abc$56607$n239 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=init_ram_cnt[4] I1=$false I2=$false I3=$false O=$abc$56607$n240 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=init_ram_cnt[2] I1=$false I2=$false I3=$false O=$abc$56607$n243 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=init_ram_cnt[0] I1=$false I2=$false I3=$false O=$abc$56607$n246 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=i2c_input_data_type[3] I1=$false I2=$false I3=$false O=$abc$56607$n266 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=i2c_input_data_type[1] I1=$false I2=$false I3=$false O=$abc$56607$n269 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=i2c_input_data_type[0] I1=$false I2=$false I3=$false O=$abc$56607$n270 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.received_byte[7] I1=$false I2=$false I3=$false O=$abc$56607$n273 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.received_byte[6] I1=$false I2=$false I3=$false O=$abc$56607$n274 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.received_byte[5] I1=$false I2=$false I3=$false O=$abc$56607$n276 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.received_byte[4] I1=$false I2=$false I3=$false O=$abc$56607$n277 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.received_byte[3] I1=$false I2=$false I3=$false O=$abc$56607$n279 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.received_byte[2] I1=$false I2=$false I3=$false O=$abc$56607$n280 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.received_byte[1] I1=$false I2=$false I3=$false O=$abc$56607$n282 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$56607$n1490 I1=$false I2=$false I3=$false O=$abc$56607$n1459 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=init_ram_cnt[1] I1=$false I2=$false I3=$false O=$abc$56607$n1955 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$56607$n2617 I1=$false I2=$false I3=$false O=$abc$56607$n2051 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$56607$n2518 I1=$false I2=$false I3=$false O=$abc$56607$n2517 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$56607$n1521 I1=$false I2=$false I3=$false O=$abc$56607$n2528 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$56607$n2047 I1=$false I2=$false I3=$false O=$abc$56607$n2530 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=KEYBOARD.row_time[1] I1=$false I2=$false I3=$false O=$abc$56607$n2536 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$56607$n2603 I1=$false I2=$false I3=$false O=$abc$56607$n2604 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$56607$n2605 I1=$false I2=$false I3=$false O=$abc$56607$n2606 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C_INPUT_LEN[1] I1=$false I2=$false I3=$false O=$abc$56607$n2607 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=$false I2=$false I3=$false O=$abc$56607$n2608 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=$false I2=$false I3=$false O=$abc$56607$n2609 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C_INPUT_LEN[4] I1=$false I2=$false I3=$false O=$abc$56607$n2610 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C_INPUT_LEN[5] I1=$false I2=$false I3=$false O=$abc$56607$n2611 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C_INPUT_LEN[6] I1=$false I2=$false I3=$false O=$abc$56607$n2612 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C_INPUT_LEN[7] I1=$false I2=$false I3=$false O=$abc$56607$n2613 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$56607$n2045 I1=$false I2=$false I3=$false O=$abc$56607$n2615 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$56607$n2049 I1=$false I2=$false I3=$false O=$abc$56607$n2616 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=init_ram_cnt[3] I1=$false I2=$false I3=$false O=$abc$56607$n2618 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=i2c_input_data_type[2] I1=$false I2=$false I3=$false O=$abc$56607$n2620 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$56607$n2519 I1=$false I2=$false I3=$false O=$abc$56607$n2621 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$56607$n1524 I1=$false I2=$false I3=$false O=$abc$56607$n2623 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$56607$n1527 I1=$false I2=$false I3=$false O=$abc$56607$n2624 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$56607$n1529 I1=$false I2=$false I3=$false O=$abc$56607$n2625 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$56607$n1530 I1=$false I2=$false I3=$false O=$abc$56607$n2626 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$56607$n1523 I1=$false I2=$false I3=$false O=$abc$56607$n2627 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$56607$n1526 I1=$false I2=$false I3=$false O=$abc$56607$n2628 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$56607$n770 I1=$abc$56607$n758 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$56607$n1007 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01001111 .gate SB_LUT4 I0=$abc$56607$n21 I1=report_data_radr[0] I2=$abc$56607$n742 I3=$false O=$abc$56607$n1465 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00001110 .gate SB_LUT4 I0=$abc$56607$n932 I1=$abc$56607$n934 I2=$abc$56607$n1494 I3=$false O=$abc$56607$n1475 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11100000 .gate SB_LUT4 I0=$abc$56607$n27 I1=$abc$56607$n944 I2=$abc$56607$n927 I3=$false O=$abc$56607$n1477 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11010000 .gate SB_LUT4 I0=i2c_input_data_type[0] I1=i2c_input_data_type[3] I2=$abc$56607$n1077 I3=$false O=$abc$56607$n1478 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$56607$n1478 I1=$abc$56607$n1095 I2=I2C_OUTPUT_TYPE[2] I3=$false O=$abc$56607$n1479 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=KEYBOARD.report[0][2] I1=KEYBOARD.report[1][2] I2=$abc$56607$n1124 I3=$false O=$abc$56607$n1482 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 .gate SB_LUT4 I0=KEYBOARD.report[0][3] I1=KEYBOARD.report[1][3] I2=$abc$56607$n1124 I3=$abc$56607$n1116 O=$abc$56607$n1483_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0101001100000000 .gate SB_LUT4 I0=KEYBOARD.report[0][4] I1=KEYBOARD.report[1][4] I2=$abc$56607$n1124 I3=$abc$56607$n1116 O=$abc$56607$n1484_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1010110000000000 .gate SB_LUT4 I0=KEYBOARD.report[0][5] I1=KEYBOARD.report[1][5] I2=$abc$56607$n1124 I3=$abc$56607$n1116 O=$abc$56607$n1485 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1010110000000000 .gate SB_LUT4 I0=I2C.byte_counter[7] I1=$abc$56607$n1276 I2=$false I3=$false O=$abc$56607$n1487 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$56607$n1290_1 I1=$abc$56607$n2617 I2=$abc$56607$n361 I3=$abc$56607$n1293 O=$abc$56607$n2071 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1011101111110000 .gate SB_LUT4 I0=$abc$56607$n722 I1=KEYBOARD.COLS_SHADOW[2] I2=$abc$56607$n723 I3=$false O=$abc$56607$n1490_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10011111 .gate SB_LUT4 I0=$abc$56607$n709 I1=$abc$56607$n1343 I2=$abc$56607$n1347 I3=$abc$56607$n1535_1 O=$abc$56607$n2271 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000011110001 .gate SB_LUT4 I0=$abc$56607$n708 I1=KEYBOARD.COLS_SHADOW[4] I2=$abc$56607$n706 I3=$false O=$abc$56607$n1492_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10011111 .gate SB_LUT4 I0=$abc$56607$n27 I1=$abc$56607$n912 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$56607$n1494 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$56607$n27 I1=I2C.FLT_SCL.RESET I2=$abc$56607$n912 I3=$false O=$abc$56607$n1495 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$56607$n1126 I1=KEYBOARD.report[1][0] I2=$false I3=$false O=$abc$56607$n1497 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0111 .gate SB_LUT4 I0=UART.tx_activity I1=last_uart_active I2=$abc$56607$n742 I3=$abc$56607$n747 O=$abc$56607$n1498 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001111111111111 .gate SB_LUT4 I0=$abc$56607$n751 I1=$abc$56607$n2569 I2=$abc$56607$n747 I3=$false O=$abc$56607$n1499 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11010000 .gate SB_LUT4 I0=$abc$56607$n19 I1=$abc$56607$n1499 I2=I2C.is_read I3=$abc$56607$n742 O=$abc$56607$n1500 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1100101000000000 .gate SB_LUT4 I0=$abc$56607$n1500 I1=$abc$56607$n1498 I2=$abc$56607$n667 I3=$false O=$abc$56607$n1501_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01011100 .gate SB_LUT4 I0=$abc$56607$n815_1 I1=$abc$56607$n806 I2=$abc$56607$n807 I3=$abc$56607$n22 O=$abc$56607$n1502_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=$abc$56607$n804 I1=$abc$56607$n754 I2=$abc$56607$n1502_1 I3=I2C.is_read O=$abc$56607$n1503 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0011001100001011 .gate SB_LUT4 I0=$abc$56607$n816_1 I1=$abc$56607$n805 I2=$abc$56607$n810 I3=I2C.FLT_SCL.RESET O=$abc$56607$n1504 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1101000000000000 .gate SB_LUT4 I0=$abc$56607$n1503 I1=$abc$56607$n1504 I2=$abc$56607$n769 I3=$false O=$abc$56607$n1113 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=I2C.is_read I1=$abc$56607$n1513 I2=$abc$56607$n863 I3=$abc$56607$n988 O=$abc$56607$n1509 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100000011111111 .gate SB_LUT4 I0=$abc$56607$n741 I1=$abc$56607$n848 I2=$abc$56607$n778 I3=$abc$56607$n1509 O=$abc$56607$n1211 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=$abc$56607$n855 I1=$abc$56607$n861 I2=$abc$56607$n853 I3=i2c_input_data_type[0] O=$abc$56607$n1511_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111011100001111 .gate SB_LUT4 I0=$abc$56607$n815_1 I1=$abc$56607$n857 I2=$abc$56607$n2577 I3=$abc$56607$n860 O=$abc$56607$n1512_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000011101111 .gate SB_LUT4 I0=$abc$56607$n809 I1=$abc$56607$n1511_1 I2=$abc$56607$n856 I3=$abc$56607$n1512_1 O=$abc$56607$n1513 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011100000000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.report[1][7] I2=KEYBOARD.report[1][6] I3=KEYBOARD.kbd_code_hid[6] O=$abc$56607$n1514 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.report[1][5] I2=KEYBOARD.kbd_code_hid[3] I3=KEYBOARD.report[1][3] O=$abc$56607$n1515_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1011000000001011 .gate SB_LUT4 I0=$abc$56607$n1515_1 I1=$abc$56607$n1514 I2=$abc$56607$n985_1 I3=$abc$56607$n952 O=$abc$56607$n1516 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111111100000000 .gate SB_LUT4 I0=$abc$56607$n1115 I1=KEYBOARD.report[1][0] I2=KEYBOARD.report[6][0] I3=$abc$56607$n1121 O=$abc$56607$n1517 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=$abc$56607$n1517 I1=$abc$56607$n1111 I2=$abc$56607$n1117 I3=$false O=$abc$56607$n1518 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=KEYBOARD.report[0][0] I1=$abc$56607$n1120 I2=$abc$56607$n1518 I3=$abc$56607$n1129 O=$abc$56607$n1519 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000111100000000 .gate SB_LUT4 I0=$abc$56607$n1124 I1=KEYBOARD.report[0][0] I2=$abc$56607$n1497 I3=$abc$56607$n1129 O=$abc$56607$n1520_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000111100000000 .gate SB_LUT4 I0=$abc$56607$n1520_1 I1=$abc$56607$n1519 I2=$abc$56607$n1116 I3=$false O=$3\report_data_wr[7:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 .gate SB_LUT4 I0=KEYBOARD.report[1][3] I1=kbd_report[5][3] I2=$abc$56607$n312 I3=$abc$56607$n1113_1 O=$abc$56607$n1522 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0011010111111111 .gate SB_LUT4 I0=$abc$56607$n1119 I1=KEYBOARD.report[4][3] I2=KEYBOARD.report[6][3] I3=$abc$56607$n1121 O=$abc$56607$n1523_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=$abc$56607$n1116 I1=$abc$56607$n1523_1 I2=$abc$56607$n1522 I3=$abc$56607$n1163 O=$abc$56607$n1524_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=$abc$56607$n1124 I1=KEYBOARD.report[0][6] I2=$abc$56607$n1201 I3=$abc$56607$n1129 O=$abc$56607$n1525 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000110100000000 .gate SB_LUT4 I0=$abc$56607$n1120 I1=KEYBOARD.report[0][6] I2=$abc$56607$n1531 I3=$abc$56607$n1129 O=$abc$56607$n1526_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000111100000000 .gate SB_LUT4 I0=$abc$56607$n1526_1 I1=$abc$56607$n1525 I2=$abc$56607$n1116 I3=$false O=$3\report_data_wr[7:0][6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 .gate SB_LUT4 I0=KEYBOARD.report[1][6] I1=kbd_report[5][6] I2=$abc$56607$n312 I3=$abc$56607$n1113_1 O=$abc$56607$n1528 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0011010111111111 .gate SB_LUT4 I0=$abc$56607$n1119 I1=KEYBOARD.report[4][6] I2=KEYBOARD.report[6][6] I3=$abc$56607$n1121 O=$abc$56607$n1529_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=$abc$56607$n1114 I1=KEYBOARD.report[2][6] I2=KEYBOARD.report[3][6] I3=$abc$56607$n1118 O=$abc$56607$n1530_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=$abc$56607$n1528 I1=$abc$56607$n1529_1 I2=$abc$56607$n1530_1 I3=$false O=$abc$56607$n1531 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$56607$n1120 I1=KEYBOARD.report[0][7] I2=$abc$56607$n1209 I3=$abc$56607$n1129 O=$abc$56607$n1533 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000111100000000 .gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[0] I1=$abc$56607$n726 I2=$abc$56607$n727 I3=$false O=$abc$56607$n1535_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10010000 .gate SB_LUT4 I0=$abc$56607$n22 I1=I2C.byte_counter[1] I2=$abc$56607$n810 I3=$false O=$abc$56607$n1536_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$56607$n841 I1=$abc$56607$n809 I2=I2C.FLT_SCL.RESET I3=$abc$56607$n815_1 O=$abc$56607$n1537 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111000010111011 .gate SB_LUT4 I0=I2C.is_read I1=$abc$56607$n832 I2=$abc$56607$n807 I3=$abc$56607$n736 O=$abc$56607$n1540 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=$abc$56607$n1540 I1=$abc$56607$n825 I2=$abc$56607$n822 I3=I2C.byte_counter[0] O=$abc$56607$n1541_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000100000001111 .gate SB_LUT4 I0=I2C.byte_counter[1] I1=$abc$56607$n1541_1 I2=$abc$56607$n833 I3=$abc$56607$n754 O=$abc$56607$n1542 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1011000011111111 .gate SB_LUT4 I0=$abc$56607$n834 I1=$abc$56607$n809 I2=$abc$56607$n1537 I3=I2C.byte_counter[0] O=$abc$56607$n1543 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1011101111110000 .gate SB_LUT4 I0=$abc$56607$n1536_1 I1=$abc$56607$n1543 I2=$abc$56607$n816_1 I3=$abc$56607$n1542 O=$abc$56607$n1544_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011100000000 .gate SB_LUT4 I0=$abc$56607$n836 I1=$abc$56607$n776 I2=$abc$56607$n819 I3=$abc$56607$n1544_1 O=$abc$56607$n1155 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=KEYBOARD.report[1][7] I1=$abc$56607$n1126 I2=KEYBOARD.report[0][7] I3=$abc$56607$n1124 O=$abc$56607$n1546 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111000010001000 .gate SB_LUT4 I0=$abc$56607$n1129 I1=$abc$56607$n1546 I2=$abc$56607$n1533 I3=$abc$56607$n1116 O=$3\report_data_wr[7:0][7] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000100011110000 .gate SB_CARRY CI=$abc$56607$n2621 CO=$auto$alumacc.cc:470:replace_alu$12026.C[2] I0=$false I1=$abc$56607$n2518 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12026.C[2] CO=$auto$alumacc.cc:470:replace_alu$12026.C[3] I0=$false I1=$abc$56607$n2604 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12026.C[3] CO=$abc$56607$n2229 I0=$false I1=$abc$56607$n2606 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$abc$56607$n2607 CO=$auto$alumacc.cc:470:replace_alu$12031.C[3] I0=$false I1=$abc$56607$n2608 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12031.C[3] CO=$auto$alumacc.cc:470:replace_alu$12031.C[4] I0=$false I1=$abc$56607$n2609 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12031.C[4] CO=$auto$alumacc.cc:470:replace_alu$12031.C[5] I0=$false I1=$abc$56607$n2610 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12031.C[5] CO=$auto$alumacc.cc:470:replace_alu$12031.C[6] I0=$false I1=$abc$56607$n2611 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12031.C[6] CO=$auto$alumacc.cc:470:replace_alu$12031.C[7] I0=$false I1=$abc$56607$n2612 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12031.C[7] CO=$abc$56607$n2569 I0=$false I1=$abc$56607$n2613 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$abc$56607$n282 CO=$auto$alumacc.cc:470:replace_alu$12036.C[3] I0=$true I1=$abc$56607$n280 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12036.C[3] CO=$auto$alumacc.cc:470:replace_alu$12036.C[4] I0=$false I1=$abc$56607$n279 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12036.C[4] CO=$auto$alumacc.cc:470:replace_alu$12036.C[5] I0=$false I1=$abc$56607$n277 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12036.C[5] CO=$auto$alumacc.cc:470:replace_alu$12036.C[6] I0=$false I1=$abc$56607$n276 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12036.C[6] CO=$auto$alumacc.cc:470:replace_alu$12036.C[7] I0=$false I1=$abc$56607$n274 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12036.C[7] CO=$abc$56607$n2570 I0=$false I1=$abc$56607$n273 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=KEYBOARD.kbd_code_hid[3] CO=$auto$alumacc.cc:470:replace_alu$12041.C[5] I0=KEYBOARD.kbd_code_hid[4] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12041.C[5] CO=$auto$alumacc.cc:470:replace_alu$12041.C[6] I0=KEYBOARD.kbd_code_hid[5] I1=$false .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12041.C[6] CO=$auto$alumacc.cc:470:replace_alu$12041.C[7] I0=KEYBOARD.kbd_code_hid[6] I1=$false .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12041.C[7] CO=$abc$56607$n2571 I0=KEYBOARD.kbd_code_hid[7] I1=$false .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=KEYBOARD.kbd_code_hid[0] CO=$auto$alumacc.cc:470:replace_alu$12053.C[2] I0=KEYBOARD.kbd_code_hid[1] I1=$false .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12053.C[2] CO=$auto$alumacc.cc:470:replace_alu$12053.C[3] I0=KEYBOARD.kbd_code_hid[2] I1=$false .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12053.C[3] CO=$auto$alumacc.cc:470:replace_alu$12053.C[4] I0=KEYBOARD.kbd_code_hid[3] I1=$false .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12053.C[4] CO=$auto$alumacc.cc:470:replace_alu$12053.C[5] I0=KEYBOARD.kbd_code_hid[4] I1=$false .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12053.C[5] CO=$auto$alumacc.cc:470:replace_alu$12053.C[6] I0=KEYBOARD.kbd_code_hid[5] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12053.C[6] CO=$auto$alumacc.cc:470:replace_alu$12053.C[7] I0=KEYBOARD.kbd_code_hid[6] I1=$false .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12053.C[7] CO=$abc$56607$n2572 I0=KEYBOARD.kbd_code_hid[7] I1=$false .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$abc$56607$n2615 CO=$auto$alumacc.cc:470:replace_alu$12064.C[2] I0=$false I1=$abc$56607$n2530 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12064.C[2] CO=$auto$alumacc.cc:470:replace_alu$12064.C[3] I0=$false I1=$abc$56607$n2616 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12064.C[3] CO=$abc$56607$n1249 I0=$false I1=$abc$56607$n2617 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$abc$56607$n246 CO=$auto$alumacc.cc:470:replace_alu$12069.C[2] I0=$true I1=$abc$56607$n1955 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12069.C[2] CO=$auto$alumacc.cc:470:replace_alu$12069.C[3] I0=$false I1=$abc$56607$n243 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12069.C[3] CO=$auto$alumacc.cc:470:replace_alu$12069.C[4] I0=$true I1=$abc$56607$n2618 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12069.C[4] CO=$auto$alumacc.cc:470:replace_alu$12069.C[5] I0=$false I1=$abc$56607$n240 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12069.C[5] CO=$auto$alumacc.cc:470:replace_alu$12069.C[6] I0=$false I1=$abc$56607$n239 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12069.C[6] CO=$auto$alumacc.cc:470:replace_alu$12069.C[7] I0=$false I1=$abc$56607$n237 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12069.C[7] CO=$abc$56607$n2575 I0=$false I1=$abc$56607$n236 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=init_ram_cnt[1] CO=$auto$alumacc.cc:470:replace_alu$12080.C[3] I0=init_ram_cnt[2] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12080.C[3] CO=$auto$alumacc.cc:470:replace_alu$12080.C[4] I0=init_ram_cnt[3] I1=$false .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12080.C[4] CO=$auto$alumacc.cc:470:replace_alu$12080.C[5] I0=init_ram_cnt[4] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12080.C[5] CO=$auto$alumacc.cc:470:replace_alu$12080.C[6] I0=init_ram_cnt[5] I1=$false .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12080.C[6] CO=$auto$alumacc.cc:470:replace_alu$12080.C[7] I0=init_ram_cnt[6] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12080.C[7] CO=$abc$56607$n22 I0=init_ram_cnt[7] I1=$false .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$abc$56607$n270 CO=$auto$alumacc.cc:470:replace_alu$12087.C[2] I0=$false I1=$abc$56607$n269 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12087.C[2] CO=$auto$alumacc.cc:470:replace_alu$12087.C[3] I0=$true I1=$abc$56607$n2620 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12087.C[3] CO=$abc$56607$n2577 I0=$false I1=$abc$56607$n266 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$abc$56607$n282 CO=$auto$alumacc.cc:470:replace_alu$12098.C[3] I0=$false I1=$abc$56607$n280 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12098.C[3] CO=$auto$alumacc.cc:470:replace_alu$12098.C[4] I0=$false I1=$abc$56607$n279 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12098.C[4] CO=$auto$alumacc.cc:470:replace_alu$12098.C[5] I0=$false I1=$abc$56607$n277 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12098.C[5] CO=$auto$alumacc.cc:470:replace_alu$12098.C[6] I0=$false I1=$abc$56607$n276 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12098.C[6] CO=$auto$alumacc.cc:470:replace_alu$12098.C[7] I0=$false I1=$abc$56607$n274 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12098.C[7] CO=$abc$56607$n2580 I0=$false I1=$abc$56607$n273 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$abc$56607$n2621 I3=$true O=$abc$56607$n2509 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$abc$56607$n2621 CO=$auto$alumacc.cc:470:replace_alu$12111.C[2] I0=$false I1=$abc$56607$n2518 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$abc$56607$n2604 I3=$auto$alumacc.cc:470:replace_alu$12111.C[2] O=$abc$56607$n2495 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=wr_cnt[0] I3=$false O=$abc$56607$n1894 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=wr_cnt[0] CO=$auto$alumacc.cc:470:replace_alu$12114.C[2] I0=$false I1=wr_cnt[1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[2] I3=$auto$alumacc.cc:470:replace_alu$12114.C[2] O=$abc$56607$n1897 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12114.C[2] CO=$auto$alumacc.cc:470:replace_alu$12114.C[3] I0=$false I1=wr_cnt[2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[3] I3=$auto$alumacc.cc:470:replace_alu$12114.C[3] O=$abc$56607$n1899 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=ring_rd[0] I3=$false O=$abc$56607$n1811 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=ring_rd[0] CO=$auto$alumacc.cc:470:replace_alu$12117.C[2] I0=$false I1=ring_rd[1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=ring_rd[2] I3=$auto$alumacc.cc:470:replace_alu$12117.C[2] O=$abc$56607$n1817 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12117.C[2] CO=$auto$alumacc.cc:470:replace_alu$12117.C[3] I0=$false I1=ring_rd[2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=ring_rd[3] I3=$auto$alumacc.cc:470:replace_alu$12117.C[3] O=$abc$56607$n1820 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=report_data_radr[0] I3=$false O=$add$top.v:180$513_Y[0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=report_data_radr[0] CO=$auto$alumacc.cc:470:replace_alu$12120.C[2] I0=$false I1=report_data_radr[1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[2] I3=$auto$alumacc.cc:470:replace_alu$12120.C[2] O=$abc$56607$n1824 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12120.C[2] CO=$auto$alumacc.cc:470:replace_alu$12120.C[3] I0=$false I1=report_data_radr[2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[3] I3=$auto$alumacc.cc:470:replace_alu$12120.C[3] O=$abc$56607$n1827 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12120.C[3] CO=$auto$alumacc.cc:470:replace_alu$12120.C[4] I0=$false I1=report_data_radr[3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[4] I3=$auto$alumacc.cc:470:replace_alu$12120.C[4] O=$abc$56607$n1830 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12120.C[4] CO=$auto$alumacc.cc:470:replace_alu$12120.C[5] I0=$false I1=report_data_radr[4] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[5] I3=$auto$alumacc.cc:470:replace_alu$12120.C[5] O=$abc$56607$n1833 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12120.C[5] CO=$auto$alumacc.cc:470:replace_alu$12120.C[6] I0=$false I1=report_data_radr[5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[6] I3=$auto$alumacc.cc:470:replace_alu$12120.C[6] O=$abc$56607$n1836 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12120.C[6] CO=$auto$alumacc.cc:470:replace_alu$12120.C[7] I0=$false I1=report_data_radr[6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[7] I3=$auto$alumacc.cc:470:replace_alu$12120.C[7] O=$abc$56607$n1839 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=int_tmr[0] I3=$false O=$13\int_tmr[19:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$false I2=int_tmr[10] I3=$auto$alumacc.cc:470:replace_alu$12123.C[10] O=$13\int_tmr[19:0][10] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[10] CO=$auto$alumacc.cc:470:replace_alu$12123.C[11] I0=$false I1=int_tmr[10] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=int_tmr[11] I3=$auto$alumacc.cc:470:replace_alu$12123.C[11] O=$13\int_tmr[19:0][11] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[11] CO=$auto$alumacc.cc:470:replace_alu$12123.C[12] I0=$false I1=int_tmr[11] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=int_tmr[12] I3=$auto$alumacc.cc:470:replace_alu$12123.C[12] O=$13\int_tmr[19:0][12] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[12] CO=$auto$alumacc.cc:470:replace_alu$12123.C[13] I0=$false I1=int_tmr[12] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=int_tmr[13] I3=$auto$alumacc.cc:470:replace_alu$12123.C[13] O=$13\int_tmr[19:0][13] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[13] CO=$auto$alumacc.cc:470:replace_alu$12123.C[14] I0=$false I1=int_tmr[13] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=int_tmr[14] I3=$auto$alumacc.cc:470:replace_alu$12123.C[14] O=$13\int_tmr[19:0][14] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[14] CO=$auto$alumacc.cc:470:replace_alu$12123.C[15] I0=$false I1=int_tmr[14] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=int_tmr[15] I3=$auto$alumacc.cc:470:replace_alu$12123.C[15] O=$13\int_tmr[19:0][15] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[15] CO=$auto$alumacc.cc:470:replace_alu$12123.C[16] I0=$false I1=int_tmr[15] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=int_tmr[16] I3=$auto$alumacc.cc:470:replace_alu$12123.C[16] O=$13\int_tmr[19:0][16] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[16] CO=$auto$alumacc.cc:470:replace_alu$12123.C[17] I0=$false I1=int_tmr[16] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=int_tmr[17] I3=$auto$alumacc.cc:470:replace_alu$12123.C[17] O=$13\int_tmr[19:0][17] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[17] CO=$auto$alumacc.cc:470:replace_alu$12123.C[18] I0=$false I1=int_tmr[17] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=int_tmr[18] I3=$auto$alumacc.cc:470:replace_alu$12123.C[18] O=$13\int_tmr[19:0][18] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[18] CO=$auto$alumacc.cc:470:replace_alu$12123.C[19] I0=$false I1=int_tmr[18] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=int_tmr[19] I3=$auto$alumacc.cc:470:replace_alu$12123.C[19] O=$abc$56607$n1733 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=int_tmr[0] CO=$auto$alumacc.cc:470:replace_alu$12123.C[2] I0=$false I1=int_tmr[1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=int_tmr[2] I3=$auto$alumacc.cc:470:replace_alu$12123.C[2] O=$13\int_tmr[19:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[2] CO=$auto$alumacc.cc:470:replace_alu$12123.C[3] I0=$false I1=int_tmr[2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=int_tmr[3] I3=$auto$alumacc.cc:470:replace_alu$12123.C[3] O=$13\int_tmr[19:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[3] CO=$auto$alumacc.cc:470:replace_alu$12123.C[4] I0=$false I1=int_tmr[3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=int_tmr[4] I3=$auto$alumacc.cc:470:replace_alu$12123.C[4] O=$13\int_tmr[19:0][4] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[4] CO=$auto$alumacc.cc:470:replace_alu$12123.C[5] I0=$false I1=int_tmr[4] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=int_tmr[5] I3=$auto$alumacc.cc:470:replace_alu$12123.C[5] O=$13\int_tmr[19:0][5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[5] CO=$auto$alumacc.cc:470:replace_alu$12123.C[6] I0=$false I1=int_tmr[5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=int_tmr[6] I3=$auto$alumacc.cc:470:replace_alu$12123.C[6] O=$13\int_tmr[19:0][6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[6] CO=$auto$alumacc.cc:470:replace_alu$12123.C[7] I0=$false I1=int_tmr[6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=int_tmr[7] I3=$auto$alumacc.cc:470:replace_alu$12123.C[7] O=$13\int_tmr[19:0][7] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[7] CO=$auto$alumacc.cc:470:replace_alu$12123.C[8] I0=$false I1=int_tmr[7] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=int_tmr[8] I3=$auto$alumacc.cc:470:replace_alu$12123.C[8] O=$13\int_tmr[19:0][8] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[8] CO=$auto$alumacc.cc:470:replace_alu$12123.C[9] I0=$false I1=int_tmr[8] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=int_tmr[9] I3=$auto$alumacc.cc:470:replace_alu$12123.C[9] O=$13\int_tmr[19:0][9] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12123.C[9] CO=$auto$alumacc.cc:470:replace_alu$12123.C[10] I0=$false I1=int_tmr[9] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$abc$56607$n35 I2=rststate[0] I3=$false O=$abc$56607$n1977 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$false CO=$auto$alumacc.cc:470:replace_alu$12126.C[1] I0=$abc$56607$n35 I1=rststate[0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=rststate[1] I3=$auto$alumacc.cc:470:replace_alu$12126.C[1] O=$abc$56607$n1979 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12126.C[1] CO=$auto$alumacc.cc:470:replace_alu$12126.C[2] I0=$false I1=rststate[1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=rststate[2] I3=$auto$alumacc.cc:470:replace_alu$12126.C[2] O=$abc$56607$n1981 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12126.C[2] CO=$auto$alumacc.cc:470:replace_alu$12126.C[3] I0=$false I1=rststate[2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=rststate[3] I3=$auto$alumacc.cc:470:replace_alu$12126.C[3] O=$abc$56607$n1983 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=init_ram_cnt[0] I3=$false O=$2\init_ram_cnt[7:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=init_ram_cnt[0] CO=$auto$alumacc.cc:470:replace_alu$12129.C[2] I0=$false I1=init_ram_cnt[1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[2] I3=$auto$alumacc.cc:470:replace_alu$12129.C[2] O=$2\init_ram_cnt[7:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12129.C[2] CO=$auto$alumacc.cc:470:replace_alu$12129.C[3] I0=$false I1=init_ram_cnt[2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[3] I3=$auto$alumacc.cc:470:replace_alu$12129.C[3] O=$2\init_ram_cnt[7:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12129.C[3] CO=$auto$alumacc.cc:470:replace_alu$12129.C[4] I0=$false I1=init_ram_cnt[3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[4] I3=$auto$alumacc.cc:470:replace_alu$12129.C[4] O=$2\init_ram_cnt[7:0][4] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12129.C[4] CO=$auto$alumacc.cc:470:replace_alu$12129.C[5] I0=$false I1=init_ram_cnt[4] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[5] I3=$auto$alumacc.cc:470:replace_alu$12129.C[5] O=$2\init_ram_cnt[7:0][5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12129.C[5] CO=$auto$alumacc.cc:470:replace_alu$12129.C[6] I0=$false I1=init_ram_cnt[5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[6] I3=$auto$alumacc.cc:470:replace_alu$12129.C[6] O=$2\init_ram_cnt[7:0][6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12129.C[6] CO=$auto$alumacc.cc:470:replace_alu$12129.C[7] I0=$false I1=init_ram_cnt[6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[7] I3=$auto$alumacc.cc:470:replace_alu$12129.C[7] O=$2\init_ram_cnt[7:0][7] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=ring_wr[0] I3=$false O=$abc$56607$n1902 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=ring_wr[0] CO=$auto$alumacc.cc:470:replace_alu$12132.C[2] I0=$false I1=ring_wr[1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=ring_wr[2] I3=$auto$alumacc.cc:470:replace_alu$12132.C[2] O=$abc$56607$n1908 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12132.C[2] CO=$auto$alumacc.cc:470:replace_alu$12132.C[3] I0=$false I1=ring_wr[2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=ring_wr[3] I3=$auto$alumacc.cc:470:replace_alu$12132.C[3] O=$abc$56607$n1911 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12132.C[3] CO=$auto$alumacc.cc:470:replace_alu$12132.C[4] I0=$false I1=ring_wr[3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$alumacc.cc:470:replace_alu$12132.C[4] O=$abc$56607$n49 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=wr_cnt[0] I2=$true I3=$true O=$abc$56607$n321 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=wr_cnt[0] I2=$false I3=$true O=$abc$56607$n305 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=wr_cnt[0] CO=$auto$alumacc.cc:470:replace_alu$12138.C[2] I0=wr_cnt[1] I1=$false .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=wr_cnt[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12138.C[2] O=$abc$56607$n312 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=I2C.byte_counter[0] I2=$false I3=$true O=$2\I2C_INPUT_LEN[7:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=I2C.byte_counter[0] CO=$auto$alumacc.cc:470:replace_alu$12141.C[2] I0=I2C.byte_counter[1] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=I2C.byte_counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12141.C[2] O=$2\I2C_INPUT_LEN[7:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12141.C[2] CO=$auto$alumacc.cc:470:replace_alu$12141.C[3] I0=I2C.byte_counter[2] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=I2C.byte_counter[3] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12141.C[3] O=$2\I2C_INPUT_LEN[7:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12141.C[3] CO=$auto$alumacc.cc:470:replace_alu$12141.C[4] I0=I2C.byte_counter[3] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=I2C.byte_counter[4] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12141.C[4] O=$2\I2C_INPUT_LEN[7:0][4] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12141.C[4] CO=$auto$alumacc.cc:470:replace_alu$12141.C[5] I0=I2C.byte_counter[4] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=I2C.byte_counter[5] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12141.C[5] O=$2\I2C_INPUT_LEN[7:0][5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12141.C[5] CO=$auto$alumacc.cc:470:replace_alu$12141.C[6] I0=I2C.byte_counter[5] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=I2C.byte_counter[6] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12141.C[6] O=$2\I2C_INPUT_LEN[7:0][6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12141.C[6] CO=$auto$alumacc.cc:470:replace_alu$12141.C[7] I0=I2C.byte_counter[6] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=I2C.byte_counter[7] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12141.C[7] O=$2\I2C_INPUT_LEN[7:0][7] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=init_ram_cnt[0] I2=$true I3=$true O=$abc$56607$n1953 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=init_ram_cnt[1] CO=$auto$alumacc.cc:470:replace_alu$12144.C[3] I0=init_ram_cnt[2] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=init_ram_cnt[3] I2=$false I3=$auto$alumacc.cc:470:replace_alu$12144.C[3] O=$abc$56607$n1959 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12144.C[3] CO=$auto$alumacc.cc:470:replace_alu$12144.C[4] I0=init_ram_cnt[3] I1=$false .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=init_ram_cnt[4] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12144.C[4] O=$abc$56607$n1961 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12144.C[4] CO=$auto$alumacc.cc:470:replace_alu$12144.C[5] I0=init_ram_cnt[4] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=init_ram_cnt[5] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12144.C[5] O=$abc$56607$n1963 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12144.C[5] CO=$auto$alumacc.cc:470:replace_alu$12144.C[6] I0=init_ram_cnt[5] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=init_ram_cnt[6] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12144.C[6] O=$abc$56607$n1965 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12144.C[6] CO=$auto$alumacc.cc:470:replace_alu$12144.C[7] I0=init_ram_cnt[6] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=init_ram_cnt[7] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12144.C[7] O=$abc$56607$n1967 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$abc$56607$n2528 CO=$auto$alumacc.cc:470:replace_alu$12147.C[3] I0=$false I1=$abc$56607$n2627 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12147.C[3] CO=$auto$alumacc.cc:470:replace_alu$12147.C[4] I0=$false I1=$abc$56607$n2623 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12147.C[4] CO=$auto$alumacc.cc:470:replace_alu$12147.C[5] I0=$false I1=$abc$56607$n2628 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12147.C[5] CO=$auto$alumacc.cc:470:replace_alu$12147.C[6] I0=$false I1=$abc$56607$n2624 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12147.C[6] CO=$auto$alumacc.cc:470:replace_alu$12147.C[7] I0=$false I1=$abc$56607$n2625 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12147.C[7] CO=$abc$56607$n2583 I0=$false I1=$abc$56607$n2626 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$true I2=$abc$56607$n1520 I3=$false O=$abc$56607$n2210 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$abc$56607$n1520 CO=$auto$alumacc.cc:470:replace_alu$12152.C[2] I0=$false I1=$abc$56607$n1521 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$abc$56607$n1523 I3=$auto$alumacc.cc:470:replace_alu$12152.C[2] O=$abc$56607$n2212 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12152.C[2] CO=$auto$alumacc.cc:470:replace_alu$12152.C[3] I0=$false I1=$abc$56607$n1523 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$abc$56607$n1524 I3=$auto$alumacc.cc:470:replace_alu$12152.C[3] O=$abc$56607$n2213 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12152.C[3] CO=$auto$alumacc.cc:470:replace_alu$12152.C[4] I0=$false I1=$abc$56607$n1524 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$abc$56607$n1526 I3=$auto$alumacc.cc:470:replace_alu$12152.C[4] O=$abc$56607$n2214 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12152.C[4] CO=$auto$alumacc.cc:470:replace_alu$12152.C[5] I0=$false I1=$abc$56607$n1526 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$abc$56607$n1527 I3=$auto$alumacc.cc:470:replace_alu$12152.C[5] O=$abc$56607$n2215 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12152.C[5] CO=$auto$alumacc.cc:470:replace_alu$12152.C[6] I0=$false I1=$abc$56607$n1527 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$abc$56607$n1529 I3=$auto$alumacc.cc:470:replace_alu$12152.C[6] O=$abc$56607$n2216 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12152.C[6] CO=$auto$alumacc.cc:470:replace_alu$12152.C[7] I0=$false I1=$abc$56607$n1529 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$abc$56607$n1530 I3=$auto$alumacc.cc:470:replace_alu$12152.C[7] O=$abc$56607$n2217 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$abc$56607$n2045 I2=$false I3=$true O=$abc$56607$n358 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$abc$56607$n2045 CO=$auto$alumacc.cc:470:replace_alu$12155.C[2] I0=$abc$56607$n2047 I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$abc$56607$n2049 I2=$true I3=$auto$alumacc.cc:470:replace_alu$12155.C[2] O=$abc$56607$n360 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12155.C[2] CO=$auto$alumacc.cc:470:replace_alu$12155.C[3] I0=$abc$56607$n2049 I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$abc$56607$n2051 I2=$true I3=$auto$alumacc.cc:470:replace_alu$12155.C[3] O=$abc$56607$n361 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12155.C[3] CO=$abc$56607$n2529 I0=$abc$56607$n2051 I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$true I3=$abc$56607$n2529 O=$abc$56607$n372 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[0] I2=$false I3=$true O=$abc$56607$n1636 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=I2C.FLT_SCL.counter[0] CO=$auto$alumacc.cc:470:replace_alu$12158.C[2] I0=I2C.FLT_SCL.counter[1] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12158.C[2] O=$abc$56607$n1639 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[0] I2=$false I3=$true O=$abc$56607$n1632 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=I2C.FLT_SDA.counter[0] CO=$auto$alumacc.cc:470:replace_alu$12161.C[2] I0=I2C.FLT_SDA.counter[1] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12161.C[2] O=$abc$56607$n1635 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$false I2=I2C.byte_counter[0] I3=$false O=$abc$56607$n2471 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=I2C.byte_counter[5] CO=$auto$alumacc.cc:470:replace_alu$12164.C[7] I0=$false I1=I2C.byte_counter[6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=I2C.byte_counter[7] I3=$auto$alumacc.cc:470:replace_alu$12164.C[7] O=$abc$56607$n2477 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.init_ram_cnt[0] I3=$false O=$auto$wreduce.cc:347:run$12008[0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=KEYBOARD.init_ram_cnt[0] CO=$auto$alumacc.cc:470:replace_alu$12188.C[2] I0=$false I1=KEYBOARD.init_ram_cnt[1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[2] I3=$auto$alumacc.cc:470:replace_alu$12188.C[2] O=$auto$wreduce.cc:347:run$12008[2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12188.C[2] CO=$auto$alumacc.cc:470:replace_alu$12188.C[3] I0=$false I1=KEYBOARD.init_ram_cnt[2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[3] I3=$auto$alumacc.cc:470:replace_alu$12188.C[3] O=$auto$wreduce.cc:347:run$12008[3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12188.C[3] CO=$auto$alumacc.cc:470:replace_alu$12188.C[4] I0=$false I1=KEYBOARD.init_ram_cnt[3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[4] I3=$auto$alumacc.cc:470:replace_alu$12188.C[4] O=$auto$wreduce.cc:347:run$12008[4] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12188.C[4] CO=$auto$alumacc.cc:470:replace_alu$12188.C[5] I0=$false I1=KEYBOARD.init_ram_cnt[4] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[5] I3=$auto$alumacc.cc:470:replace_alu$12188.C[5] O=$auto$wreduce.cc:347:run$12008[5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12188.C[5] CO=$auto$alumacc.cc:470:replace_alu$12188.C[6] I0=$false I1=KEYBOARD.init_ram_cnt[5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[6] I3=$auto$alumacc.cc:470:replace_alu$12188.C[6] O=$auto$wreduce.cc:347:run$12008[6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12188.C[6] CO=$auto$alumacc.cc:470:replace_alu$12188.C[7] I0=$false I1=KEYBOARD.init_ram_cnt[6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[7] I3=$auto$alumacc.cc:470:replace_alu$12188.C[7] O=$auto$wreduce.cc:347:run$12008[7] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12188.C[7] CO=$auto$alumacc.cc:470:replace_alu$12188.C[8] I0=$false I1=KEYBOARD.init_ram_cnt[7] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[8] I3=$auto$alumacc.cc:470:replace_alu$12188.C[8] O=$auto$wreduce.cc:347:run$12008[8] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_counter[0] I3=$false O=$abc$56607$n2231 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=KEYBOARD.row_counter[0] CO=$auto$alumacc.cc:470:replace_alu$12191.C[2] I0=$false I1=KEYBOARD.row_counter[1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[2] I3=$auto$alumacc.cc:470:replace_alu$12191.C[2] O=$abc$56607$n2237 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12191.C[2] CO=$auto$alumacc.cc:470:replace_alu$12191.C[3] I0=$false I1=KEYBOARD.row_counter[2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[3] I3=$auto$alumacc.cc:470:replace_alu$12191.C[3] O=$abc$56607$n28 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_time[0] I3=$false O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[10] I3=$auto$alumacc.cc:470:replace_alu$12194.C[10] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[10] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[10] CO=$auto$alumacc.cc:470:replace_alu$12194.C[11] I0=$false I1=KEYBOARD.row_time[10] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[11] I3=$auto$alumacc.cc:470:replace_alu$12194.C[11] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[11] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[11] CO=$auto$alumacc.cc:470:replace_alu$12194.C[12] I0=$false I1=KEYBOARD.row_time[11] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[12] I3=$auto$alumacc.cc:470:replace_alu$12194.C[12] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[12] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[12] CO=$auto$alumacc.cc:470:replace_alu$12194.C[13] I0=$false I1=KEYBOARD.row_time[12] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[13] I3=$auto$alumacc.cc:470:replace_alu$12194.C[13] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[13] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[13] CO=$auto$alumacc.cc:470:replace_alu$12194.C[14] I0=$false I1=KEYBOARD.row_time[13] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[14] I3=$auto$alumacc.cc:470:replace_alu$12194.C[14] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[14] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[14] CO=$auto$alumacc.cc:470:replace_alu$12194.C[15] I0=$false I1=KEYBOARD.row_time[14] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[15] I3=$auto$alumacc.cc:470:replace_alu$12194.C[15] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[15] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=KEYBOARD.row_time[0] CO=$auto$alumacc.cc:470:replace_alu$12194.C[2] I0=$false I1=KEYBOARD.row_time[1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[2] I3=$auto$alumacc.cc:470:replace_alu$12194.C[2] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[2] CO=$auto$alumacc.cc:470:replace_alu$12194.C[3] I0=$false I1=KEYBOARD.row_time[2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[3] I3=$auto$alumacc.cc:470:replace_alu$12194.C[3] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[3] CO=$auto$alumacc.cc:470:replace_alu$12194.C[4] I0=$false I1=KEYBOARD.row_time[3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[4] I3=$auto$alumacc.cc:470:replace_alu$12194.C[4] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[4] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[4] CO=$auto$alumacc.cc:470:replace_alu$12194.C[5] I0=$false I1=KEYBOARD.row_time[4] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[5] I3=$auto$alumacc.cc:470:replace_alu$12194.C[5] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[5] CO=$auto$alumacc.cc:470:replace_alu$12194.C[6] I0=$false I1=KEYBOARD.row_time[5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[6] I3=$auto$alumacc.cc:470:replace_alu$12194.C[6] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[6] CO=$auto$alumacc.cc:470:replace_alu$12194.C[7] I0=$false I1=KEYBOARD.row_time[6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[7] I3=$auto$alumacc.cc:470:replace_alu$12194.C[7] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[7] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[7] CO=$auto$alumacc.cc:470:replace_alu$12194.C[8] I0=$false I1=KEYBOARD.row_time[7] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[8] I3=$auto$alumacc.cc:470:replace_alu$12194.C[8] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[8] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[8] CO=$auto$alumacc.cc:470:replace_alu$12194.C[9] I0=$false I1=KEYBOARD.row_time[8] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[9] I3=$auto$alumacc.cc:470:replace_alu$12194.C[9] O=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[9] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12194.C[9] CO=$auto$alumacc.cc:470:replace_alu$12194.C[10] I0=$false I1=KEYBOARD.row_time[9] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[0] I2=$false I3=$true O=$abc$56607$n1541 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=UART.tx_clk_counter[0] CO=$auto$alumacc.cc:470:replace_alu$12197.C[2] I0=UART.tx_clk_counter[1] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12197.C[2] O=$abc$56607$n1544 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12197.C[2] CO=$auto$alumacc.cc:470:replace_alu$12197.C[3] I0=UART.tx_clk_counter[2] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[3] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12197.C[3] O=$abc$56607$n1545 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[0] I2=$false I3=$true O=$abc$56607$n2519 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=UART.tx_bit_counter[0] CO=$auto$alumacc.cc:470:replace_alu$12200.C[2] I0=UART.tx_bit_counter[1] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12200.C[2] O=$abc$56607$n2603 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$12200.C[2] CO=$auto$alumacc.cc:470:replace_alu$12200.C[3] I0=UART.tx_bit_counter[2] I1=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[3] I2=$true I3=$auto$alumacc.cc:470:replace_alu$12200.C[3] O=$abc$56607$n2605 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$false CO=$auto$maccmap.cc:240:synth$12778.C[5] I0=$abc$56607$n2543 I1=$abc$56607$n2545 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$10\ring_rd[3:0][2] I2=$abc$56607$n2691 I3=$auto$maccmap.cc:240:synth$12778.C[5] O=$abc$56607$n1832 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$maccmap.cc:240:synth$12778.C[5] CO=$auto$maccmap.cc:240:synth$12778.C[6] I0=$10\ring_rd[3:0][2] I1=$abc$56607$n2691 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$10\ring_rd[3:0][3] I2=$false I3=$auto$maccmap.cc:240:synth$12778.C[6] O=$abc$56607$n1835 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$maccmap.cc:240:synth$12778.C[6] CO=$auto$maccmap.cc:240:synth$12778.C[7] I0=$10\ring_rd[3:0][3] I1=$false .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$maccmap.cc:240:synth$12778.C[7] O=$abc$56607$n1838 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=wr_cnt[0] I2=$false I3=$false O=$6\report_data_wadr[7:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$false CO=$auto$maccmap.cc:240:synth$13003.C[5] I0=$abc$56607$n2546 I1=$abc$56607$n2548 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$abc$56607$n2678 I2=$abc$56607$n2680 I3=$auto$maccmap.cc:240:synth$13003.C[5] O=$6\report_data_wadr[7:0][5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$maccmap.cc:240:synth$13003.C[5] CO=$auto$maccmap.cc:240:synth$13003.C[6] I0=$abc$56607$n2678 I1=$abc$56607$n2680 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=ring_wr[3] I2=$abc$56607$n2681 I3=$auto$maccmap.cc:240:synth$13003.C[6] O=$6\report_data_wadr[7:0][6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$maccmap.cc:240:synth$13003.C[6] CO=$auto$maccmap.cc:240:synth$13003.C[7] I0=ring_wr[3] I1=$abc$56607$n2681 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$maccmap.cc:240:synth$13003.C[7] O=$6\report_data_wadr[7:0][7] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$false CO=$auto$maccmap.cc:240:synth$13026.C[5] I0=$abc$56607$n2549 I1=$abc$56607$n2551 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$2\ring_wr[3:0][2] I2=$abc$56607$n2671 I3=$auto$maccmap.cc:240:synth$13026.C[5] O=$abc$56607$n1943 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$maccmap.cc:240:synth$13026.C[5] CO=$auto$maccmap.cc:240:synth$13026.C[6] I0=$2\ring_wr[3:0][2] I1=$abc$56607$n2671 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$2\ring_wr[3:0][3] I2=$false I3=$auto$maccmap.cc:240:synth$13026.C[6] O=$abc$56607$n1946 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$maccmap.cc:240:synth$13026.C[6] CO=$auto$maccmap.cc:240:synth$13026.C[7] I0=$2\ring_wr[3:0][3] I1=$false .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$maccmap.cc:240:synth$13026.C[7] O=$abc$56607$n1949 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_DFFE C=CLK D=$abc$56607$n3 E=$abc$56607$n873 Q=LED1 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][0] E=$abc$56607$n842 Q=init_ram_cnt[0] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][1] E=$abc$56607$n842 Q=init_ram_cnt[1] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][2] E=$abc$56607$n842 Q=init_ram_cnt[2] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][3] E=$abc$56607$n842 Q=init_ram_cnt[3] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][4] E=$abc$56607$n842 Q=init_ram_cnt[4] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][5] E=$abc$56607$n842 Q=init_ram_cnt[5] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][6] E=$abc$56607$n842 Q=init_ram_cnt[6] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][7] E=$abc$56607$n842 Q=init_ram_cnt[7] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFF C=CLK D=$0\rststate[3:0][0] Q=rststate[0] .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$0\rststate[3:0][1] Q=rststate[1] .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$0\rststate[3:0][2] Q=rststate[2] .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$0\rststate[3:0][3] Q=rststate[3] .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFFESR C=CLK D=$2\UART_WR[0:0] E=$abc$56607$n881 Q=UART_WR R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][0] E=$abc$56607$n888 Q=UART_TX_DATA[0] S=$abc$56607$n19 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][1] E=$abc$56607$n888 Q=UART_TX_DATA[1] S=$abc$56607$n19 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][2] E=$abc$56607$n888 Q=UART_TX_DATA[2] S=$abc$56607$n19 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][3] E=$abc$56607$n888 Q=UART_TX_DATA[3] S=$abc$56607$n19 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][4] E=$abc$56607$n888 Q=UART_TX_DATA[4] S=$abc$56607$n19 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][5] E=$abc$56607$n888 Q=UART_TX_DATA[5] S=$abc$56607$n19 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][6] E=$abc$56607$n888 Q=UART_TX_DATA[6] S=$abc$56607$n19 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][7] E=$abc$56607$n888 Q=UART_TX_DATA[7] S=$abc$56607$n19 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][0] E=$abc$56607$n901 Q=int_tmr[0] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][1] E=$abc$56607$n938 Q=int_tmr[1] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][2] E=$abc$56607$n901 Q=int_tmr[2] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][3] E=$abc$56607$n901 Q=int_tmr[3] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][4] E=$abc$56607$n901 Q=int_tmr[4] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][5] E=$abc$56607$n901 Q=int_tmr[5] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][6] E=$abc$56607$n901 Q=int_tmr[6] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][7] E=$abc$56607$n901 Q=int_tmr[7] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][8] E=$abc$56607$n901 Q=int_tmr[8] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][9] E=$abc$56607$n901 Q=int_tmr[9] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][10] E=$abc$56607$n901 Q=int_tmr[10] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][11] E=$abc$56607$n901 Q=int_tmr[11] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][12] E=$abc$56607$n901 Q=int_tmr[12] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][13] E=$abc$56607$n901 Q=int_tmr[13] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][14] E=$abc$56607$n901 Q=int_tmr[14] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][15] E=$abc$56607$n901 Q=int_tmr[15] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][16] E=$abc$56607$n901 Q=int_tmr[16] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][17] E=$abc$56607$n901 Q=int_tmr[17] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][18] E=$abc$56607$n901 Q=int_tmr[18] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$10\int_tmr[19:0][19] E=$abc$56607$n985 Q=int_tmr[19] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$true E=$abc$56607$n988 Q=$abc$56607$n8 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][0] E=$abc$56607$n992 Q=ring_wr[0] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][1] E=$abc$56607$n992 Q=ring_wr[1] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][2] E=$abc$56607$n992 Q=ring_wr[2] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][3] E=$abc$56607$n992 Q=ring_wr[3] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$10\ring_rd[3:0][0] E=$abc$56607$n999 Q=ring_rd[0] S=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$10\ring_rd[3:0][1] E=$abc$56607$n999 Q=ring_rd[1] S=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$10\ring_rd[3:0][2] E=$abc$56607$n999 Q=ring_rd[2] S=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$10\ring_rd[3:0][3] E=$abc$56607$n999 Q=ring_rd[3] S=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][0] E=$abc$56607$n1007 Q=wr_cnt[0] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][1] E=$abc$56607$n1007 Q=wr_cnt[1] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][2] E=$abc$56607$n1007 Q=wr_cnt[2] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][3] E=$abc$56607$n1007 Q=wr_cnt[3] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$3\report_wr_en[0:0] E=$abc$56607$n1014 Q=report_wr_en S=$abc$56607$n23 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][0] E=$abc$56607$n1018 Q=report_data_wadr[0] .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][1] E=$abc$56607$n1018 Q=report_data_wadr[1] .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][2] E=$abc$56607$n1018 Q=report_data_wadr[2] .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][3] E=$abc$56607$n1018 Q=report_data_wadr[3] .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][4] E=$abc$56607$n1018 Q=report_data_wadr[4] .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][5] E=$abc$56607$n1018 Q=report_data_wadr[5] .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][6] E=$abc$56607$n1018 Q=report_data_wadr[6] .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][7] E=$abc$56607$n1018 Q=report_data_wadr[7] .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$add$top.v:180$513_Y[0] E=$abc$56607$n1050 Q=report_data_radr[0] R=$abc$56607$n21 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][1] E=$abc$56607$n1039 Q=report_data_radr[1] .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][2] E=$abc$56607$n1050 Q=report_data_radr[2] .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][3] E=$abc$56607$n1050 Q=report_data_radr[3] .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][4] E=$abc$56607$n1050 Q=report_data_radr[4] .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][5] E=$abc$56607$n1050 Q=report_data_radr[5] .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][6] E=$abc$56607$n1050 Q=report_data_radr[6] .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][7] E=$abc$56607$n1050 Q=report_data_radr[7] .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][0] E=$abc$56607$n1018 Q=report_data_wr[0] R=$abc$56607$n23 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][1] E=$abc$56607$n1018 Q=report_data_wr[1] R=$abc$56607$n23 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][2] E=$abc$56607$n1018 Q=report_data_wr[2] R=$abc$56607$n23 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][3] E=$abc$56607$n1018 Q=report_data_wr[3] R=$abc$56607$n23 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][4] E=$abc$56607$n1018 Q=report_data_wr[4] R=$abc$56607$n23 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][5] E=$abc$56607$n1018 Q=report_data_wr[5] R=$abc$56607$n23 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][6] E=$abc$56607$n1018 Q=report_data_wr[6] R=$abc$56607$n23 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][7] E=$abc$56607$n1018 Q=report_data_wr[7] R=$abc$56607$n23 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$abc$56607$n1113 Q=temp_output_report[0] .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$abc$56607$n1113 Q=temp_output_report[1] .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$abc$56607$n1113 Q=temp_output_report[2] .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][0] E=$abc$56607$n1155 Q=i2c_input_data_type[0] .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][1] E=$abc$56607$n1155 Q=i2c_input_data_type[1] .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][2] E=$abc$56607$n1155 Q=i2c_input_data_type[2] .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][3] E=$abc$56607$n1155 Q=i2c_input_data_type[3] .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][0] E=$abc$56607$n1180 Q=I2C_INPUT_LEN[0] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][1] E=$abc$56607$n1180 Q=I2C_INPUT_LEN[1] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][2] E=$abc$56607$n1180 Q=I2C_INPUT_LEN[2] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][3] E=$abc$56607$n1180 Q=I2C_INPUT_LEN[3] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][4] E=$abc$56607$n1180 Q=I2C_INPUT_LEN[4] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][5] E=$abc$56607$n1180 Q=I2C_INPUT_LEN[5] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][6] E=$abc$56607$n1180 Q=I2C_INPUT_LEN[6] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][7] E=$abc$56607$n1180 Q=I2C_INPUT_LEN[7] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][0] E=$abc$56607$n1191 Q=I2C_OUTPUT_TYPE[0] S=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][1] E=$abc$56607$n1191 Q=I2C_OUTPUT_TYPE[1] S=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][2] E=$abc$56607$n1191 Q=I2C_OUTPUT_TYPE[2] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$56607$n1191 Q=I2C_OUT_DESC_MASK[0] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$56607$n1191 Q=I2C_OUT_DESC_MASK[1] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$56607$n1191 Q=I2C_OUT_DESC_MASK[2] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$56607$n1191 Q=I2C_OUT_DESC_MASK[3] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$56607$n1191 Q=I2C_OUT_DESC_MASK[4] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$56607$n1191 Q=I2C_OUT_DESC_MASK[5] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$56607$n1191 Q=I2C_OUT_DESC_MASK[6] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$56607$n1191 Q=I2C_OUT_DESC_MASK[7] R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=temp_output_report[0] E=$abc$56607$n1211 Q=LED2 S=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=temp_output_report[1] E=$abc$56607$n1211 Q=LED3 R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=temp_output_report[2] E=$abc$56607$n1211 Q=LED4 S=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=I2C.wr E=$abc$56607$n1218 Q=last_wr .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=I2C_TRANS E=$abc$56607$n1221 Q=last_trans R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=UART.tx_activity E=$abc$56607$n1227 Q=last_uart_active R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.isr E=$abc$56607$n1232 Q=last_isr R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\uart_double_ff[0:0] E=$abc$56607$n1236 Q=uart_double_ff R=$abc$56607$n35 .attr src "top.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFN C=CLK D=SCL Q=I2C.SCLF .attr src "i2c_slave.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFN C=CLK D=I2C.SDA_IN Q=I2C.SDAF .attr src "i2c_slave.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFF C=CLK D=$abc$56607$n2091 Q=I2C.wr .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$abc$56607$n2089 Q=I2C.is_ack .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$abc$56607$n2073 Q=I2C.byte_counter[0] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$abc$56607$n2075 Q=I2C.byte_counter[1] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$abc$56607$n2077 Q=I2C.byte_counter[2] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$abc$56607$n2079 Q=I2C.byte_counter[3] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$abc$56607$n2081 Q=I2C.byte_counter[4] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$abc$56607$n2083 Q=I2C.byte_counter[5] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$abc$56607$n2085 Q=I2C.byte_counter[6] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$abc$56607$n2087 Q=I2C.byte_counter[7] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$56607$n1248 Q=I2C.received_byte[0] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$56607$n1258 Q=I2C.received_byte[1] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$56607$n1268 Q=I2C.received_byte[2] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$56607$n1278 Q=I2C.received_byte[3] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$56607$n1283 Q=I2C.received_byte[4] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$56607$n1290 Q=I2C.received_byte[5] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$56607$n1297 Q=I2C.received_byte[6] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$56607$n1302 Q=I2C.received_byte[7] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFF C=CLK D=$abc$56607$n2065 Q=I2C.i2c_bit_counter[0] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$abc$56607$n2067 Q=I2C.i2c_bit_counter[1] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$abc$56607$n2069 Q=I2C.i2c_bit_counter[2] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$abc$56607$n2071 Q=I2C.i2c_bit_counter[3] .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$abc$56607$n2063 Q=I2C.is_read .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFFE C=CLK D=$abc$56607$n2043 E=$abc$56607$n1305 Q=I2C.i2c_start_latency .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFF C=CLK D=$abc$56607$n5 Q=$abc$56607$n14 .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$abc$56607$n7 Q=$abc$56607$n16 .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$abc$56607$n2059 Q=I2C.SDA_DIR .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFFE C=CLK D=$abc$56607$n2226 E=I2C.FLT_SCL.RESET Q=UART.tx_activity .attr src "uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$56607$n1 E=$abc$56607$n1320 Q=$abc$56607$n10 .attr src "uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2219 E=$abc$56607$n1315 Q=UART.tx_clk_counter[0] R=$abc$56607$n35 .attr src "uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2221 E=$abc$56607$n1315 Q=UART.tx_clk_counter[1] R=$abc$56607$n35 .attr src "uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2223 E=$abc$56607$n1315 Q=UART.tx_clk_counter[2] R=$abc$56607$n35 .attr src "uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2225 E=$abc$56607$n1315 Q=UART.tx_clk_counter[3] R=$abc$56607$n35 .attr src "uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$abc$56607$n2519 E=$abc$56607$n1320 Q=UART.tx_bit_counter[0] S=$abc$56607$n25 .attr src "uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2517 E=$abc$56607$n1320 Q=UART.tx_bit_counter[1] R=$abc$56607$n25 .attr src "uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2603 E=$abc$56607$n1320 Q=UART.tx_bit_counter[2] R=$abc$56607$n25 .attr src "uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$abc$56607$n2605 E=$abc$56607$n1320 Q=UART.tx_bit_counter[3] S=$abc$56607$n25 .attr src "uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=UART_WR E=I2C.FLT_SCL.RESET Q=UART.TX_sig_last .attr src "uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[0] E=$abc$56607$n1328 Q=KEYBOARD.report[6][0] R=$abc$56607$n27 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[1] E=$abc$56607$n1328 Q=KEYBOARD.report[6][1] R=$abc$56607$n27 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[2] E=$abc$56607$n1328 Q=KEYBOARD.report[6][2] R=$abc$56607$n27 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[3] E=$abc$56607$n1328 Q=KEYBOARD.report[6][3] R=$abc$56607$n27 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[4] E=$abc$56607$n1328 Q=KEYBOARD.report[6][4] R=$abc$56607$n27 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[5] E=$abc$56607$n1328 Q=KEYBOARD.report[6][5] R=$abc$56607$n27 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[6] E=$abc$56607$n1328 Q=KEYBOARD.report[6][6] R=$abc$56607$n27 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[7] E=$abc$56607$n1328 Q=KEYBOARD.report[6][7] R=$abc$56607$n27 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFN C=CLK D=KBD_COLUMNS[0] Q=KEYBOARD.COLS_SHADOW[0] .attr src "matrix_kbd.v:36|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFN C=CLK D=KBD_COLUMNS[1] Q=KEYBOARD.COLS_SHADOW[1] .attr src "matrix_kbd.v:36|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFN C=CLK D=KBD_COLUMNS[2] Q=KEYBOARD.COLS_SHADOW[2] .attr src "matrix_kbd.v:36|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFN C=CLK D=KBD_COLUMNS[3] Q=KEYBOARD.COLS_SHADOW[3] .attr src "matrix_kbd.v:36|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFN C=CLK D=KBD_COLUMNS[4] Q=KEYBOARD.COLS_SHADOW[4] .attr src "matrix_kbd.v:36|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFN C=CLK D=KBD_COLUMNS[5] Q=KEYBOARD.COLS_SHADOW[5] .attr src "matrix_kbd.v:36|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFN C=CLK D=KBD_COLUMNS[6] Q=KEYBOARD.COLS_SHADOW[6] .attr src "matrix_kbd.v:36|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFN C=CLK D=KBD_COLUMNS[7] Q=KEYBOARD.COLS_SHADOW[7] .attr src "matrix_kbd.v:36|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFESR C=CLK D=$abc$56607$n2458 E=$abc$56607$n1407 Q=kbd_report[5][0] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2459 E=$abc$56607$n1407 Q=kbd_report[5][1] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2460 E=$abc$56607$n1407 Q=kbd_report[5][2] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2461 E=$abc$56607$n1407 Q=kbd_report[5][3] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2462 E=$abc$56607$n1407 Q=kbd_report[5][4] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2463 E=$abc$56607$n1407 Q=kbd_report[5][5] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2464 E=$abc$56607$n1407 Q=kbd_report[5][6] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2465 E=$abc$56607$n1407 Q=kbd_report[5][7] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2458 E=$abc$56607$n1422 Q=KEYBOARD.report[4][0] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2459 E=$abc$56607$n1422 Q=KEYBOARD.report[4][1] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2460 E=$abc$56607$n1422 Q=KEYBOARD.report[4][2] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2461 E=$abc$56607$n1422 Q=KEYBOARD.report[4][3] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2462 E=$abc$56607$n1422 Q=KEYBOARD.report[4][4] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2463 E=$abc$56607$n1422 Q=KEYBOARD.report[4][5] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2464 E=$abc$56607$n1422 Q=KEYBOARD.report[4][6] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2465 E=$abc$56607$n1422 Q=KEYBOARD.report[4][7] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2458 E=$abc$56607$n1431 Q=KEYBOARD.report[3][0] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2459 E=$abc$56607$n1431 Q=KEYBOARD.report[3][1] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2460 E=$abc$56607$n1431 Q=KEYBOARD.report[3][2] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2461 E=$abc$56607$n1431 Q=KEYBOARD.report[3][3] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2462 E=$abc$56607$n1431 Q=KEYBOARD.report[3][4] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2463 E=$abc$56607$n1431 Q=KEYBOARD.report[3][5] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2464 E=$abc$56607$n1431 Q=KEYBOARD.report[3][6] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2465 E=$abc$56607$n1431 Q=KEYBOARD.report[3][7] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2458 E=$abc$56607$n1435 Q=KEYBOARD.report[2][0] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2459 E=$abc$56607$n1435 Q=KEYBOARD.report[2][1] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2460 E=$abc$56607$n1435 Q=KEYBOARD.report[2][2] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2461 E=$abc$56607$n1435 Q=KEYBOARD.report[2][3] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2462 E=$abc$56607$n1435 Q=KEYBOARD.report[2][4] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2463 E=$abc$56607$n1435 Q=KEYBOARD.report[2][5] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2464 E=$abc$56607$n1435 Q=KEYBOARD.report[2][6] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2465 E=$abc$56607$n1435 Q=KEYBOARD.report[2][7] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2458 E=$abc$56607$n1450 Q=KEYBOARD.report[1][0] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2459 E=$abc$56607$n1450 Q=KEYBOARD.report[1][1] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2460 E=$abc$56607$n1450 Q=KEYBOARD.report[1][2] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2461 E=$abc$56607$n1450 Q=KEYBOARD.report[1][3] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2462 E=$abc$56607$n1450 Q=KEYBOARD.report[1][4] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2463 E=$abc$56607$n1450 Q=KEYBOARD.report[1][5] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2464 E=$abc$56607$n1450 Q=KEYBOARD.report[1][6] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2465 E=$abc$56607$n1450 Q=KEYBOARD.report[1][7] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2436 E=$abc$56607$n1457 Q=KEYBOARD.report[0][0] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2439 E=$abc$56607$n1457 Q=KEYBOARD.report[0][1] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2442 E=$abc$56607$n1457 Q=KEYBOARD.report[0][2] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2445 E=$abc$56607$n1457 Q=KEYBOARD.report[0][3] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2448 E=$abc$56607$n1457 Q=KEYBOARD.report[0][4] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2451 E=$abc$56607$n1457 Q=KEYBOARD.report[0][5] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2454 E=$abc$56607$n1457 Q=KEYBOARD.report[0][6] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2457 E=$abc$56607$n1457 Q=KEYBOARD.report[0][7] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$12008[0] E=$abc$56607$n1459 Q=KEYBOARD.init_ram_cnt[0] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2534 E=$abc$56607$n1459 Q=KEYBOARD.init_ram_cnt[1] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$12008[2] E=$abc$56607$n1459 Q=KEYBOARD.init_ram_cnt[2] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$12008[3] E=$abc$56607$n1459 Q=KEYBOARD.init_ram_cnt[3] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$12008[4] E=$abc$56607$n1459 Q=KEYBOARD.init_ram_cnt[4] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$12008[5] E=$abc$56607$n1459 Q=KEYBOARD.init_ram_cnt[5] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$12008[6] E=$abc$56607$n1459 Q=KEYBOARD.init_ram_cnt[6] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$12008[7] E=$abc$56607$n1459 Q=KEYBOARD.init_ram_cnt[7] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$12008[8] E=$abc$56607$n1459 Q=KEYBOARD.init_ram_cnt[8] R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$56607$n2232 E=$abc$56607$n1462 Q=KEYBOARD.ram_adr[0] .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$56607$n2235 E=$abc$56607$n1462 Q=KEYBOARD.ram_adr[1] .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$56607$n2238 E=$abc$56607$n1462 Q=KEYBOARD.ram_adr[2] .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$56607$n2240 E=$abc$56607$n1462 Q=KEYBOARD.ram_adr[3] .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[4] E=$abc$56607$n1462 Q=KEYBOARD.ram_adr[4] R=KEYBOARD.init_ram_cnt[8] .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[5] E=$abc$56607$n1462 Q=KEYBOARD.ram_adr[5] R=KEYBOARD.init_ram_cnt[8] .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[6] E=$abc$56607$n1462 Q=KEYBOARD.ram_adr[6] R=KEYBOARD.init_ram_cnt[8] .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[7] E=$abc$56607$n1462 Q=KEYBOARD.ram_adr[7] R=KEYBOARD.init_ram_cnt[8] .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$abc$56607$n2242 E=$abc$56607$n1470 Q=KEYBOARD.ram_wr S=$abc$56607$n31 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$56607$n26 E=$abc$56607$n1490 Q=KEYBOARD.is_pressed .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$56607$n2269 E=$abc$56607$n1490 Q=KEYBOARD.kbd_code[0] .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$56607$n2271 E=$abc$56607$n1490 Q=KEYBOARD.kbd_code[1] .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$56607$n2273 E=$abc$56607$n1490 Q=KEYBOARD.kbd_code[2] .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$56607$n2276 E=$abc$56607$n1490 Q=KEYBOARD.kbd_code[3] .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$56607$n2279 E=$abc$56607$n1490 Q=KEYBOARD.kbd_code[4] .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$56607$n2282 E=$abc$56607$n1490 Q=KEYBOARD.kbd_code[5] .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$56607$n2285 E=$abc$56607$n1490 Q=KEYBOARD.kbd_code[6] .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$56607$n2287 E=$abc$56607$n1490 Q=KEYBOARD.kbd_code[7] .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2701 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[0] R=$abc$56607$n28 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2702 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[1] R=$abc$56607$n28 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2703 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[2] R=$abc$56607$n28 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2704 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[3] R=$abc$56607$n28 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2705 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[4] R=$abc$56607$n28 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2706 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[5] R=$abc$56607$n28 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2707 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[6] R=$abc$56607$n28 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2708 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[7] R=$abc$56607$n28 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2701 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[8] R=$abc$56607$n29 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2702 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[9] R=$abc$56607$n29 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2703 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[10] R=$abc$56607$n29 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2704 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[11] R=$abc$56607$n29 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2705 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[12] R=$abc$56607$n29 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2706 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[13] R=$abc$56607$n29 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2707 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[14] R=$abc$56607$n29 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2708 E=$abc$56607$n1471 Q=KEYBOARD.ROWS_EN[15] R=$abc$56607$n29 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2433 E=$abc$56607$n1483 Q=KEYBOARD.isr R=$abc$56607$n35 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$abc$56607$n2291 E=$abc$56607$n1484 Q=KEYBOARD.temp[0] S=$abc$56607$n31 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$abc$56607$n2293 E=$abc$56607$n1484 Q=KEYBOARD.temp[1] S=$abc$56607$n31 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$abc$56607$n2295 E=$abc$56607$n1484 Q=KEYBOARD.temp[2] S=$abc$56607$n31 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$abc$56607$n2297 E=$abc$56607$n1484 Q=KEYBOARD.temp[3] S=$abc$56607$n31 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$abc$56607$n2299 E=$abc$56607$n1484 Q=KEYBOARD.temp[4] S=$abc$56607$n31 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$abc$56607$n2301 E=$abc$56607$n1484 Q=KEYBOARD.temp[5] S=$abc$56607$n31 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$abc$56607$n2303 E=$abc$56607$n1484 Q=KEYBOARD.temp[6] S=$abc$56607$n31 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$abc$56607$n2305 E=$abc$56607$n1484 Q=KEYBOARD.temp[7] S=$abc$56607$n31 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$56607$n2244 E=$abc$56607$n1490 Q=KEYBOARD.row_counter[0] .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$56607$n2246 E=$abc$56607$n1490 Q=KEYBOARD.row_counter[1] .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$56607$n2248 E=$abc$56607$n1490 Q=KEYBOARD.row_counter[2] .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$abc$56607$n2250 E=$abc$56607$n1490 Q=KEYBOARD.row_counter[3] .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[0] E=$abc$56607$n1490 Q=KEYBOARD.row_time[0] R=$abc$56607$n33 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2536 E=$abc$56607$n1489 Q=KEYBOARD.row_time[1] R=$abc$56607$n33 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[2] E=$abc$56607$n1490 Q=KEYBOARD.row_time[2] R=$abc$56607$n33 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[3] E=$abc$56607$n1490 Q=KEYBOARD.row_time[3] R=$abc$56607$n33 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[4] E=$abc$56607$n1490 Q=KEYBOARD.row_time[4] R=$abc$56607$n33 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[5] E=$abc$56607$n1490 Q=KEYBOARD.row_time[5] R=$abc$56607$n33 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[6] E=$abc$56607$n1490 Q=KEYBOARD.row_time[6] R=$abc$56607$n33 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[7] E=$abc$56607$n1490 Q=KEYBOARD.row_time[7] R=$abc$56607$n33 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[8] E=$abc$56607$n1490 Q=KEYBOARD.row_time[8] R=$abc$56607$n33 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[9] E=$abc$56607$n1490 Q=KEYBOARD.row_time[9] R=$abc$56607$n33 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[10] E=$abc$56607$n1490 Q=KEYBOARD.row_time[10] R=$abc$56607$n33 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[11] E=$abc$56607$n1490 Q=KEYBOARD.row_time[11] R=$abc$56607$n33 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[12] E=$abc$56607$n1490 Q=KEYBOARD.row_time[12] R=$abc$56607$n33 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[13] E=$abc$56607$n1490 Q=KEYBOARD.row_time[13] R=$abc$56607$n33 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[14] E=$abc$56607$n1490 Q=KEYBOARD.row_time[14] R=$abc$56607$n33 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:70$152_Y[15] E=$abc$56607$n1490 Q=KEYBOARD.row_time[15] R=$abc$56607$n33 .attr src "matrix_kbd.v:42|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2472 E=$abc$56607$n1492 Q=I2C_HID_DESC.real_adress[0] R=$abc$56607$n35 .attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=I2C.byte_counter[1] E=$abc$56607$n1492 Q=I2C_HID_DESC.real_adress[1] R=$abc$56607$n35 .attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=I2C.byte_counter[2] E=$abc$56607$n1492 Q=I2C_HID_DESC.real_adress[2] R=$abc$56607$n35 .attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=I2C.byte_counter[3] E=$abc$56607$n1492 Q=I2C_HID_DESC.real_adress[3] R=$abc$56607$n35 .attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=I2C.byte_counter[4] E=$abc$56607$n1492 Q=I2C_HID_DESC.real_adress[4] R=$abc$56607$n35 .attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2474 E=$abc$56607$n1492 Q=I2C_HID_DESC.real_adress[5] R=$abc$56607$n35 .attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2476 E=$abc$56607$n1492 Q=I2C_HID_DESC.real_adress[6] R=$abc$56607$n35 .attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$abc$56607$n2478 E=$abc$56607$n1492 Q=I2C_HID_DESC.real_adress[7] R=$abc$56607$n35 .attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFSR C=CLK D=I2C.wr Q=I2C_HID_DESC.last_rd_request R=$abc$56607$n35 .attr src "descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFFR C=CLK D=$abc$56607$n2061 Q=I2C.i2c_state_machine R=$abc$56607$n35 .attr src "i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:12" .gate SB_DFFES C=CLK D=I2C.SDAF E=$abc$56607$n1501 Q=I2C.FLT_SDA.out S=$abc$56607$n35 .attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:23" .gate SB_DFFER C=CLK D=$abc$56607$n2479 E=$abc$56607$n1502 Q=I2C.FLT_SDA.counter[0] R=$abc$56607$n35 .attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" .gate SB_DFFER C=CLK D=$abc$56607$n2480 E=$abc$56607$n1502 Q=I2C.FLT_SDA.counter[1] R=$abc$56607$n35 .attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" .gate SB_DFFER C=CLK D=$abc$56607$n2481 E=$abc$56607$n1502 Q=I2C.FLT_SDA.counter[2] R=$abc$56607$n35 .attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" .gate SB_DFFES C=CLK D=I2C.SCLF E=$abc$56607$n1511 Q=I2C.FLT_SCL.out S=$abc$56607$n35 .attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:23" .gate SB_DFFER C=CLK D=$abc$56607$n2482 E=$abc$56607$n1512 Q=I2C.FLT_SCL.counter[0] R=$abc$56607$n35 .attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" .gate SB_DFFER C=CLK D=$abc$56607$n2483 E=$abc$56607$n1512 Q=I2C.FLT_SCL.counter[1] R=$abc$56607$n35 .attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" .gate SB_DFFER C=CLK D=$abc$56607$n2484 E=$abc$56607$n1512 Q=I2C.FLT_SCL.counter[2] R=$abc$56607$n35 .attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" .gate SB_IO D_IN_0=I2C.SDA_IN D_OUT_0=$false OUTPUT_ENABLE=I2C.SDA_DIR PACKAGE_PIN=SDA .attr src "i2c_slave.v:178" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_RAM40_4K RADDR[0]=I2C_HID_DESC.real_adress[0] RADDR[1]=I2C_HID_DESC.real_adress[1] RADDR[2]=I2C_HID_DESC.real_adress[2] RADDR[3]=I2C_HID_DESC.real_adress[3] RADDR[4]=I2C_HID_DESC.real_adress[4] RADDR[5]=I2C_HID_DESC.real_adress[5] RADDR[6]=I2C_HID_DESC.real_adress[6] RADDR[7]=I2C_HID_DESC.real_adress[7] RCLK=CLK RCLKE=$true RDATA[0]=I2C_HID_DESC.VAL[0] RDATA[1]=I2C_HID_DESC.VAL[1] RDATA[2]=I2C_HID_DESC.VAL[2] RDATA[3]=I2C_HID_DESC.VAL[3] RDATA[4]=I2C_HID_DESC.VAL[4] RDATA[5]=I2C_HID_DESC.VAL[5] RDATA[6]=I2C_HID_DESC.VAL[6] RDATA[7]=I2C_HID_DESC.VAL[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WE=$false .attr src "descriptors.v:143" .param INIT_0 0000000000000000000000000000010000000000000000000000000000001010000000000000000000000000000000110000000000000000000000000000001000000000000000000000000000111111000000000000000100000000000000000000000000000000000000000001111000000000000000000000000000000000 .param INIT_1 0000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000001000000000000000100000000000001000000000010011111000000000000000000000000000001100000000000000000000000000000010100000000000000000000000000000011 .param INIT_2 0000000000000000000000000001010100000000111001110000000000101001000000001110000000000000000110010000000000000111000000000000010100000000000000010000000010100001000000000000011000000000000010010000000000000001000000000000010100000000000000000000000000000000 .param INIT_3 0000000000000101000000001001010100000000000000010000000010000001000000000000100000000000011101010000000000000001000000001001010100000000000000100000000010000001000000000000100000000000100101010000000000000001000000000111010100000000000000010000000000100101 .param INIT_4 0000000000000011000000001001000100000000000000110000000001110101000000000000000100000000100101010000000000000010000000001001000100000000000001010000000000101001000000000000000100000000000110010000000000001000000000000000010100000000000000010000000001110101 .param INIT_5 0000000000000000000000001000000100000000011001010000000000101001000000000000000000000000000110010000000000000111000000000000010100000000011001010000000000100101000000000000000000000000000101010000000000001000000000000111010100000000000001100000000010010101 .param INIT_6 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000 .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 .gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=KEYBOARD.ram_adr[0] RADDR[1]=KEYBOARD.ram_adr[1] RADDR[2]=KEYBOARD.ram_adr[2] RADDR[3]=KEYBOARD.ram_adr[3] RADDR[4]=KEYBOARD.ram_adr[4] RADDR[5]=KEYBOARD.ram_adr[5] RADDR[6]=KEYBOARD.ram_adr[6] RADDR[7]=KEYBOARD.ram_adr[7] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.RAM.r_data[0] RDATA[1]=$techmap12214\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[1] RDATA[2]=KEYBOARD.RAM.r_data[1] RDATA[3]=$techmap12214\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[3] RDATA[4]=KEYBOARD.RAM.r_data[2] RDATA[5]=$techmap12214\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[5] RDATA[6]=KEYBOARD.RAM.r_data[3] RDATA[7]=$techmap12214\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[7] RDATA[8]=KEYBOARD.RAM.r_data[4] RDATA[9]=$techmap12214\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[9] RDATA[10]=KEYBOARD.RAM.r_data[5] RDATA[11]=$techmap12214\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[11] RDATA[12]=KEYBOARD.RAM.r_data[6] RDATA[13]=$techmap12214\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[13] RDATA[14]=KEYBOARD.RAM.r_data[7] RDATA[15]=$techmap12214\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=KEYBOARD.ram_adr[0] WADDR[1]=KEYBOARD.ram_adr[1] WADDR[2]=KEYBOARD.ram_adr[2] WADDR[3]=KEYBOARD.ram_adr[3] WADDR[4]=KEYBOARD.ram_adr[4] WADDR[5]=KEYBOARD.ram_adr[5] WADDR[6]=KEYBOARD.ram_adr[6] WADDR[7]=KEYBOARD.ram_adr[7] WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=KEYBOARD.ram_wr WDATA[0]=KEYBOARD.temp[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.temp[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.temp[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.temp[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.temp[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.temp[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.temp[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.temp[7] WDATA[15]=$undef WE=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:277|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:35" .param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1 .param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_2 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_3 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_4 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_5 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_6 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_7 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_8 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_9 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_A xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_B xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_C xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_D xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_E xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 .gate SB_RAM40_4K RADDR[0]=KEYBOARD.kbd_code[0] RADDR[1]=KEYBOARD.kbd_code[1] RADDR[2]=KEYBOARD.kbd_code[2] RADDR[3]=KEYBOARD.kbd_code[3] RADDR[4]=KEYBOARD.kbd_code[4] RADDR[5]=KEYBOARD.kbd_code[5] RADDR[6]=KEYBOARD.kbd_code[6] RADDR[7]=KEYBOARD.kbd_code[7] RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.kbd_code_hid[0] RDATA[1]=KEYBOARD.kbd_code_hid[1] RDATA[2]=KEYBOARD.kbd_code_hid[2] RDATA[3]=KEYBOARD.kbd_code_hid[3] RDATA[4]=KEYBOARD.kbd_code_hid[4] RDATA[5]=KEYBOARD.kbd_code_hid[5] RDATA[6]=KEYBOARD.kbd_code_hid[6] RDATA[7]=KEYBOARD.kbd_code_hid[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WE=$false .attr src "matrix_kbd.v:161" .param INIT_0 0000000000000000000000000000000100000000000000010000000000000001000000001110011100000000010110000000000001001100000000000101001100000000000000010000000000000001000000000000000100000000000000010000000011100000000000000011100100000000111000010000000000101001 .param INIT_1 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 .param INIT_2 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 .param INIT_3 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 .param INIT_4 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 .param INIT_5 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 .param INIT_6 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 .param INIT_7 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 .param INIT_8 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 .param INIT_9 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[0] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[0] PACKAGE_PIN=KBD_ROWS[0] .attr src "matrix_kbd.v:187" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[10] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[10] PACKAGE_PIN=KBD_ROWS[10] .attr src "matrix_kbd.v:187" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[11] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[11] PACKAGE_PIN=KBD_ROWS[11] .attr src "matrix_kbd.v:187" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[12] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[12] PACKAGE_PIN=KBD_ROWS[12] .attr src "matrix_kbd.v:187" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[13] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[13] PACKAGE_PIN=KBD_ROWS[13] .attr src "matrix_kbd.v:187" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[14] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[14] PACKAGE_PIN=KBD_ROWS[14] .attr src "matrix_kbd.v:187" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[15] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[15] PACKAGE_PIN=KBD_ROWS[15] .attr src "matrix_kbd.v:187" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[1] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[1] PACKAGE_PIN=KBD_ROWS[1] .attr src "matrix_kbd.v:187" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[2] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[2] PACKAGE_PIN=KBD_ROWS[2] .attr src "matrix_kbd.v:187" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[3] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[3] PACKAGE_PIN=KBD_ROWS[3] .attr src "matrix_kbd.v:187" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[4] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[4] PACKAGE_PIN=KBD_ROWS[4] .attr src "matrix_kbd.v:187" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[5] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[5] PACKAGE_PIN=KBD_ROWS[5] .attr src "matrix_kbd.v:187" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[6] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[6] PACKAGE_PIN=KBD_ROWS[6] .attr src "matrix_kbd.v:187" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[7] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[7] PACKAGE_PIN=KBD_ROWS[7] .attr src "matrix_kbd.v:187" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[8] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[8] PACKAGE_PIN=KBD_ROWS[8] .attr src "matrix_kbd.v:187" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[9] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[9] PACKAGE_PIN=KBD_ROWS[9] .attr src "matrix_kbd.v:187" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=report_data_radr[0] RADDR[1]=report_data_radr[1] RADDR[2]=report_data_radr[2] RADDR[3]=report_data_radr[3] RADDR[4]=report_data_radr[4] RADDR[5]=report_data_radr[5] RADDR[6]=report_data_radr[6] RADDR[7]=report_data_radr[7] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=REPORT_DATA.r_data[0] RDATA[1]=$techmap12213\REPORT_DATA.mem.0.0.0.A1DATA_16[1] RDATA[2]=REPORT_DATA.r_data[1] RDATA[3]=$techmap12213\REPORT_DATA.mem.0.0.0.A1DATA_16[3] RDATA[4]=REPORT_DATA.r_data[2] RDATA[5]=$techmap12213\REPORT_DATA.mem.0.0.0.A1DATA_16[5] RDATA[6]=REPORT_DATA.r_data[3] RDATA[7]=$techmap12213\REPORT_DATA.mem.0.0.0.A1DATA_16[7] RDATA[8]=REPORT_DATA.r_data[4] RDATA[9]=$techmap12213\REPORT_DATA.mem.0.0.0.A1DATA_16[9] RDATA[10]=REPORT_DATA.r_data[5] RDATA[11]=$techmap12213\REPORT_DATA.mem.0.0.0.A1DATA_16[11] RDATA[12]=REPORT_DATA.r_data[6] RDATA[13]=$techmap12213\REPORT_DATA.mem.0.0.0.A1DATA_16[13] RDATA[14]=REPORT_DATA.r_data[7] RDATA[15]=$techmap12213\REPORT_DATA.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=report_data_wadr[0] WADDR[1]=report_data_wadr[1] WADDR[2]=report_data_wadr[2] WADDR[3]=report_data_wadr[3] WADDR[4]=report_data_wadr[4] WADDR[5]=report_data_wadr[5] WADDR[6]=report_data_wadr[6] WADDR[7]=report_data_wadr[7] WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=report_wr_en WDATA[0]=report_data_wr[0] WDATA[1]=$undef WDATA[2]=report_data_wr[1] WDATA[3]=$undef WDATA[4]=report_data_wr[2] WDATA[5]=$undef WDATA[6]=report_data_wr[3] WDATA[7]=$undef WDATA[8]=report_data_wr[4] WDATA[9]=$undef WDATA[10]=report_data_wr[5] WDATA[11]=$undef WDATA[12]=report_data_wr[6] WDATA[13]=$undef WDATA[14]=report_data_wr[7] WDATA[15]=$undef WE=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:277|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:35" .param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1 .param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_2 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_3 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_4 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_5 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_6 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_7 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_8 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_9 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_A xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_B xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_C xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_D xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_E xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 .names I2C.is_read COM_RTS 1 1 .names CLK I2C.CLK 1 1 .names I2C.byte_counter[0] I2C.COUNTER[0] 1 1 .names I2C.byte_counter[1] I2C.COUNTER[1] 1 1 .names I2C.byte_counter[2] I2C.COUNTER[2] 1 1 .names I2C.byte_counter[3] I2C.COUNTER[3] 1 1 .names I2C.byte_counter[4] I2C.COUNTER[4] 1 1 .names I2C.byte_counter[5] I2C.COUNTER[5] 1 1 .names I2C.byte_counter[6] I2C.COUNTER[6] 1 1 .names I2C.byte_counter[7] I2C.COUNTER[7] 1 1 .names CLK I2C.FLT_SCL.CLK 1 1 .names I2C.SCLF I2C.FLT_SCL.IN 1 1 .names I2C.FLT_SCL.out I2C.FLT_SCL.OUT 1 1 .names CLK I2C.FLT_SDA.CLK 1 1 .names I2C.SDAF I2C.FLT_SDA.IN 1 1 .names I2C.FLT_SDA.out I2C.FLT_SDA.OUT 1 1 .names I2C.FLT_SCL.RESET I2C.FLT_SDA.RESET 1 1 .names I2C.is_ack I2C.IS_ACK 1 1 .names I2C.is_read I2C.IS_READ 1 1 .names I2C_TRANS I2C.IS_TRANSMISSION 1 1 .names I2C.received_byte[0] I2C.RECEIVED_BYTE[0] 1 1 .names I2C.received_byte[1] I2C.RECEIVED_BYTE[1] 1 1 .names I2C.received_byte[2] I2C.RECEIVED_BYTE[2] 1 1 .names I2C.received_byte[3] I2C.RECEIVED_BYTE[3] 1 1 .names I2C.received_byte[4] I2C.RECEIVED_BYTE[4] 1 1 .names I2C.received_byte[5] I2C.RECEIVED_BYTE[5] 1 1 .names I2C.received_byte[6] I2C.RECEIVED_BYTE[6] 1 1 .names I2C.received_byte[7] I2C.RECEIVED_BYTE[7] 1 1 .names I2C.FLT_SCL.RESET I2C.RESET 1 1 .names SCL I2C.SCL 1 1 .names I2C.FLT_SCL.out I2C.SCLD 1 1 .names SDA I2C.SDA 1 1 .names I2C.FLT_SDA.out I2C.SDAD 1 1 .names I2C.wr I2C.WR 1 1 .names I2C.is_ack I2C_ACK 1 1 .names I2C.byte_counter[0] I2C_COUNTER[0] 1 1 .names I2C.byte_counter[1] I2C_COUNTER[1] 1 1 .names I2C.byte_counter[2] I2C_COUNTER[2] 1 1 .names I2C.byte_counter[3] I2C_COUNTER[3] 1 1 .names I2C.byte_counter[4] I2C_COUNTER[4] 1 1 .names I2C.byte_counter[5] I2C_COUNTER[5] 1 1 .names I2C.byte_counter[6] I2C_COUNTER[6] 1 1 .names I2C.byte_counter[7] I2C_COUNTER[7] 1 1 .names I2C.byte_counter[0] I2C_HID_DESC.ADR[0] 1 1 .names I2C.byte_counter[1] I2C_HID_DESC.ADR[1] 1 1 .names I2C.byte_counter[2] I2C_HID_DESC.ADR[2] 1 1 .names I2C.byte_counter[3] I2C_HID_DESC.ADR[3] 1 1 .names I2C.byte_counter[4] I2C_HID_DESC.ADR[4] 1 1 .names I2C.byte_counter[5] I2C_HID_DESC.ADR[5] 1 1 .names I2C.byte_counter[6] I2C_HID_DESC.ADR[6] 1 1 .names I2C.byte_counter[7] I2C_HID_DESC.ADR[7] 1 1 .names CLK I2C_HID_DESC.CLK 1 1 .names I2C_OUTPUT_TYPE[0] I2C_HID_DESC.DESC_TYPE[0] 1 1 .names I2C_OUTPUT_TYPE[1] I2C_HID_DESC.DESC_TYPE[1] 1 1 .names I2C.wr I2C_HID_DESC.RD_REQUEST 1 1 .names I2C.FLT_SCL.RESET I2C_HID_DESC.RESET 1 1 .names I2C.is_read I2C_READ 1 1 .names I2C.received_byte[0] I2C_RX[0] 1 1 .names I2C.received_byte[1] I2C_RX[1] 1 1 .names I2C.received_byte[2] I2C_RX[2] 1 1 .names I2C.received_byte[3] I2C_RX[3] 1 1 .names I2C.received_byte[4] I2C_RX[4] 1 1 .names I2C.received_byte[5] I2C_RX[5] 1 1 .names I2C.received_byte[6] I2C_RX[6] 1 1 .names I2C.received_byte[7] I2C_RX[7] 1 1 .names I2C_HID_DESC.VAL[0] I2C_TX_DESC[0] 1 1 .names I2C_HID_DESC.VAL[1] I2C_TX_DESC[1] 1 1 .names I2C_HID_DESC.VAL[2] I2C_TX_DESC[2] 1 1 .names I2C_HID_DESC.VAL[3] I2C_TX_DESC[3] 1 1 .names I2C_HID_DESC.VAL[4] I2C_TX_DESC[4] 1 1 .names I2C_HID_DESC.VAL[5] I2C_TX_DESC[5] 1 1 .names I2C_HID_DESC.VAL[6] I2C_TX_DESC[6] 1 1 .names I2C_HID_DESC.VAL[7] I2C_TX_DESC[7] 1 1 .names I2C.wr I2C_WR 1 1 .names COM_DCD INT 1 1 .names COM_DCD INTERRUPT 1 1 .names KEYBOARD.isr ISR 1 1 .names COM_DSR KBD_FREEZE 1 1 .names LED2 KBD_LED_STATUS[0] 1 1 .names LED3 KBD_LED_STATUS[1] 1 1 .names LED4 KBD_LED_STATUS[2] 1 1 .names $undef KBD_LED_STATUS[3] 1 1 .names $undef KBD_LED_STATUS[4] 1 1 .names $undef KBD_LED_STATUS[5] 1 1 .names $undef KBD_LED_STATUS[6] 1 1 .names $undef KBD_LED_STATUS[7] 1 1 .names CLK KEYBOARD.CLK 1 1 .names KBD_COLUMNS[0] KEYBOARD.COLUMNS[0] 1 1 .names KBD_COLUMNS[1] KEYBOARD.COLUMNS[1] 1 1 .names KBD_COLUMNS[2] KEYBOARD.COLUMNS[2] 1 1 .names KBD_COLUMNS[3] KEYBOARD.COLUMNS[3] 1 1 .names KBD_COLUMNS[4] KEYBOARD.COLUMNS[4] 1 1 .names KBD_COLUMNS[5] KEYBOARD.COLUMNS[5] 1 1 .names KBD_COLUMNS[6] KEYBOARD.COLUMNS[6] 1 1 .names KBD_COLUMNS[7] KEYBOARD.COLUMNS[7] 1 1 .names KEYBOARD.isr KEYBOARD.INT 1 1 .names CLK KEYBOARD.RAM.clk 1 1 .names KEYBOARD.ram_adr[0] KEYBOARD.RAM.raddr[0] 1 1 .names KEYBOARD.ram_adr[1] KEYBOARD.RAM.raddr[1] 1 1 .names KEYBOARD.ram_adr[2] KEYBOARD.RAM.raddr[2] 1 1 .names KEYBOARD.ram_adr[3] KEYBOARD.RAM.raddr[3] 1 1 .names KEYBOARD.ram_adr[4] KEYBOARD.RAM.raddr[4] 1 1 .names KEYBOARD.ram_adr[5] KEYBOARD.RAM.raddr[5] 1 1 .names KEYBOARD.ram_adr[6] KEYBOARD.RAM.raddr[6] 1 1 .names KEYBOARD.ram_adr[7] KEYBOARD.RAM.raddr[7] 1 1 .names $undef KEYBOARD.RAM.raddr[8] 1 1 .names KEYBOARD.RAM.r_data[0] KEYBOARD.RAM.rdata[0] 1 1 .names KEYBOARD.RAM.r_data[1] KEYBOARD.RAM.rdata[1] 1 1 .names KEYBOARD.RAM.r_data[2] KEYBOARD.RAM.rdata[2] 1 1 .names KEYBOARD.RAM.r_data[3] KEYBOARD.RAM.rdata[3] 1 1 .names KEYBOARD.RAM.r_data[4] KEYBOARD.RAM.rdata[4] 1 1 .names KEYBOARD.RAM.r_data[5] KEYBOARD.RAM.rdata[5] 1 1 .names KEYBOARD.RAM.r_data[6] KEYBOARD.RAM.rdata[6] 1 1 .names KEYBOARD.RAM.r_data[7] KEYBOARD.RAM.rdata[7] 1 1 .names KEYBOARD.ram_adr[0] KEYBOARD.RAM.waddr[0] 1 1 .names KEYBOARD.ram_adr[1] KEYBOARD.RAM.waddr[1] 1 1 .names KEYBOARD.ram_adr[2] KEYBOARD.RAM.waddr[2] 1 1 .names KEYBOARD.ram_adr[3] KEYBOARD.RAM.waddr[3] 1 1 .names KEYBOARD.ram_adr[4] KEYBOARD.RAM.waddr[4] 1 1 .names KEYBOARD.ram_adr[5] KEYBOARD.RAM.waddr[5] 1 1 .names KEYBOARD.ram_adr[6] KEYBOARD.RAM.waddr[6] 1 1 .names KEYBOARD.ram_adr[7] KEYBOARD.RAM.waddr[7] 1 1 .names $undef KEYBOARD.RAM.waddr[8] 1 1 .names KEYBOARD.temp[0] KEYBOARD.RAM.wdata[0] 1 1 .names KEYBOARD.temp[1] KEYBOARD.RAM.wdata[1] 1 1 .names KEYBOARD.temp[2] KEYBOARD.RAM.wdata[2] 1 1 .names KEYBOARD.temp[3] KEYBOARD.RAM.wdata[3] 1 1 .names KEYBOARD.temp[4] KEYBOARD.RAM.wdata[4] 1 1 .names KEYBOARD.temp[5] KEYBOARD.RAM.wdata[5] 1 1 .names KEYBOARD.temp[6] KEYBOARD.RAM.wdata[6] 1 1 .names KEYBOARD.temp[7] KEYBOARD.RAM.wdata[7] 1 1 .names KEYBOARD.ram_wr KEYBOARD.RAM.wen 1 1 .names I2C.FLT_SCL.RESET KEYBOARD.RESET 1 1 .names KBD_ROWS[0] KEYBOARD.ROWS[0] 1 1 .names KBD_ROWS[1] KEYBOARD.ROWS[1] 1 1 .names KBD_ROWS[2] KEYBOARD.ROWS[2] 1 1 .names KBD_ROWS[3] KEYBOARD.ROWS[3] 1 1 .names KBD_ROWS[4] KEYBOARD.ROWS[4] 1 1 .names KBD_ROWS[5] KEYBOARD.ROWS[5] 1 1 .names KBD_ROWS[6] KEYBOARD.ROWS[6] 1 1 .names KBD_ROWS[7] KEYBOARD.ROWS[7] 1 1 .names KBD_ROWS[8] KEYBOARD.ROWS[8] 1 1 .names KBD_ROWS[9] KEYBOARD.ROWS[9] 1 1 .names KBD_ROWS[10] KEYBOARD.ROWS[10] 1 1 .names KBD_ROWS[11] KEYBOARD.ROWS[11] 1 1 .names KBD_ROWS[12] KEYBOARD.ROWS[12] 1 1 .names KBD_ROWS[13] KEYBOARD.ROWS[13] 1 1 .names KBD_ROWS[14] KEYBOARD.ROWS[14] 1 1 .names KBD_ROWS[15] KEYBOARD.ROWS[15] 1 1 .names KEYBOARD.report[0][0] KEYBOARD.kbd_r0[0] 1 1 .names KEYBOARD.report[0][1] KEYBOARD.kbd_r0[1] 1 1 .names KEYBOARD.report[0][2] KEYBOARD.kbd_r0[2] 1 1 .names KEYBOARD.report[0][3] KEYBOARD.kbd_r0[3] 1 1 .names KEYBOARD.report[0][4] KEYBOARD.kbd_r0[4] 1 1 .names KEYBOARD.report[0][5] KEYBOARD.kbd_r0[5] 1 1 .names KEYBOARD.report[0][6] KEYBOARD.kbd_r0[6] 1 1 .names KEYBOARD.report[0][7] KEYBOARD.kbd_r0[7] 1 1 .names KEYBOARD.report[1][0] KEYBOARD.kbd_r2[0] 1 1 .names KEYBOARD.report[1][1] KEYBOARD.kbd_r2[1] 1 1 .names KEYBOARD.report[1][2] KEYBOARD.kbd_r2[2] 1 1 .names KEYBOARD.report[1][3] KEYBOARD.kbd_r2[3] 1 1 .names KEYBOARD.report[1][4] KEYBOARD.kbd_r2[4] 1 1 .names KEYBOARD.report[1][5] KEYBOARD.kbd_r2[5] 1 1 .names KEYBOARD.report[1][6] KEYBOARD.kbd_r2[6] 1 1 .names KEYBOARD.report[1][7] KEYBOARD.kbd_r2[7] 1 1 .names KEYBOARD.report[2][0] KEYBOARD.kbd_r3[0] 1 1 .names KEYBOARD.report[2][1] KEYBOARD.kbd_r3[1] 1 1 .names KEYBOARD.report[2][2] KEYBOARD.kbd_r3[2] 1 1 .names KEYBOARD.report[2][3] KEYBOARD.kbd_r3[3] 1 1 .names KEYBOARD.report[2][4] KEYBOARD.kbd_r3[4] 1 1 .names KEYBOARD.report[2][5] KEYBOARD.kbd_r3[5] 1 1 .names KEYBOARD.report[2][6] KEYBOARD.kbd_r3[6] 1 1 .names KEYBOARD.report[2][7] KEYBOARD.kbd_r3[7] 1 1 .names KEYBOARD.report[3][0] KEYBOARD.kbd_r4[0] 1 1 .names KEYBOARD.report[3][1] KEYBOARD.kbd_r4[1] 1 1 .names KEYBOARD.report[3][2] KEYBOARD.kbd_r4[2] 1 1 .names KEYBOARD.report[3][3] KEYBOARD.kbd_r4[3] 1 1 .names KEYBOARD.report[3][4] KEYBOARD.kbd_r4[4] 1 1 .names KEYBOARD.report[3][5] KEYBOARD.kbd_r4[5] 1 1 .names KEYBOARD.report[3][6] KEYBOARD.kbd_r4[6] 1 1 .names KEYBOARD.report[3][7] KEYBOARD.kbd_r4[7] 1 1 .names KEYBOARD.report[4][0] KEYBOARD.kbd_r5[0] 1 1 .names KEYBOARD.report[4][1] KEYBOARD.kbd_r5[1] 1 1 .names KEYBOARD.report[4][2] KEYBOARD.kbd_r5[2] 1 1 .names KEYBOARD.report[4][3] KEYBOARD.kbd_r5[3] 1 1 .names KEYBOARD.report[4][4] KEYBOARD.kbd_r5[4] 1 1 .names KEYBOARD.report[4][5] KEYBOARD.kbd_r5[5] 1 1 .names KEYBOARD.report[4][6] KEYBOARD.kbd_r5[6] 1 1 .names KEYBOARD.report[4][7] KEYBOARD.kbd_r5[7] 1 1 .names kbd_report[5][0] KEYBOARD.kbd_r6[0] 1 1 .names kbd_report[5][1] KEYBOARD.kbd_r6[1] 1 1 .names KEYBOARD.report[6][0] KEYBOARD.kbd_r7[0] 1 1 .names KEYBOARD.report[6][1] KEYBOARD.kbd_r7[1] 1 1 .names KEYBOARD.report[6][2] KEYBOARD.kbd_r7[2] 1 1 .names KEYBOARD.report[6][3] KEYBOARD.kbd_r7[3] 1 1 .names KEYBOARD.report[6][4] KEYBOARD.kbd_r7[4] 1 1 .names KEYBOARD.report[6][5] KEYBOARD.kbd_r7[5] 1 1 .names KEYBOARD.report[6][6] KEYBOARD.kbd_r7[6] 1 1 .names KEYBOARD.report[6][7] KEYBOARD.kbd_r7[7] 1 1 .names $undef KEYBOARD.ram_adr[8] 1 1 .names KEYBOARD.RAM.r_data[0] KEYBOARD.ram_rd[0] 1 1 .names KEYBOARD.RAM.r_data[1] KEYBOARD.ram_rd[1] 1 1 .names KEYBOARD.RAM.r_data[2] KEYBOARD.ram_rd[2] 1 1 .names KEYBOARD.RAM.r_data[3] KEYBOARD.ram_rd[3] 1 1 .names KEYBOARD.RAM.r_data[4] KEYBOARD.ram_rd[4] 1 1 .names KEYBOARD.RAM.r_data[5] KEYBOARD.ram_rd[5] 1 1 .names KEYBOARD.RAM.r_data[6] KEYBOARD.ram_rd[6] 1 1 .names KEYBOARD.RAM.r_data[7] KEYBOARD.ram_rd[7] 1 1 .names kbd_report[5][0] KEYBOARD.report[5][0] 1 1 .names kbd_report[5][1] KEYBOARD.report[5][1] 1 1 .names I2C_TRANS LED5 1 1 .names CLK REPORT_DATA.clk 1 1 .names report_data_radr[0] REPORT_DATA.raddr[0] 1 1 .names report_data_radr[1] REPORT_DATA.raddr[1] 1 1 .names report_data_radr[2] REPORT_DATA.raddr[2] 1 1 .names report_data_radr[3] REPORT_DATA.raddr[3] 1 1 .names report_data_radr[4] REPORT_DATA.raddr[4] 1 1 .names report_data_radr[5] REPORT_DATA.raddr[5] 1 1 .names report_data_radr[6] REPORT_DATA.raddr[6] 1 1 .names report_data_radr[7] REPORT_DATA.raddr[7] 1 1 .names $false REPORT_DATA.raddr[8] 1 1 .names REPORT_DATA.r_data[0] REPORT_DATA.rdata[0] 1 1 .names REPORT_DATA.r_data[1] REPORT_DATA.rdata[1] 1 1 .names REPORT_DATA.r_data[2] REPORT_DATA.rdata[2] 1 1 .names REPORT_DATA.r_data[3] REPORT_DATA.rdata[3] 1 1 .names REPORT_DATA.r_data[4] REPORT_DATA.rdata[4] 1 1 .names REPORT_DATA.r_data[5] REPORT_DATA.rdata[5] 1 1 .names REPORT_DATA.r_data[6] REPORT_DATA.rdata[6] 1 1 .names REPORT_DATA.r_data[7] REPORT_DATA.rdata[7] 1 1 .names report_data_wadr[0] REPORT_DATA.waddr[0] 1 1 .names report_data_wadr[1] REPORT_DATA.waddr[1] 1 1 .names report_data_wadr[2] REPORT_DATA.waddr[2] 1 1 .names report_data_wadr[3] REPORT_DATA.waddr[3] 1 1 .names report_data_wadr[4] REPORT_DATA.waddr[4] 1 1 .names report_data_wadr[5] REPORT_DATA.waddr[5] 1 1 .names report_data_wadr[6] REPORT_DATA.waddr[6] 1 1 .names report_data_wadr[7] REPORT_DATA.waddr[7] 1 1 .names $false REPORT_DATA.waddr[8] 1 1 .names report_data_wr[0] REPORT_DATA.wdata[0] 1 1 .names report_data_wr[1] REPORT_DATA.wdata[1] 1 1 .names report_data_wr[2] REPORT_DATA.wdata[2] 1 1 .names report_data_wr[3] REPORT_DATA.wdata[3] 1 1 .names report_data_wr[4] REPORT_DATA.wdata[4] 1 1 .names report_data_wr[5] REPORT_DATA.wdata[5] 1 1 .names report_data_wr[6] REPORT_DATA.wdata[6] 1 1 .names report_data_wr[7] REPORT_DATA.wdata[7] 1 1 .names report_wr_en REPORT_DATA.wen 1 1 .names I2C.FLT_SCL.RESET RESET 1 1 .names CLK UART.CLK 1 1 .names I2C.FLT_SCL.RESET UART.RESET 1 1 .names UART.tx_activity UART.TX_ACTIVITY 1 1 .names UART_TX_DATA[0] UART.TX_BYTE[0] 1 1 .names UART_TX_DATA[1] UART.TX_BYTE[1] 1 1 .names UART_TX_DATA[2] UART.TX_BYTE[2] 1 1 .names UART_TX_DATA[3] UART.TX_BYTE[3] 1 1 .names UART_TX_DATA[4] UART.TX_BYTE[4] 1 1 .names UART_TX_DATA[5] UART.TX_BYTE[5] 1 1 .names UART_TX_DATA[6] UART.TX_BYTE[6] 1 1 .names UART_TX_DATA[7] UART.TX_BYTE[7] 1 1 .names COM_TX UART.TX_LINE 1 1 .names UART_WR UART.TX_SIGNAL 1 1 .names COM_TX UART.tx_line 1 1 .names UART.tx_activity UART_ACTIVE 1 1 .names COM_TX UART_TX_LINE 1 1 .names KEYBOARD.report[0][0] kbd_report[0][0] 1 1 .names KEYBOARD.report[0][1] kbd_report[0][1] 1 1 .names KEYBOARD.report[0][2] kbd_report[0][2] 1 1 .names KEYBOARD.report[0][3] kbd_report[0][3] 1 1 .names KEYBOARD.report[0][4] kbd_report[0][4] 1 1 .names KEYBOARD.report[0][5] kbd_report[0][5] 1 1 .names KEYBOARD.report[0][6] kbd_report[0][6] 1 1 .names KEYBOARD.report[0][7] kbd_report[0][7] 1 1 .names KEYBOARD.report[1][0] kbd_report[1][0] 1 1 .names KEYBOARD.report[1][1] kbd_report[1][1] 1 1 .names KEYBOARD.report[1][2] kbd_report[1][2] 1 1 .names KEYBOARD.report[1][3] kbd_report[1][3] 1 1 .names KEYBOARD.report[1][4] kbd_report[1][4] 1 1 .names KEYBOARD.report[1][5] kbd_report[1][5] 1 1 .names KEYBOARD.report[1][6] kbd_report[1][6] 1 1 .names KEYBOARD.report[1][7] kbd_report[1][7] 1 1 .names KEYBOARD.report[2][0] kbd_report[2][0] 1 1 .names KEYBOARD.report[2][1] kbd_report[2][1] 1 1 .names KEYBOARD.report[2][2] kbd_report[2][2] 1 1 .names KEYBOARD.report[2][3] kbd_report[2][3] 1 1 .names KEYBOARD.report[2][4] kbd_report[2][4] 1 1 .names KEYBOARD.report[2][5] kbd_report[2][5] 1 1 .names KEYBOARD.report[2][6] kbd_report[2][6] 1 1 .names KEYBOARD.report[2][7] kbd_report[2][7] 1 1 .names KEYBOARD.report[3][0] kbd_report[3][0] 1 1 .names KEYBOARD.report[3][1] kbd_report[3][1] 1 1 .names KEYBOARD.report[3][2] kbd_report[3][2] 1 1 .names KEYBOARD.report[3][3] kbd_report[3][3] 1 1 .names KEYBOARD.report[3][4] kbd_report[3][4] 1 1 .names KEYBOARD.report[3][5] kbd_report[3][5] 1 1 .names KEYBOARD.report[3][6] kbd_report[3][6] 1 1 .names KEYBOARD.report[3][7] kbd_report[3][7] 1 1 .names KEYBOARD.report[4][0] kbd_report[4][0] 1 1 .names KEYBOARD.report[4][1] kbd_report[4][1] 1 1 .names KEYBOARD.report[4][2] kbd_report[4][2] 1 1 .names KEYBOARD.report[4][3] kbd_report[4][3] 1 1 .names KEYBOARD.report[4][4] kbd_report[4][4] 1 1 .names KEYBOARD.report[4][5] kbd_report[4][5] 1 1 .names KEYBOARD.report[4][6] kbd_report[4][6] 1 1 .names KEYBOARD.report[4][7] kbd_report[4][7] 1 1 .names KEYBOARD.report[6][0] kbd_report[6][0] 1 1 .names KEYBOARD.report[6][1] kbd_report[6][1] 1 1 .names KEYBOARD.report[6][2] kbd_report[6][2] 1 1 .names KEYBOARD.report[6][3] kbd_report[6][3] 1 1 .names KEYBOARD.report[6][4] kbd_report[6][4] 1 1 .names KEYBOARD.report[6][5] kbd_report[6][5] 1 1 .names KEYBOARD.report[6][6] kbd_report[6][6] 1 1 .names KEYBOARD.report[6][7] kbd_report[6][7] 1 1 .names REPORT_DATA.r_data[0] report_data_rd[0] 1 1 .names REPORT_DATA.r_data[1] report_data_rd[1] 1 1 .names REPORT_DATA.r_data[2] report_data_rd[2] 1 1 .names REPORT_DATA.r_data[3] report_data_rd[3] 1 1 .names REPORT_DATA.r_data[4] report_data_rd[4] 1 1 .names REPORT_DATA.r_data[5] report_data_rd[5] 1 1 .names REPORT_DATA.r_data[6] report_data_rd[6] 1 1 .names REPORT_DATA.r_data[7] report_data_rd[7] 1 1 .names $undef temp_output_report[3] 1 1 .names $undef temp_output_report[4] 1 1 .names $undef temp_output_report[5] 1 1 .names $undef temp_output_report[6] 1 1 .names $undef temp_output_report[7] 1 1 .end