# Generated by Yosys 0.8 (Apio build) (git sha1 40e220b, gcc 4.8.4-2ubuntu1~14.04.3 -fPIC -Os) .model top .inputs CLK SCL SDA COM_RX KBD_COLUMNS[0] KBD_COLUMNS[1] KBD_COLUMNS[2] KBD_COLUMNS[3] KBD_COLUMNS[4] KBD_COLUMNS[5] KBD_COLUMNS[6] KBD_COLUMNS[7] KBD_ROWS[0] KBD_ROWS[1] KBD_ROWS[2] KBD_ROWS[3] KBD_ROWS[4] KBD_ROWS[5] KBD_ROWS[6] KBD_ROWS[7] KBD_ROWS[8] KBD_ROWS[9] KBD_ROWS[10] KBD_ROWS[11] KBD_ROWS[12] KBD_ROWS[13] KBD_ROWS[14] KBD_ROWS[15] .outputs LED1 LED2 LED3 LED4 LED5 SDA INTERRUPT COM_TX COM_DCD COM_DSR COM_RTS KBD_ROWS[0] KBD_ROWS[1] KBD_ROWS[2] KBD_ROWS[3] KBD_ROWS[4] KBD_ROWS[5] KBD_ROWS[6] KBD_ROWS[7] KBD_ROWS[8] KBD_ROWS[9] KBD_ROWS[10] KBD_ROWS[11] KBD_ROWS[12] KBD_ROWS[13] KBD_ROWS[14] KBD_ROWS[15] .names $false .names $true 1 .names $undef .gate SB_LUT4 I0=$abc$19659$n1554 I1=$abc$19659$n517 I2=$abc$19659$n512 I3=UART.tx_activity O=$abc$19659$n5 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000111111111 .gate SB_LUT4 I0=$abc$19659$n516 I1=$abc$19659$n513 I2=$abc$19659$n1596 I3=$abc$19659$n1582 O=$abc$19659$n512 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000101000000011 .gate SB_LUT4 I0=UART_TX_DATA[2] I1=UART_TX_DATA[0] I2=$abc$19659$n514 I3=$false O=$abc$19659$n513 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=$abc$19659$n1623 I1=$abc$19659$n1624 I2=$false I3=$false O=$abc$19659$n514 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0110 .gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=$false I3=$false O=$abc$19659$n1623 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0110 .gate SB_LUT4 I0=UART_TX_DATA[6] I1=UART_TX_DATA[4] I2=$abc$19659$n514 I3=$false O=$abc$19659$n516 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$19659$n519 I1=$abc$19659$n518 I2=$abc$19659$n1582 I3=$abc$19659$n1596 O=$abc$19659$n517 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100010100000000 .gate SB_LUT4 I0=UART_TX_DATA[7] I1=UART_TX_DATA[5] I2=$abc$19659$n514 I3=$false O=$abc$19659$n518 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=UART_TX_DATA[3] I1=UART_TX_DATA[1] I2=$abc$19659$n514 I3=$false O=$abc$19659$n519 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=$abc$19659$n646 I1=$abc$19659$n521 I2=$abc$19659$n654 I3=I2C.FLT_SCL.RESET O=$abc$19659$n7 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111100000000000 .gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=$abc$19659$n522 I2=$abc$19659$n644 I3=$abc$19659$n619 O=$abc$19659$n521 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0011101000000000 .gate SB_LUT4 I0=$abc$19659$n615 I1=$abc$19659$n523_1 I2=$abc$19659$n617_1 I3=I2C.is_read O=$abc$19659$n522 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000001110 .gate SB_LUT4 I0=$abc$19659$n613 I1=$abc$19659$n524_1 I2=$false I3=$false O=$abc$19659$n523_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$19659$n1678 I1=$abc$19659$n520 I2=$false I3=$false O=$abc$19659$n524_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$19659$n584_1 I1=i2c_input_data_type[1] I2=$abc$19659$n549 I3=$abc$19659$n555_1 O=$abc$19659$n527_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111010000000000 .gate SB_LUT4 I0=$abc$19659$n534_1 I1=$abc$19659$n530_1 I2=$abc$19659$n538 I3=$false O=$abc$19659$n529 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11100000 .gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$19659$n532 I2=$abc$19659$n531_1 I3=$abc$19659$n533_1 O=$abc$19659$n530_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0111111100000000 .gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[5] I2=I2C.received_byte[7] I3=I2C.received_byte[6] O=$abc$19659$n531_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=I2C.received_byte[3] I1=I2C.received_byte[1] I2=I2C.received_byte[2] I3=$false O=$abc$19659$n532 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000001 .gate SB_LUT4 I0=i2c_input_data_type[1] I1=i2c_input_data_type[3] I2=i2c_input_data_type[0] I3=i2c_input_data_type[2] O=$abc$19659$n533_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=$abc$19659$n537 I1=$abc$19659$n531_1 I2=$abc$19659$n536_1 I3=$abc$19659$n535 O=$abc$19659$n534_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0111111100000000 .gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[1] I2=i2c_input_data_type[2] I3=$false O=$abc$19659$n535 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=i2c_input_data_type[0] I1=I2C.received_byte[0] I2=$false I3=$false O=$abc$19659$n536_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1001 .gate SB_LUT4 I0=I2C.received_byte[3] I1=I2C.received_byte[2] I2=I2C.received_byte[1] I3=$false O=$abc$19659$n537 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=I2C_COUNTER[3] I1=I2C_COUNTER[0] I2=$abc$19659$n539 I3=I2C_COUNTER[1] O=$abc$19659$n538 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=I2C_COUNTER[2] I1=I2C_COUNTER[4] I2=I2C_COUNTER[5] I3=I2C_COUNTER[6] O=$abc$19659$n539 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=I2C_COUNTER[4] I1=I2C_COUNTER[5] I2=I2C_COUNTER[6] I3=I2C_COUNTER[2] O=$abc$19659$n543 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=I2C_COUNTER[3] I1=I2C_COUNTER[1] I2=$abc$19659$n539 I3=I2C_COUNTER[0] O=$abc$19659$n547 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$19659$n532 I2=$abc$19659$n531_1 I3=$false O=$abc$19659$n548 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$19659$n550_1 I1=$abc$19659$n553 I2=$false I3=$false O=$abc$19659$n549 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=I2C_COUNTER[3] I1=$abc$19659$n539 I2=$abc$19659$n551 I3=$false O=$abc$19659$n550_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=I2C_COUNTER[0] I1=$abc$19659$n552 I2=I2C_COUNTER[1] I3=$false O=$abc$19659$n551 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[0] I2=i2c_input_data_type[2] I3=$false O=$abc$19659$n552 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[5] I2=$abc$19659$n532 I3=$abc$19659$n554 O=$abc$19659$n553 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0110000000000000 .gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[7] I2=I2C.received_byte[6] I3=$false O=$abc$19659$n554 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000001 .gate SB_LUT4 I0=$abc$19659$n558 I1=$abc$19659$n556 I2=$false I3=$false O=$abc$19659$n555_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=I2C.is_read I1=$abc$19659$n557 I2=$false I3=$false O=$abc$19659$n556 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=last_wr I1=I2C.wr I2=$false I3=$false O=$abc$19659$n557 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=I2C_COUNTER[0] I1=I2C_COUNTER[3] I2=I2C_COUNTER[1] I3=$abc$19659$n539 O=$abc$19659$n558 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=$abc$19659$n1672 I1=$abc$19659$n560 I2=$false I3=$false O=$abc$19659$n559 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$19659$n1673 I1=$abc$19659$n565_1 I2=$false I3=$false O=$abc$19659$n560 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=I2C_COUNTER[3] I1=$abc$19659$n1082 I2=$abc$19659$n562 I3=$false O=$abc$19659$n1673 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=$abc$19659$n564 I1=$abc$19659$n563 I2=$abc$19659$n557 I3=$false O=$abc$19659$n562 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01110000 .gate SB_LUT4 I0=I2C_COUNTER[4] I1=I2C_COUNTER[5] I2=I2C_COUNTER[6] I3=$false O=$abc$19659$n563 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=I2C_COUNTER[0] I1=I2C_COUNTER[2] I2=I2C_COUNTER[3] I3=I2C_COUNTER[1] O=$abc$19659$n564 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=$abc$19659$n1676 I1=$abc$19659$n1674 I2=$abc$19659$n1675 I3=$false O=$abc$19659$n565_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$19659$n564 I1=$abc$19659$n563 I2=$abc$19659$n567_1 I3=$abc$19659$n568 O=$abc$19659$n1676 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111101110000 .gate SB_LUT4 I0=last_wr I1=$abc$19659$n1088 I2=I2C.wr I3=$false O=$abc$19659$n567_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=last_wr I1=I2C.wr I2=I2C_COUNTER[6] I3=$false O=$abc$19659$n568 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00001011 .gate SB_LUT4 I0=$abc$19659$n564 I1=$abc$19659$n563 I2=$abc$19659$n570 I3=$abc$19659$n571 O=$abc$19659$n1674 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111101110000 .gate SB_LUT4 I0=last_wr I1=$abc$19659$n1084 I2=I2C.wr I3=$false O=$abc$19659$n570 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=last_wr I1=I2C.wr I2=I2C_COUNTER[4] I3=$false O=$abc$19659$n571 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00001011 .gate SB_LUT4 I0=$abc$19659$n564 I1=$abc$19659$n563 I2=$abc$19659$n573 I3=$abc$19659$n574 O=$abc$19659$n1675 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111101110000 .gate SB_LUT4 I0=last_wr I1=$abc$19659$n1086 I2=I2C.wr I3=$false O=$abc$19659$n573 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=last_wr I1=I2C.wr I2=I2C_COUNTER[5] I3=$false O=$abc$19659$n574 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00001011 .gate SB_LUT4 I0=I2C_COUNTER[2] I1=$abc$19659$n1080 I2=$abc$19659$n562 I3=$false O=$abc$19659$n1672 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=$abc$19659$n556 I1=i2c_input_data_type[1] I2=$abc$19659$n577 I3=I2C.received_byte[1] O=$abc$19659$n576 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000101110111011 .gate SB_LUT4 I0=$abc$19659$n578 I1=$abc$19659$n558 I2=$abc$19659$n556 I3=$abc$19659$n1652 O=$abc$19659$n577 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=$abc$19659$n532 I1=$abc$19659$n531_1 I2=$abc$19659$n1648 I3=$false O=$abc$19659$n578 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01110000 .gate SB_LUT4 I0=I2C_COUNTER[0] I1=$abc$19659$n562 I2=I2C_COUNTER[1] I3=$false O=$abc$19659$n1671 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000111 .gate SB_LUT4 I0=I2C_COUNTER[0] I1=$abc$19659$n1076 I2=$abc$19659$n562 I3=$false O=$abc$19659$n581 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$19659$n586 I1=$abc$19659$n548 I2=$abc$19659$n529 I3=$abc$19659$n1070 O=$abc$19659$n584_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111111110001 .gate SB_LUT4 I0=$abc$19659$n553 I1=$abc$19659$n587 I2=$abc$19659$n588_1 I3=$abc$19659$n547 O=$abc$19659$n586 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000010111111 .gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$19659$n531_1 I2=$abc$19659$n532 I3=$abc$19659$n533_1 O=$abc$19659$n587 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1011111100000000 .gate SB_LUT4 I0=I2C_COUNTER[0] I1=I2C_COUNTER[3] I2=$abc$19659$n539 I3=I2C_COUNTER[1] O=$abc$19659$n588_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=$abc$19659$n578 I1=$abc$19659$n558 I2=I2C.received_byte[2] I3=$abc$19659$n1652 O=$abc$19659$n590_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=$abc$19659$n594 I1=i2c_input_data_type[3] I2=$abc$19659$n577 I3=I2C.received_byte[3] O=$abc$19659$n520 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000101110111011 .gate SB_LUT4 I0=$abc$19659$n548 I1=$abc$19659$n547 I2=$abc$19659$n558 I3=$abc$19659$n556 O=$abc$19659$n594 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111010000000000 .gate SB_LUT4 I0=$abc$19659$n1671 I1=$abc$19659$n581 I2=$false I3=$false O=$abc$19659$n597 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$19659$n560 I1=$abc$19659$n600 I2=$abc$19659$n1672 I3=$abc$19659$n1645 O=$abc$19659$n599 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0111111100000000 .gate SB_LUT4 I0=$abc$19659$n1671 I1=$abc$19659$n581 I2=$false I3=$false O=$abc$19659$n600 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$19659$n606 I1=$abc$19659$n603 I2=$abc$19659$n602 I3=$abc$19659$n607 O=$abc$19659$n524 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000111 .gate SB_LUT4 I0=$abc$19659$n577 I1=I2C.received_byte[0] I2=$false I3=$false O=$abc$19659$n602 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$19659$n529 I1=$abc$19659$n1070 I2=$abc$19659$n604 I3=$false O=$abc$19659$n603 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000001 .gate SB_LUT4 I0=$abc$19659$n605 I1=$abc$19659$n588_1 I2=$abc$19659$n533_1 I3=$false O=$abc$19659$n604 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=I2C.received_byte[4] I1=$abc$19659$n554 I2=$abc$19659$n532 I3=$false O=$abc$19659$n605 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$19659$n548 I1=$abc$19659$n547 I2=$abc$19659$n555_1 I3=i2c_input_data_type[0] O=$abc$19659$n606 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1011000000000000 .gate SB_LUT4 I0=$abc$19659$n556 I1=i2c_input_data_type[0] I2=$false I3=$false O=$abc$19659$n607 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$19659$n597 I1=$abc$19659$n612 I2=$abc$19659$n609 I3=$abc$19659$n610 O=$abc$19659$n608 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0101001111111111 .gate SB_LUT4 I0=$abc$19659$n527_1 I1=$abc$19659$n559 I2=$abc$19659$n576 I3=$false O=$abc$19659$n609 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$19659$n1678 I1=$abc$19659$n524 I2=$abc$19659$n520 I3=$false O=$abc$19659$n610 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$19659$n1672 I1=$abc$19659$n1645 I2=$abc$19659$n560 I3=$abc$19659$n600 O=$abc$19659$n612 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=$abc$19659$n523 I1=$abc$19659$n524 I2=$abc$19659$n1116 I3=$false O=$abc$19659$n613 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10001111 .gate SB_LUT4 I0=$abc$19659$n527_1 I1=$abc$19659$n576 I2=$false I3=$false O=$abc$19659$n523 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$19659$n616 I1=I2C_OUTPUT_TYPE[1] I2=$false I3=$false O=$abc$19659$n615 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$19659$n608 I1=$abc$19659$n523 I2=$false I3=$false O=$abc$19659$n616 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$19659$n523 I1=$22\i2c_input_data_type[3:0][0] I2=$abc$19659$n524_1 I3=$false O=$abc$19659$n617_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$19659$n524 I1=$abc$19659$n1116 I2=$false I3=$false O=$22\i2c_input_data_type[3:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$19659$n639 I1=$abc$19659$n637 I2=$abc$19659$n620_1 I3=$abc$19659$n641 O=$abc$19659$n619 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1011111100000000 .gate SB_LUT4 I0=$2\ring_rd[3:0][2] I1=$2\ring_wr[3:0][2] I2=$abc$19659$n636 I3=$false O=$abc$19659$n620_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10010000 .gate SB_LUT4 I0=ring_rd[2] I1=$abc$19659$n1097 I2=$abc$19659$n622 I3=$false O=$2\ring_rd[3:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$19659$n623_1 I1=$abc$19659$n634 I2=$false I3=$false O=$abc$19659$n622 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$2\ring_wr[3:0][3] I1=ring_rd[3] I2=$abc$19659$n624 I3=$abc$19659$n631 O=$abc$19659$n623_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000000000 .gate SB_LUT4 I0=$2\ring_wr[3:0][2] I1=ring_rd[2] I2=ring_rd[0] I3=$2\ring_wr[3:0][0] O=$abc$19659$n624 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=ring_wr[2] I1=$abc$19659$n1224 I2=$abc$19659$n626 I3=$false O=$2\ring_wr[3:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$19659$n629_1 I1=$abc$19659$n627 I2=KEYBOARD.isr I3=last_isr O=$abc$19659$n626 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000011100000000 .gate SB_LUT4 I0=$abc$19659$n344 I1=ring_rd[2] I2=$abc$19659$n1224 I3=$abc$19659$n628 O=$abc$19659$n627 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000100000000 .gate SB_LUT4 I0=ring_rd[0] I1=$abc$19659$n1218 I2=ring_rd[3] I3=$abc$19659$n1227 O=$abc$19659$n628 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=ring_rd[1] I1=ring_wr[0] I2=ring_wr[1] I3=$false O=$abc$19659$n629_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01101001 .gate SB_LUT4 I0=ring_wr[0] I1=$abc$19659$n1218 I2=$abc$19659$n626 I3=$false O=$2\ring_wr[3:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$2\ring_wr[3:0][1] I1=ring_rd[1] I2=$false I3=$false O=$abc$19659$n631 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1001 .gate SB_LUT4 I0=$abc$19659$n626 I1=ring_wr[0] I2=ring_wr[1] I3=$false O=$2\ring_wr[3:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01111000 .gate SB_LUT4 I0=ring_wr[3] I1=$abc$19659$n1227 I2=$abc$19659$n626 I3=$false O=$2\ring_wr[3:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$19659$n558 I1=$abc$19659$n635_1 I2=$abc$19659$n557 I3=I2C.is_read O=$abc$19659$n634 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=I2C_HID_DESC.DESC_TYPE I1=I2C_OUTPUT_TYPE[2] I2=I2C_OUTPUT_TYPE[1] I3=$false O=$abc$19659$n635_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$19659$n622 I1=ring_rd[0] I2=$abc$19659$n631 I3=$false O=$abc$19659$n636 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01111000 .gate SB_LUT4 I0=$2\ring_rd[3:0][0] I1=$2\ring_wr[3:0][0] I2=$false I3=$false O=$abc$19659$n637 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1001 .gate SB_LUT4 I0=ring_rd[0] I1=$abc$19659$n1091 I2=$abc$19659$n622 I3=$false O=$2\ring_rd[3:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$2\ring_rd[3:0][3] I1=$2\ring_wr[3:0][3] I2=$false I3=$false O=$abc$19659$n639 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0110 .gate SB_LUT4 I0=ring_rd[3] I1=$abc$19659$n1100 I2=$abc$19659$n622 I3=$false O=$2\ring_rd[3:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=I2C_TRANS I1=$3\int_tmr[14:0][14] I2=$false I3=$false O=$abc$19659$n641 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$19659$n643 I1=int_tmr[14] I2=$false I3=$false O=$3\int_tmr[14:0][14] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$19659$n1651 I1=$abc$19659$n635_1 I2=I2C.is_read I3=$abc$19659$n644 O=$abc$19659$n643 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=$false I3=$false O=$abc$19659$n644 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=I2C.i2c_state_machine I1=I2C.i2c_start_latency I2=$false I3=$false O=I2C_TRANS .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$19659$n651 I1=$abc$19659$n652 I2=$2\I2C_OUTPUT_TYPE[2:0][0] I3=$abc$19659$n653 O=$abc$19659$n646 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000001101 .gate SB_LUT4 I0=$abc$19659$n649 I1=$abc$19659$n648 I2=$abc$19659$n651 I3=$abc$19659$n650 O=$2\I2C_OUTPUT_TYPE[2:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111100010000 .gate SB_LUT4 I0=$abc$19659$n613 I1=$abc$19659$n998 I2=$abc$19659$n524_1 I3=$false O=$abc$19659$n648 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$19659$n616 I1=I2C_HID_DESC.DESC_TYPE I2=$abc$19659$n613 I3=$abc$19659$n524_1 O=$abc$19659$n649 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1011000010111011 .gate SB_LUT4 I0=$abc$19659$n644 I1=I2C_HID_DESC.DESC_TYPE I2=$false I3=$false O=$abc$19659$n650 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=I2C.is_read I1=$abc$19659$n644 I2=$false I3=$false O=$abc$19659$n651 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$19659$n616 I1=I2C_OUTPUT_TYPE[2] I2=$abc$19659$n1000 I3=$abc$19659$n523_1 O=$abc$19659$n652 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000111110111011 .gate SB_LUT4 I0=$abc$19659$n644 I1=I2C_OUTPUT_TYPE[2] I2=$false I3=$false O=$abc$19659$n653 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$19659$n643 I1=LED1 I2=$false I3=$false O=$abc$19659$n654 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=rststate[3] I1=rststate[2] I2=rststate[1] I3=rststate[0] O=I2C.FLT_SCL.RESET .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$abc$19659$n46 I3=$abc$19659$n658 O=$abc$19659$n35 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100111111111111 .gate SB_LUT4 I0=IS_RAM_INIT I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$19659$n46 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=wr_cnt[2] I3=wr_cnt[3] O=$abc$19659$n658 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=$abc$19659$n41 I1=$abc$19659$n662 I2=$abc$19659$n660_1 I3=$false O=$abc$19659$n37 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00001110 .gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$abc$19659$n661 I3=$abc$19659$n46 O=$abc$19659$n660_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000101100000000 .gate SB_LUT4 I0=wr_cnt[2] I1=wr_cnt[1] I2=wr_cnt[0] I3=wr_cnt[3] O=$abc$19659$n661 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=$abc$19659$n663 I3=$false O=$abc$19659$n662 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10010000 .gate SB_LUT4 I0=$abc$19659$n732 I1=$abc$19659$n735 I2=$abc$19659$n736 I3=$false O=$abc$19659$n663 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000001 .gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=IS_RAM_INIT I2=$false I3=$false O=$abc$19659$n41 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0111 .gate SB_LUT4 I0=$abc$19659$n666_1 I1=I2C.wr I2=last_wr I3=$abc$19659$n41 O=$abc$19659$n42 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0111110100000000 .gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=I2C.FLT_SCL.RESET I3=$false O=$abc$19659$n666_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10010000 .gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$abc$19659$n52 I3=$abc$19659$n46 O=$abc$19659$n47 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111010000000000 .gate SB_LUT4 I0=last_trans I1=I2C_TRANS I2=$false I3=$false O=$abc$19659$n52 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$19659$n644 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$19659$n63 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01001111 .gate SB_LUT4 I0=$22\i2c_input_data_type[3:0][0] I1=$abc$19659$n523 I2=$abc$19659$n672 I3=$false O=$abc$19659$n671 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01100000 .gate SB_LUT4 I0=$22\i2c_input_data_type[3:0][2] I1=$abc$19659$n520 I2=$false I3=$false O=$abc$19659$n672 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$19659$n674 I1=$abc$19659$n1678 I2=$false I3=$false O=$22\i2c_input_data_type[3:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$19659$n1116 I1=$abc$19659$n608 I2=$false I3=$false O=$abc$19659$n674 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$19659$n197 I1=$abc$19659$n684_1 I2=$false I3=$false O=$abc$19659$n94 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19659$n46 I2=$abc$19659$n690 I3=$false O=$abc$19659$n197 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$19659$n779 I1=$abc$19659$n681 I2=$false I3=$false O=$abc$19659$n679 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=KEYBOARD.row_time[1] I1=KEYBOARD.row_time[0] I2=$false I3=$false O=$abc$19659$n779 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0110 .gate SB_LUT4 I0=$abc$19659$n776 I1=$abc$19659$n778 I2=$abc$19659$n781 I3=$abc$19659$n782 O=$abc$19659$n681 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KEYBOARD.isr_internal I2=IS_RAM_INIT I3=I2C.FLT_SCL.RESET O=$abc$19659$n684_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000111011111111 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19659$n46 I2=KEYBOARD.report_wr_en I3=$abc$19659$n689 O=$abc$19659$n688 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0111000001110111 .gate SB_LUT4 I0=$abc$19659$n690 I1=$abc$19659$n46 I2=KEYBOARD.isr_internal I3=$false O=$abc$19659$n689 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$19659$n679 I1=$abc$19659$n691 I2=$abc$19659$n692 I3=$abc$19659$n793 O=$abc$19659$n690 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=$abc$19659$n787 I1=$abc$19659$n789 I2=$abc$19659$n792 I3=$abc$19659$n797 O=$abc$19659$n691 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=$abc$19659$n786 I1=$abc$19659$n790 I2=$false I3=$false O=$abc$19659$n692 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$19659$n696 I1=KEYBOARD.isr_internal I2=$false I3=$false O=$abc$19659$n695 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[6] I1=$abc$19659$n698 I2=$abc$19659$n697 I3=$false O=$abc$19659$n696 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$19659$n697 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[4] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.kbd_code_hid[7] O=$abc$19659$n698 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[4] I2=$abc$19659$n700 I3=$false O=$abc$19659$n699 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.kbd_code_hid[7] I3=$false O=$abc$19659$n700 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=KEYBOARD.report_adress_rd[2] I1=KEYBOARD.report_adress_rd[0] I2=KEYBOARD.report_adress_rd[1] I3=$false O=$abc$19659$n701 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$19659$n690 I1=IS_RAM_INIT I2=KEYBOARD.IS_RAM_INIT I3=KEYBOARD.report_wr_en O=$abc$19659$n702 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=$abc$19659$n705 I1=$abc$19659$n696 I2=$abc$19659$n704 I3=$abc$19659$n706 O=$abc$19659$n703 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=$abc$19659$n699 I1=$abc$19659$n695 I2=$abc$19659$n702 I3=KEYBOARD.is_pressed O=$abc$19659$n704 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KEYBOARD.report_wr_en I2=$abc$19659$n689 I3=$false O=$abc$19659$n705 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$19659$n701 I1=I2C.FLT_SCL.RESET I2=$abc$19659$n707 I3=$false O=$abc$19659$n706 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$19659$n708 I1=$abc$19659$n709 I2=$abc$19659$n710 I3=$abc$19659$n711 O=$abc$19659$n707 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[0] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.REPORT.r_data[2] I3=KEYBOARD.kbd_code_hid[2] O=$abc$19659$n708 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[5] I1=KEYBOARD.kbd_code_hid[5] I2=KEYBOARD.REPORT.r_data[6] I3=KEYBOARD.kbd_code_hid[6] O=$abc$19659$n709 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.REPORT.r_data[4] I2=KEYBOARD.REPORT.r_data[7] I3=KEYBOARD.kbd_code_hid[7] O=$abc$19659$n710 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.REPORT.r_data[3] I2=KEYBOARD.REPORT.r_data[1] I3=KEYBOARD.kbd_code_hid[1] O=$abc$19659$n711 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000001001 .gate SB_LUT4 I0=$abc$19659$n717 I1=$abc$19659$n718_1 I2=$false I3=$false O=$abc$19659$n716 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[4] I1=KEYBOARD.REPORT.r_data[5] I2=KEYBOARD.REPORT.r_data[6] I3=KEYBOARD.REPORT.r_data[7] O=$abc$19659$n717 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[0] I1=KEYBOARD.REPORT.r_data[1] I2=KEYBOARD.REPORT.r_data[2] I3=KEYBOARD.REPORT.r_data[3] O=$abc$19659$n718_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=$abc$19659$n707 I1=$abc$19659$n701 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$19659$n719_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19659$n46 I2=$abc$19659$n722_1 I3=$abc$19659$n690 O=$abc$19659$n721_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=$abc$19659$n1083 I1=$abc$19659$n1130 I2=$false I3=$false O=$abc$19659$n722_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1001 .gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[3] I1=KBD_COLUMNS[3] I2=$abc$19659$n727 I3=$false O=$abc$19659$n1359 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$19659$n555 I1=$abc$19659$n565 I2=$abc$19659$n567 I3=$false O=$abc$19659$n727 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000001 .gate SB_LUT4 I0=$abc$19659$n555 I1=$abc$19659$n565 I2=$abc$19659$n567 I3=$false O=$abc$19659$n728 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$19659$n1356 I1=$abc$19659$n731 I2=$false I3=$false O=$abc$19659$n729 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[2] I1=KBD_COLUMNS[2] I2=$abc$19659$n727 I3=$false O=$abc$19659$n1356 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$19659$n555 I1=$abc$19659$n567 I2=$abc$19659$n565 I3=$false O=$abc$19659$n731 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$19659$n1353 I1=$abc$19659$n734 I2=$false I3=$false O=$abc$19659$n732_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[1] I1=KBD_COLUMNS[1] I2=$abc$19659$n727 I3=$false O=$abc$19659$n1353 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$19659$n555 I1=$abc$19659$n565 I2=$abc$19659$n567 I3=$false O=$abc$19659$n734 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$19659$n1365 I1=$abc$19659$n737 I2=$false I3=$false O=$abc$19659$n735_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[5] I1=KBD_COLUMNS[5] I2=$abc$19659$n727 I3=$false O=$abc$19659$n1365 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$19659$n565 I1=$abc$19659$n555 I2=$abc$19659$n567 I3=$false O=$abc$19659$n737 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[6] I1=KBD_COLUMNS[6] I2=$abc$19659$n727 I3=$false O=$abc$19659$n1368 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$19659$n741 I1=KEYBOARD.COLS_SHADOW[7] I2=$abc$19659$n727 I3=KBD_COLUMNS[0] O=$abc$19659$n740 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=$abc$19659$n555 I1=$abc$19659$n565 I2=$abc$19659$n567 I3=$false O=$abc$19659$n741 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$19659$n567 I1=$abc$19659$n565 I2=$abc$19659$n555 I3=$false O=$abc$19659$n742 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$19659$n565 I1=$abc$19659$n567 I2=$abc$19659$n555 I3=KEYBOARD.COLS_SHADOW[4] O=$abc$19659$n743 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=KEYBOARD.temp[3] I1=KEYBOARD.RAM.r_data[3] I2=$abc$19659$n727 I3=$false O=$abc$19659$n752_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=$abc$19659$n704 I1=$abc$19659$n719_1 I2=$abc$19659$n716 I3=$false O=$abc$19659$n755_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$19659$n690 I1=KEYBOARD.IS_RAM_INIT I2=$false I3=$false O=$abc$19659$n757_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$19659$n696 I1=KEYBOARD.IS_RAM_INIT I2=KEYBOARD.report_wr_en I3=$false O=$abc$19659$n761 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000001 .gate SB_LUT4 I0=$abc$19659$n763_1 I1=KEYBOARD.IS_RAM_INIT I2=IS_RAM_INIT I3=I2C.FLT_SCL.RESET O=$abc$19659$n189 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000111011111111 .gate SB_LUT4 I0=$abc$19659$n679 I1=$abc$19659$n764 I2=$abc$19659$n727 I3=$false O=$abc$19659$n763_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$19659$n792 I1=$abc$19659$n793 I2=$abc$19659$n797 I3=$abc$19659$n765_1 O=$abc$19659$n764 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=$abc$19659$n786 I1=$abc$19659$n787 I2=$abc$19659$n789 I3=$abc$19659$n790 O=$abc$19659$n765_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=IS_RAM_INIT I1=KEYBOARD.IS_RAM_INIT I2=I2C.FLT_SCL.RESET I3=$false O=$abc$19659$n192 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00011111 .gate SB_LUT4 I0=$abc$19659$n722_1 I1=$abc$19659$n197 I2=$false I3=$false O=$abc$19659$n194 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19659$n46 I2=$abc$19659$n763_1 I3=$false O=$abc$19659$n199 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$19659$n721_1 I1=$abc$19659$n192 I2=$false I3=$false O=$abc$19659$n205 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$19659$n705 I1=$abc$19659$n211 I2=$abc$19659$n41 I3=$false O=$abc$19659$n209 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$19659$n757_1 I1=$abc$19659$n46 I2=$false I3=$false O=$abc$19659$n211 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$19659$n779_1 I1=$abc$19659$n783 I2=$abc$19659$n781_1 I3=$false O=$abc$19659$n224 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$19659$n10 I1=I2C.FLT_SCL.out I2=$abc$19659$n776_1 I3=$false O=$abc$19659$n775 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000111 .gate SB_LUT4 I0=$abc$19659$n1436 I1=I2C.is_read I2=$false I3=$false O=$abc$19659$n776_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$19659$n8 I2=I2C.FLT_SCL.out I3=$false O=$abc$19659$n1436 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11101111 .gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$19659$n8 I2=I2C.FLT_SCL.out I3=I2C.i2c_state_machine O=$abc$19659$n778_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0111111100010000 .gate SB_LUT4 I0=$abc$19659$n659 I1=$abc$19659$n780 I2=$false I3=$false O=$abc$19659$n779_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=I2C.i2c_bit_counter[0] I1=I2C.i2c_bit_counter[1] I2=$abc$19659$n1436 I3=$false O=$abc$19659$n780 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01100000 .gate SB_LUT4 I0=$abc$19659$n776_1 I1=$abc$19659$n782_1 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$19659$n781_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$19659$n212 I1=I2C.FLT_SCL.out I2=$abc$19659$n10 I3=$abc$19659$n778_1 O=$abc$19659$n782_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=$abc$19659$n635 I1=$abc$19659$n660 I2=$abc$19659$n666 I3=$abc$19659$n1603 O=$abc$19659$n783 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=$abc$19659$n785 I1=$abc$19659$n783 I2=$abc$19659$n781_1 I3=$false O=$abc$19659$n232 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$19659$n780 I1=$abc$19659$n659 I2=$false I3=$false O=$abc$19659$n785 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$19659$n787_1 I1=$abc$19659$n783 I2=$abc$19659$n781_1 I3=$false O=$abc$19659$n241 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$19659$n780 I1=$abc$19659$n659 I2=$false I3=$false O=$abc$19659$n787_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$19659$n789_1 I1=$abc$19659$n783 I2=$abc$19659$n781_1 I3=$false O=$abc$19659$n249 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$19659$n780 I1=$abc$19659$n659 I2=$false I3=$false O=$abc$19659$n789_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$19659$n779_1 I1=$abc$19659$n791 I2=$abc$19659$n781_1 I3=$false O=$abc$19659$n258 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$19659$n635 I1=$abc$19659$n666 I2=$abc$19659$n660 I3=$abc$19659$n1603 O=$abc$19659$n791 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=$abc$19659$n785 I1=$abc$19659$n791 I2=$abc$19659$n781_1 I3=$false O=$abc$19659$n271 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$19659$n787_1 I1=$abc$19659$n791 I2=$abc$19659$n781_1 I3=$false O=$abc$19659$n281 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$19659$n789_1 I1=$abc$19659$n791 I2=$abc$19659$n781_1 I3=$false O=$abc$19659$n288 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=I2C.i2c_start_latency I1=I2C.i2c_state_machine I2=$abc$19659$n1436 I3=I2C.FLT_SCL.RESET O=$abc$19659$n293 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100111100000000 .gate SB_LUT4 I0=$abc$19659$n797_1 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$19659$n295 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=UART.TX_sig_last I1=UART_WR I2=UART.tx_activity I3=$false O=$abc$19659$n797_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00001011 .gate SB_LUT4 I0=UART.tx_activity I1=$abc$19659$n799 I2=$abc$19659$n801 I3=$abc$19659$n295 O=$abc$19659$n306 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000110100000000 .gate SB_LUT4 I0=$abc$19659$n1550 I1=$abc$19659$n819 I2=$abc$19659$n822 I3=$abc$19659$n823 O=$abc$19659$n799 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=UART.tx_clk_counter[1] I1=UART.tx_clk_counter[0] I2=$false I3=$false O=$abc$19659$n1550 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1001 .gate SB_LUT4 I0=UART.tx_bit_counter[3] I1=$abc$19659$n802_1 I2=$false I3=$false O=$abc$19659$n801 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=UART.tx_bit_counter[2] I3=UART.tx_activity O=$abc$19659$n802_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=$abc$19659$n1555 I1=$abc$19659$n828 I2=$abc$19659$n806_1 I3=$false O=$abc$19659$n318 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$19659$n805_1 I1=$abc$19659$n825 I2=$false I3=$false O=$abc$19659$n1555 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 .gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=$abc$19659$n721 I3=$false O=$abc$19659$n805_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000001 .gate SB_LUT4 I0=$abc$19659$n1556 I1=I2C.FLT_SDA.out I2=I2C.SDAF I3=$false O=$abc$19659$n806_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010100 .gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=$false I3=$false O=$abc$19659$n1556 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1001 .gate SB_LUT4 I0=I2C.FLT_SDA.out I1=I2C.SDAF I2=$abc$19659$n805_1 I3=$false O=$abc$19659$n319 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01101111 .gate SB_LUT4 I0=$abc$19659$n1558 I1=$abc$19659$n812 I2=$false I3=$false O=$abc$19659$n329 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$19659$n811 I1=$abc$19659$n829 I2=$false I3=$false O=$abc$19659$n1558 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 .gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$false O=$abc$19659$n811 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000001 .gate SB_LUT4 I0=$abc$19659$n1559 I1=$abc$19659$n832 I2=I2C.FLT_SCL.out I3=I2C.SCLF O=$abc$19659$n812 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100010000 .gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=$false I3=$false O=$abc$19659$n1559 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1001 .gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.SCLF I2=$abc$19659$n811 I3=$false O=$abc$19659$n330 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01101111 .gate SB_LUT4 I0=$abc$19659$n674 I1=$abc$19659$n523 I2=$false I3=$false O=$22\i2c_input_data_type[3:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$19659$n652 I1=$abc$19659$n651 I2=$abc$19659$n653 I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11110100 .gate SB_LUT4 I0=$abc$19659$n1130 I1=$abc$19659$n1083 I2=$false I3=$false O=$abc$19659$n895 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$19659$n643 I1=int_tmr[0] I2=$false I3=$false O=$3\int_tmr[14:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$19659$n913 I1=int_tmr[0] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$19659$n643 I1=int_tmr[1] I2=$false I3=$false O=$3\int_tmr[14:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=int_tmr[14] I1=int_tmr[0] I2=$abc$19659$n643 I3=int_tmr[1] O=$2\int_tmr[14:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000101100000100 .gate SB_LUT4 I0=$abc$19659$n643 I1=int_tmr[2] I2=$false I3=$false O=$3\int_tmr[14:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$19659$n920 I1=int_tmr[2] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$19659$n643 I1=int_tmr[3] I2=$false I3=$false O=$3\int_tmr[14:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$19659$n923 I1=int_tmr[3] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$19659$n643 I1=int_tmr[4] I2=$false I3=$false O=$3\int_tmr[14:0][4] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$19659$n926 I1=int_tmr[4] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][4] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$19659$n643 I1=int_tmr[5] I2=$false I3=$false O=$3\int_tmr[14:0][5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$19659$n929 I1=int_tmr[5] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$19659$n643 I1=int_tmr[6] I2=$false I3=$false O=$3\int_tmr[14:0][6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$19659$n932 I1=int_tmr[6] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$19659$n643 I1=int_tmr[7] I2=$false I3=$false O=$3\int_tmr[14:0][7] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$19659$n935 I1=int_tmr[7] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][7] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$19659$n643 I1=int_tmr[8] I2=$false I3=$false O=$3\int_tmr[14:0][8] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$19659$n938 I1=int_tmr[8] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][8] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$19659$n643 I1=int_tmr[9] I2=$false I3=$false O=$3\int_tmr[14:0][9] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$19659$n941 I1=int_tmr[9] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][9] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$19659$n643 I1=int_tmr[10] I2=$false I3=$false O=$3\int_tmr[14:0][10] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$19659$n944 I1=int_tmr[10] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][10] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$19659$n643 I1=int_tmr[11] I2=$false I3=$false O=$3\int_tmr[14:0][11] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$19659$n947 I1=int_tmr[11] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][11] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$19659$n643 I1=int_tmr[12] I2=$false I3=$false O=$3\int_tmr[14:0][12] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$19659$n950 I1=int_tmr[12] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][12] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$19659$n643 I1=int_tmr[13] I2=$false I3=$false O=$3\int_tmr[14:0][13] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$19659$n953 I1=int_tmr[13] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][13] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$3\int_tmr[14:0][14] I1=$abc$19659$n956 I2=$false I3=$false O=$2\int_tmr[14:0][14] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 .gate SB_LUT4 I0=$abc$19659$n522 I1=$abc$19659$n652 I2=$false I3=$false O=$2\I2C_OUT_DESC_MASK[7:0][7] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$22\i2c_input_data_type[3:0][0] I1=$abc$19659$n651 I2=$false I3=$false O=$abc$19659$n849 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=I2C.is_read I1=i2c_input_data_type[1] I2=$abc$19659$n852 I3=$false O=$20\i2c_input_data_type[3:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11111000 .gate SB_LUT4 I0=$abc$19659$n644 I1=$abc$19659$n674 I2=$abc$19659$n523 I3=$false O=$abc$19659$n852 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000111 .gate SB_LUT4 I0=$abc$19659$n1678 I1=$abc$19659$n651 I2=$22\i2c_input_data_type[3:0][2] I3=$false O=$20\i2c_input_data_type[3:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11110001 .gate SB_LUT4 I0=$abc$19659$n674 I1=$abc$19659$n651 I2=$abc$19659$n520 I3=$false O=$20\i2c_input_data_type[3:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000111 .gate SB_LUT4 I0=$abc$19659$n52 I1=$abc$19659$n581 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$19659$n1671 I1=$abc$19659$n52 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$19659$n1672 I1=$abc$19659$n52 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$19659$n1673 I1=$abc$19659$n52 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$19659$n1674 I1=$abc$19659$n52 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][4] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$19659$n1675 I1=$abc$19659$n52 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$19659$n1676 I1=$abc$19659$n52 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 .gate SB_LUT4 I0=I2C_TRANS I1=$abc$19659$n557 I2=last_trans I3=$false O=$2\UART_WR[0:0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00101011 .gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=$abc$19659$n522 I2=$abc$19659$n644 I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 .gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$19659$n867 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 .gate SB_LUT4 I0=REPORT_DATA.r_data[0] I1=I2C_HID_DESC.VAL[0] I2=I2C_OUT_DESC_MASK[0] I3=$false O=$abc$19659$n867 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=I2C.received_byte[1] I1=$abc$19659$n869 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 .gate SB_LUT4 I0=REPORT_DATA.r_data[1] I1=I2C_HID_DESC.VAL[1] I2=I2C_OUT_DESC_MASK[1] I3=$false O=$abc$19659$n869 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=I2C.received_byte[2] I1=$abc$19659$n871 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 .gate SB_LUT4 I0=REPORT_DATA.r_data[2] I1=I2C_HID_DESC.VAL[2] I2=I2C_OUT_DESC_MASK[2] I3=$false O=$abc$19659$n871 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=I2C.received_byte[3] I1=$abc$19659$n873 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 .gate SB_LUT4 I0=REPORT_DATA.r_data[3] I1=I2C_HID_DESC.VAL[3] I2=I2C_OUT_DESC_MASK[3] I3=$false O=$abc$19659$n873 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=I2C.received_byte[4] I1=$abc$19659$n875_1 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][4] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 .gate SB_LUT4 I0=REPORT_DATA.r_data[4] I1=I2C_HID_DESC.VAL[4] I2=I2C_OUT_DESC_MASK[4] I3=$false O=$abc$19659$n875_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=I2C.received_byte[5] I1=$abc$19659$n877 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][5] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 .gate SB_LUT4 I0=REPORT_DATA.r_data[5] I1=I2C_HID_DESC.VAL[5] I2=I2C_OUT_DESC_MASK[5] I3=$false O=$abc$19659$n877 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=I2C.received_byte[6] I1=$abc$19659$n879 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][6] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 .gate SB_LUT4 I0=REPORT_DATA.r_data[6] I1=I2C_HID_DESC.VAL[6] I2=I2C_OUT_DESC_MASK[6] I3=$false O=$abc$19659$n879 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=I2C.received_byte[7] I1=$abc$19659$n881 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][7] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 .gate SB_LUT4 I0=REPORT_DATA.r_data[7] I1=I2C_HID_DESC.VAL[7] I2=I2C_OUT_DESC_MASK[7] I3=$false O=$abc$19659$n881 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=temp_output_report[0] I1=I2C.received_byte[0] I2=$abc$19659$n1094 I3=$false O=$0\temp_output_report[2:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=temp_output_report[1] I1=I2C.received_byte[1] I2=$abc$19659$n1094 I3=$false O=$0\temp_output_report[2:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=temp_output_report[2] I1=I2C.received_byte[2] I2=$abc$19659$n1094 I3=$false O=$0\temp_output_report[2:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$19659$n622 I1=ring_rd[0] I2=ring_rd[1] I3=$false O=$2\ring_rd[3:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01111000 .gate SB_LUT4 I0=report_wr_en I1=$abc$19659$n891 I2=$abc$19659$n662 I3=IS_RAM_INIT O=$2\report_wr_en[0:0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1101000011001100 .gate SB_LUT4 I0=IS_RAM_INIT I1=KEYBOARD.isr I2=last_isr I3=$false O=$abc$19659$n891 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=report_wr_en I1=$abc$19659$n662 I2=IS_RAM_INIT I3=$false O=$2\IS_RAM_INIT[0:0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01110000 .gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$19659$n661 I2=$abc$19659$n732 I3=$abc$19659$n891 O=$2\wr_cnt[3:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111110110000 .gate SB_LUT4 I0=$abc$19659$n891 I1=wr_cnt[0] I2=wr_cnt[1] I3=$false O=$2\wr_cnt[3:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010100 .gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$19659$n661 I2=$abc$19659$n891 I3=$abc$19659$n735 O=$2\wr_cnt[3:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000101100000000 .gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$19659$n661 I2=$abc$19659$n891 I3=$abc$19659$n736 O=$2\wr_cnt[3:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000101100000000 .gate SB_LUT4 I0=$abc$19659$n898 I1=$abc$19659$n1245 I2=$false I3=$false O=$0\rststate[3:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$19659$n672 I1=$abc$19659$n849 I2=$abc$19659$n523 I3=$abc$19659$n46 O=$abc$19659$n898 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=$abc$19659$n898 I1=$abc$19659$n1247 I2=$false I3=$false O=$0\rststate[3:0][1] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$19659$n898 I1=$abc$19659$n1249 I2=$false I3=$false O=$0\rststate[3:0][2] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$19659$n898 I1=$abc$19659$n1251 I2=$false I3=$false O=$0\rststate[3:0][3] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$19659$n1285 I1=$abc$19659$n614 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$19659$n1286 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=KEYBOARD.row_counter[1] I1=KEYBOARD.row_counter[0] I2=$false I3=$false O=$abc$19659$n1287 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0110 .gate SB_LUT4 I0=$abc$19659$n1287 I1=KEYBOARD.ram_adr[1] I2=KEYBOARD.ram_adr[0] I3=KEYBOARD.IS_RAM_INIT O=$abc$19659$n1289 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0011110010101010 .gate SB_LUT4 I0=$abc$19659$n1290 I1=$abc$19659$n617 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$19659$n1291 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$19659$n618 I1=$abc$19659$n16 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$19659$n1292 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10101100 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19659$n620 I2=$false I3=$false O=$abc$19659$n1293 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19659$n621 I2=$false I3=$false O=$abc$19659$n1294 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19659$n623 I2=$false I3=$false O=$abc$19659$n1295 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19659$n1296 I2=$false I3=$false O=$abc$19659$n1297 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19659$n629 I2=$false I3=$false O=$abc$19659$n1298 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$19659$n802 I1=$abc$19659$n690 I2=$abc$19659$n913_1 I3=KEYBOARD.IS_RAM_INIT O=$abc$19659$n1300 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000101000000011 .gate SB_LUT4 I0=KEYBOARD.isr_internal I1=wr_cnt[0] I2=$abc$19659$n914_1 I3=$abc$19659$n802 O=$abc$19659$n913_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1011000010111011 .gate SB_LUT4 I0=KEYBOARD.report_adress_rd[3] I1=$abc$19659$n701 I2=KEYBOARD.isr_internal I3=KEYBOARD.IS_RAM_INIT O=$abc$19659$n914_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000001001111 .gate SB_LUT4 I0=$abc$19659$n916_1 I1=$abc$19659$n914_1 I2=KEYBOARD.report_adress_rd[0] I3=KEYBOARD.report_adress_rd[1] O=$abc$19659$n1302 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1010101110111010 .gate SB_LUT4 I0=KEYBOARD.isr_internal I1=wr_cnt[1] I2=$abc$19659$n690 I3=KEYBOARD.IS_RAM_INIT O=$abc$19659$n916_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000011110100 .gate SB_LUT4 I0=$abc$19659$n805 I1=$abc$19659$n914_1 I2=$abc$19659$n918_1 I3=$false O=$abc$19659$n1304 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00001110 .gate SB_LUT4 I0=KEYBOARD.isr_internal I1=wr_cnt[2] I2=$abc$19659$n690 I3=KEYBOARD.IS_RAM_INIT O=$abc$19659$n918_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000011110001 .gate SB_LUT4 I0=$abc$19659$n806 I1=$abc$19659$n690 I2=$abc$19659$n920_1 I3=KEYBOARD.IS_RAM_INIT O=$abc$19659$n1306 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000101000000011 .gate SB_LUT4 I0=KEYBOARD.isr_internal I1=wr_cnt[3] I2=$abc$19659$n914_1 I3=$abc$19659$n806 O=$abc$19659$n920_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1011000010111011 .gate SB_LUT4 I0=KEYBOARD.report_adress_rd[0] I1=KEYBOARD.report_adress_rd[1] I2=$abc$19659$n926_1 I3=$false O=$abc$19659$n925_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10010000 .gate SB_LUT4 I0=$abc$19659$n802 I1=$abc$19659$n805 I2=$abc$19659$n806 I3=KEYBOARD.IS_RAM_INIT O=$abc$19659$n926_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19659$n928_1 I2=$abc$19659$n930_1 I3=$abc$19659$n925_1 O=$abc$19659$n1310 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111100000001 .gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.kbd_code_hid[3] I2=$abc$19659$n929_1 I3=$abc$19659$n699 O=$abc$19659$n928_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0111000001110111 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=KEYBOARD.REPORT.r_data[3] O=$abc$19659$n929_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000010111111 .gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.kbd_code_hid[1] I3=KEYBOARD.kbd_code_hid[0] O=$abc$19659$n930_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=$abc$19659$n932_1 I1=KEYBOARD.IS_RAM_INIT I2=$false I3=$false O=$abc$19659$n1311 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=KEYBOARD.ram_adr[1] I1=KEYBOARD.ram_adr[0] I2=$abc$19659$n933_1 I3=$abc$19659$n934_1 O=$abc$19659$n932_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0110000000000000 .gate SB_LUT4 I0=$abc$19659$n621 I1=$abc$19659$n623 I2=$abc$19659$n629 I3=$abc$19659$n1296 O=$abc$19659$n933_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=$abc$19659$n614 I1=$abc$19659$n617 I2=$abc$19659$n618 I3=$abc$19659$n620 O=$abc$19659$n934_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=KEYBOARD.is_pressed I1=$abc$19659$n707 I2=$abc$19659$n701 I3=$false O=$abc$19659$n937_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000111 .gate SB_LUT4 I0=$abc$19659$n940_1 I1=KEYBOARD.report_wr_en I2=$abc$19659$n695 I3=$abc$19659$n690 O=$abc$19659$n1317 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111100010000 .gate SB_LUT4 I0=KEYBOARD.report_adress_rd[3] I1=$abc$19659$n701 I2=$abc$19659$n937_1 I3=$abc$19659$n699 O=$abc$19659$n940_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000001011 .gate SB_LUT4 I0=$abc$19659$n763_1 I1=KEYBOARD.ram_wr I2=$abc$19659$n690 I3=$abc$19659$n741 O=$abc$19659$n1323 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111010001000100 .gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[4] I1=KBD_COLUMNS[4] I2=$abc$19659$n727 I3=$false O=$abc$19659$n1362 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[7] I1=KBD_COLUMNS[7] I2=$abc$19659$n727 I3=$false O=$abc$19659$n1371 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=KEYBOARD.temp[0] I1=KBD_COLUMNS[0] I2=$abc$19659$n727 I3=$false O=$abc$19659$n1373 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$19659$n727 I1=KEYBOARD.RAM.r_data[4] I2=$abc$19659$n947_1 I3=$false O=$abc$19659$n1374 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10001111 .gate SB_LUT4 I0=$abc$19659$n565 I1=$abc$19659$n567 I2=KEYBOARD.temp[4] I3=$abc$19659$n743 O=$abc$19659$n947_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000000011111 .gate SB_LUT4 I0=$abc$19659$n729 I1=$abc$19659$n949_1 I2=$false I3=$false O=$abc$19659$n1375 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 .gate SB_LUT4 I0=KEYBOARD.temp[2] I1=KEYBOARD.RAM.r_data[2] I2=$abc$19659$n731 I3=$abc$19659$n727 O=$abc$19659$n949_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000110000001010 .gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[7] I1=$abc$19659$n951_1 I2=$abc$19659$n741 I3=$false O=$abc$19659$n1376 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10100011 .gate SB_LUT4 I0=KEYBOARD.temp[7] I1=KEYBOARD.RAM.r_data[7] I2=$abc$19659$n727 I3=$false O=$abc$19659$n951_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00110101 .gate SB_LUT4 I0=$abc$19659$n732_1 I1=$abc$19659$n953_1 I2=$false I3=$false O=$abc$19659$n1377 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 .gate SB_LUT4 I0=KEYBOARD.temp[1] I1=KEYBOARD.RAM.r_data[1] I2=$abc$19659$n734 I3=$abc$19659$n727 O=$abc$19659$n953_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000110000001010 .gate SB_LUT4 I0=$abc$19659$n752_1 I1=$abc$19659$n1359 I2=$abc$19659$n728 I3=$false O=$abc$19659$n1378 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11000101 .gate SB_LUT4 I0=$abc$19659$n735_1 I1=$abc$19659$n956_1 I2=$false I3=$false O=$abc$19659$n1379 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 .gate SB_LUT4 I0=KEYBOARD.temp[5] I1=KEYBOARD.RAM.r_data[5] I2=$abc$19659$n737 I3=$abc$19659$n727 O=$abc$19659$n956_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000110000001010 .gate SB_LUT4 I0=$abc$19659$n958 I1=$abc$19659$n1083 I2=$abc$19659$n742 I3=$false O=$abc$19659$n1380 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00111010 .gate SB_LUT4 I0=KEYBOARD.temp[6] I1=KEYBOARD.RAM.r_data[6] I2=$abc$19659$n727 I3=$false O=$abc$19659$n958 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$19659$n699 I1=KEYBOARD.is_pressed I2=KEYBOARD.kbd_code_hid[0] I3=$abc$19659$n960 O=$abc$19659$n1393 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111101000000 .gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[0] I1=KEYBOARD.is_pressed I2=$abc$19659$n697 I3=$abc$19659$n699 O=$abc$19659$n960 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100101000000000 .gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.kbd_code_hid[4] I2=$abc$19659$n965_1 I3=$false O=$abc$19659$n1403 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11111000 .gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[4] I1=KEYBOARD.is_pressed I2=$abc$19659$n966 I3=$abc$19659$n699 O=$abc$19659$n965_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100101000000000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$19659$n966 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$19659$n699 I1=KEYBOARD.is_pressed I2=KEYBOARD.kbd_code_hid[5] I3=$abc$19659$n968 O=$abc$19659$n1406 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111101000000 .gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[5] I1=KEYBOARD.is_pressed I2=$abc$19659$n969_1 I3=$abc$19659$n699 O=$abc$19659$n968 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100101000000000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$19659$n969_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$19659$n699 I1=KEYBOARD.is_pressed I2=KEYBOARD.kbd_code_hid[6] I3=$abc$19659$n971_1 O=$abc$19659$n1409 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111101000000 .gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[6] I1=KEYBOARD.is_pressed I2=$abc$19659$n972 I3=$abc$19659$n699 O=$abc$19659$n971_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100101000000000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$19659$n972 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$19659$n699 I1=KEYBOARD.is_pressed I2=KEYBOARD.kbd_code_hid[7] I3=$abc$19659$n974 O=$abc$19659$n1412 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111101000000 .gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[7] I1=KEYBOARD.is_pressed I2=$abc$19659$n975_1 I3=$abc$19659$n699 O=$abc$19659$n974 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100101000000000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$19659$n975_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$19659$n1436 I1=I2C.i2c_bit_counter[0] I2=$false I3=$false O=$abc$19659$n1438 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$19659$n1436 I1=I2C.i2c_bit_counter[1] I2=$false I3=$false O=$abc$19659$n1440 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$19659$n1436 I1=I2C.i2c_bit_counter[2] I2=$false I3=$false O=$abc$19659$n1442 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=I2C.i2c_bit_counter[3] I1=$abc$19659$n1436 I2=$false I3=$false O=$abc$19659$n1681 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=I2C.i2c_state_machine I1=$abc$19659$n994 I2=$abc$19659$n1105 I3=$false O=$abc$19659$n1454 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11110100 .gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$abc$19659$n10 I2=$abc$19659$n212 I3=$false O=$abc$19659$n990 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000001 .gate SB_LUT4 I0=$abc$19659$n993 I1=I2C.FLT_SCL.out I2=$abc$19659$n10 I3=$false O=$abc$19659$n992_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000001 .gate SB_LUT4 I0=$abc$19659$n1436 I1=I2C.is_ack I2=$false I3=$false O=$abc$19659$n993 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=$abc$19659$n8 I3=I2C.SDA_DIR O=$abc$19659$n994 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0111110100000000 .gate SB_LUT4 I0=$abc$19659$n996 I1=$abc$19659$n992_1 I2=$abc$19659$n778_1 I3=$abc$19659$n212 O=$abc$19659$n995 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=$abc$19659$n997 I1=$abc$19659$n998_1 I2=$false I3=$false O=$abc$19659$n996 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0001 .gate SB_LUT4 I0=I2C.is_adress I1=$abc$19659$n1436 I2=$false I3=$false O=$abc$19659$n997 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=I2C.received_byte[2] I1=I2C.received_byte[7] I2=$abc$19659$n999 I3=I2C.received_byte[6] O=$abc$19659$n998_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[1] I2=I2C.received_byte[5] I3=I2C.received_byte[3] O=$abc$19659$n999 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$abc$19659$n10 I2=$abc$19659$n212 I3=$false O=$abc$19659$n1001 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$19659$n1003 I1=$abc$19659$n1004 I2=$abc$19659$n778_1 I3=$false O=$abc$19659$n1456 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$19659$n776_1 I1=$abc$19659$n992_1 I2=$abc$19659$n996 I3=$abc$19659$n212 O=$abc$19659$n1003 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000000000000 .gate SB_LUT4 I0=$abc$19659$n1005 I1=$abc$19659$n1006 I2=I2C.is_read I3=$false O=$abc$19659$n1004 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$19659$n993 I1=I2C.FLT_SCL.out I2=$abc$19659$n10 I3=$abc$19659$n212 O=$abc$19659$n1005 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$abc$19659$n8 I2=I2C.SDA_DIR I3=I2C.FLT_SDA.out O=$abc$19659$n1006 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000111100000000 .gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$19659$n1008 I2=$abc$19659$n778_1 I3=$abc$19659$n776_1 O=$abc$19659$n1458 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111110000000 .gate SB_LUT4 I0=$abc$19659$n997 I1=$abc$19659$n993 I2=$abc$19659$n1001 I3=$false O=$abc$19659$n1008 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$19659$n1013 I1=$abc$19659$n659 I2=$abc$19659$n1010 I3=$abc$19659$n1438 O=$abc$19659$n1460 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000111110001000 .gate SB_LUT4 I0=$abc$19659$n1011 I1=$abc$19659$n782_1 I2=$abc$19659$n1005 I3=$abc$19659$n1012 O=$abc$19659$n1010 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1110000011101110 .gate SB_LUT4 I0=$abc$19659$n993 I1=$abc$19659$n1001 I2=$abc$19659$n776_1 I3=$abc$19659$n778_1 O=$abc$19659$n1011 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111100000000000 .gate SB_LUT4 I0=$abc$19659$n990 I1=$abc$19659$n776_1 I2=$false I3=$false O=$abc$19659$n1012 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$19659$n775 I1=$abc$19659$n1014 I2=$abc$19659$n212 I3=$abc$19659$n778_1 O=$abc$19659$n1013 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=$abc$19659$n10 I1=I2C.FLT_SCL.out I2=$abc$19659$n776_1 I3=$false O=$abc$19659$n1014 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11100000 .gate SB_LUT4 I0=$abc$19659$n780 I1=$abc$19659$n1013 I2=$abc$19659$n1010 I3=$abc$19659$n1440 O=$abc$19659$n1462 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100111101000100 .gate SB_LUT4 I0=$abc$19659$n1013 I1=$abc$19659$n660 I2=$abc$19659$n1010 I3=$abc$19659$n1442 O=$abc$19659$n1464 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000111110001000 .gate SB_LUT4 I0=$abc$19659$n635 I1=$abc$19659$n1013 I2=$abc$19659$n1010 I3=$abc$19659$n1681 O=$abc$19659$n1466 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1011000010111011 .gate SB_LUT4 I0=$abc$19659$n776_1 I1=$abc$19659$n1005 I2=$abc$19659$n778_1 I3=$abc$19659$n997 O=$abc$19659$n1468 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000010111111 .gate SB_LUT4 I0=$abc$19659$n1014 I1=$abc$19659$n1011 I2=$abc$19659$n993 I3=$abc$19659$n1020 O=$abc$19659$n1470 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1011000011111111 .gate SB_LUT4 I0=$abc$19659$n1001 I1=$abc$19659$n776_1 I2=$abc$19659$n778_1 I3=$abc$19659$n995 O=$abc$19659$n1020 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000001111111 .gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=$abc$19659$n8 I3=I2C.wr O=$abc$19659$n1025 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0111110100000000 .gate SB_LUT4 I0=$abc$19659$n801 I1=$abc$19659$n799 I2=$abc$19659$n797_1 I3=$false O=$abc$19659$n1547 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000111 .gate SB_LUT4 I0=$abc$19659$n799 I1=$abc$19659$n819 I2=$false I3=$false O=$abc$19659$n1549 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 .gate SB_LUT4 I0=$abc$19659$n799 I1=$abc$19659$n822 I2=$false I3=$false O=$abc$19659$n1551 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 .gate SB_LUT4 I0=$abc$19659$n799 I1=$abc$19659$n823 I2=$false I3=$false O=$abc$19659$n1552 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 .gate SB_LUT4 I0=$abc$19659$n805_1 I1=$abc$19659$n828 I2=$false I3=$false O=$abc$19659$n1557 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 .gate SB_LUT4 I0=$abc$19659$n811 I1=$abc$19659$n832 I2=$false I3=$false O=$abc$19659$n1560 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1110 .gate SB_LUT4 I0=$abc$19659$n1287 I1=$abc$19659$n1285 I2=$abc$19659$n1290 I3=$false O=$abc$19659$n1727 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00000001 .gate SB_LUT4 I0=$abc$19659$n1287 I1=$abc$19659$n1290 I2=$abc$19659$n1285 I3=$false O=$abc$19659$n1728 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$19659$n1285 I1=$abc$19659$n1290 I2=$abc$19659$n1287 I3=$false O=$abc$19659$n1729 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$19659$n1290 I1=$abc$19659$n1285 I2=$abc$19659$n1287 I3=$false O=$abc$19659$n1730 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$19659$n1287 I1=$abc$19659$n1285 I2=$abc$19659$n1290 I3=$false O=$abc$19659$n1731 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$19659$n1287 I1=$abc$19659$n1285 I2=$abc$19659$n1290 I3=$false O=$abc$19659$n1732 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$19659$n1285 I1=$abc$19659$n1287 I2=$abc$19659$n1290 I3=$false O=$abc$19659$n1733 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$19659$n1287 I1=$abc$19659$n1285 I2=$abc$19659$n1290 I3=$false O=$abc$19659$n1734 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10000000 .gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$false I2=$false I3=$false O=$abc$19659$n1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$false I2=$false I3=$false O=$abc$19659$n3 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$19659$n12 I1=$false I2=$false I3=$false O=COM_TX .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=LED1 I1=$false I2=$false I3=$false O=COM_DCD .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$19659$n16 I1=$false I2=$false I3=$false O=$abc$19659$n17 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$false I2=$false I3=$false O=$abc$19659$n19 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=UART.tx_activity I1=$false I2=$false I3=$false O=$abc$19659$n21 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.received_byte[7] I1=$false I2=$false I3=$false O=$abc$19659$n527 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.received_byte[6] I1=$false I2=$false I3=$false O=$abc$19659$n528 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.received_byte[5] I1=$false I2=$false I3=$false O=$abc$19659$n530 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.received_byte[4] I1=$false I2=$false I3=$false O=$abc$19659$n531 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.received_byte[3] I1=$false I2=$false I3=$false O=$abc$19659$n533 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.received_byte[2] I1=$false I2=$false I3=$false O=$abc$19659$n534 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.received_byte[1] I1=$false I2=$false I3=$false O=$abc$19659$n536 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$19659$n1681 I1=$false I2=$false I3=$false O=$abc$19659$n1444 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$19659$n1440 I1=$false I2=$false I3=$false O=$abc$19659$n1604 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$19659$n1623 I1=$false I2=$false I3=$false O=$abc$19659$n1622 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$19659$n1624 I1=$false I2=$false I3=$false O=$abc$19659$n1625 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$19659$n1626 I1=$false I2=$false I3=$false O=$abc$19659$n1627 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$19659$n1438 I1=$false I2=$false I3=$false O=$abc$19659$n1679 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$19659$n1442 I1=$false I2=$false I3=$false O=$abc$19659$n1680 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$19659$n1682 I1=$false I2=$false I3=$false O=$abc$19659$n1683 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=CLK I1=$false I2=$false I3=$false O=REPORT_DATA.clk .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40" .param LUT_INIT 01 .gate SB_LUT4 I0=$abc$19659$n1673 I1=$abc$19659$n565_1 I2=$abc$19659$n1672 I3=$false O=$abc$19659$n1065_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=I2C.received_byte[3] I1=I2C_COUNTER[0] I2=I2C.received_byte[1] I3=I2C.received_byte[2] O=$abc$19659$n1068 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000100 .gate SB_LUT4 I0=I2C_COUNTER[3] I1=I2C_COUNTER[1] I2=$abc$19659$n535 I3=$abc$19659$n543 O=$abc$19659$n1069_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$19659$n1068 I2=$abc$19659$n531_1 I3=$abc$19659$n1069_1 O=$abc$19659$n1070 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1011111100000000 .gate SB_LUT4 I0=$abc$19659$n558 I1=$abc$19659$n556 I2=i2c_input_data_type[2] I3=$false O=$abc$19659$n1071_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000011 .gate SB_LUT4 I0=$abc$19659$n584_1 I1=$abc$19659$n1071_1 I2=$abc$19659$n590_1 I3=$abc$19659$n556 O=$abc$19659$n1678 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000101111001100 .gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$19659$n651 I2=$abc$19659$n671 I3=I2C.FLT_SCL.RESET O=$abc$19659$n72 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0100000011111111 .gate SB_LUT4 I0=$abc$19659$n1359 I1=$abc$19659$n1368 I2=$abc$19659$n555 I3=$abc$19659$n567 O=$abc$19659$n1081 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000101011000000 .gate SB_LUT4 I0=$abc$19659$n732_1 I1=$abc$19659$n735_1 I2=$abc$19659$n743 I3=$abc$19659$n740 O=$abc$19659$n1082_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=$abc$19659$n565 I1=$abc$19659$n1081 I2=$abc$19659$n729 I3=$abc$19659$n1082_1 O=$abc$19659$n1083 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000011100000000 .gate SB_LUT4 I0=KEYBOARD.temp[4] I1=KEYBOARD.RAM.r_data[0] I2=$abc$19659$n565 I3=$abc$19659$n555 O=$abc$19659$n1084_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000101011111100 .gate SB_LUT4 I0=$abc$19659$n752_1 I1=KEYBOARD.temp[2] I2=$abc$19659$n565 I3=$abc$19659$n567 O=$abc$19659$n1085 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0101000011001111 .gate SB_LUT4 I0=KEYBOARD.isr_internal I1=KEYBOARD.report_wr_en I2=$abc$19659$n757_1 I3=IS_RAM_INIT O=$abc$19659$n1088_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111110000000 .gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$19659$n1088_1 I2=$abc$19659$n755_1 I3=$abc$19659$n703 O=$abc$19659$n1089 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000011100000000 .gate SB_LUT4 I0=$22\i2c_input_data_type[3:0][0] I1=$abc$19659$n524 I2=I2C.is_read I3=$abc$19659$n644 O=$20\i2c_input_data_type[3:0][0] .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0011101000110011 .gate SB_LUT4 I0=I2C_COUNTER[0] I1=i2c_input_data_type[3] I2=I2C_COUNTER[1] I3=i2c_input_data_type[2] O=$abc$19659$n1091_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=$abc$19659$n543 I1=$abc$19659$n539 I2=i2c_input_data_type[1] I3=i2c_input_data_type[0] O=$abc$19659$n1092 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100000000001010 .gate SB_LUT4 I0=i2c_input_data_type[1] I1=I2C_COUNTER[3] I2=$abc$19659$n1092 I3=$abc$19659$n556 O=$abc$19659$n1093_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1001000000000000 .gate SB_LUT4 I0=$abc$19659$n1091_1 I1=$abc$19659$n1093_1 I2=$false I3=$false O=$abc$19659$n1094 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 1000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.kbd_code_hid[1] I3=$abc$19659$n699 O=$abc$19659$n1095 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000010011110000 .gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[1] I1=$abc$19659$n699 I2=KEYBOARD.is_pressed I3=$abc$19659$n1095 O=$abc$19659$n1096_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111000010001000 .gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19659$n1096_1 I2=$abc$19659$n925_1 I3=$false O=$abc$19659$n1308 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11110100 .gate SB_LUT4 I0=$abc$19659$n716 I1=$abc$19659$n701 I2=$abc$19659$n707 I3=KEYBOARD.is_pressed O=$abc$19659$n1098 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111110111001111 .gate SB_LUT4 I0=$abc$19659$n699 I1=$abc$19659$n1098 I2=$abc$19659$n761 I3=$abc$19659$n1311 O=$abc$19659$n1313 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111110110000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$abc$19659$n699 O=$abc$19659$n1100_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000010011110000 .gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[2] I1=$abc$19659$n699 I2=KEYBOARD.is_pressed I3=$abc$19659$n1100_1 O=$abc$19659$n1398 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111000010001000 .gate SB_LUT4 I0=$abc$19659$n1107 I1=$abc$19659$n1109 I2=$abc$19659$n660 I3=$false O=$abc$19659$n1102 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$19659$n1001 I1=$abc$19659$n992_1 I2=$abc$19659$n776_1 I3=$abc$19659$n994 O=$abc$19659$n1103 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0011010100000000 .gate SB_LUT4 I0=$abc$19659$n1102 I1=$abc$19659$n1103 I2=$abc$19659$n776_1 I3=$abc$19659$n990 O=$abc$19659$n1104 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1010110011001100 .gate SB_LUT4 I0=$abc$19659$n776_1 I1=$abc$19659$n778_1 I2=$abc$19659$n1104 I3=$abc$19659$n995 O=$abc$19659$n1105 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111010111000000 .gate SB_LUT4 I0=$abc$19659$n873 I1=$abc$19659$n869 I2=$abc$19659$n659 I3=$abc$19659$n780 O=$abc$19659$n1106 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100111110100000 .gate SB_LUT4 I0=$abc$19659$n871 I1=$abc$19659$n867 I2=$abc$19659$n659 I3=$abc$19659$n1106 O=$abc$19659$n1107 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111110000001010 .gate SB_LUT4 I0=$abc$19659$n881 I1=$abc$19659$n877 I2=$abc$19659$n659 I3=$abc$19659$n780 O=$abc$19659$n1108 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100111110100000 .gate SB_LUT4 I0=$abc$19659$n879 I1=$abc$19659$n875_1 I2=$abc$19659$n659 I3=$abc$19659$n1108 O=$abc$19659$n1109 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111110000001010 .gate SB_LUT4 I0=$abc$19659$n997 I1=$abc$19659$n776_1 I2=$abc$19659$n1005 I3=$false O=$abc$19659$n1110_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 11100000 .gate SB_LUT4 I0=$abc$19659$n1110_1 I1=$abc$19659$n1025 I2=$abc$19659$n1004 I3=$abc$19659$n778_1 O=$abc$19659$n1111 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000111011001100 .gate SB_LUT4 I0=$abc$19659$n1012 I1=$abc$19659$n1011 I2=$abc$19659$n1111 I3=$false O=$abc$19659$n1472 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 10110000 .gate SB_LUT4 I0=$abc$19659$n559 I1=$abc$19659$n527_1 I2=$abc$19659$n576 I3=$abc$19659$n581 O=$abc$19659$n1113 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100111100100000 .gate SB_LUT4 I0=$abc$19659$n1065_1 I1=$abc$19659$n581 I2=$abc$19659$n524 I3=$abc$19659$n1671 O=$abc$19659$n1114_1 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000101100000000 .gate SB_LUT4 I0=$abc$19659$n1678 I1=$abc$19659$n520 I2=$false I3=$false O=$abc$19659$n1115 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$19659$n1114_1 I1=$abc$19659$n1113 I2=$abc$19659$n1115 I3=$abc$19659$n599 O=$abc$19659$n1116 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000000010001111 .gate SB_LUT4 I0=$abc$19659$n716 I1=KEYBOARD.is_pressed I2=$abc$19659$n719_1 I3=$abc$19659$n701 O=$abc$19659$n1117 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111111101110000 .gate SB_LUT4 I0=$abc$19659$n699 I1=$abc$19659$n695 I2=$abc$19659$n1117 I3=$abc$19659$n702 O=$abc$19659$n1118 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1011111111111111 .gate SB_LUT4 I0=$abc$19659$n688 I1=$abc$19659$n1118 I2=$abc$19659$n703 I3=$false O=$abc$19659$n144 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=KEYBOARD.is_pressed I1=$abc$19659$n707 I2=KEYBOARD.report_adress_rd[3] I3=$abc$19659$n701 O=$abc$19659$n1122 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111000001000100 .gate SB_LUT4 I0=$abc$19659$n1122 I1=I2C.FLT_SCL.RESET I2=$abc$19659$n695 I3=$abc$19659$n702 O=$abc$19659$n1123 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=$abc$19659$n689 I1=$abc$19659$n761 I2=$abc$19659$n1123 I3=$abc$19659$n699 O=$abc$19659$n1124 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0111011100001111 .gate SB_LUT4 I0=$abc$19659$n721_1 I1=$abc$19659$n1124 I2=$abc$19659$n1089 I3=$false O=$abc$19659$n184 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48" .param LUT_INIT 01000000 .gate SB_LUT4 I0=KEYBOARD.temp[1] I1=KEYBOARD.temp[5] I2=$abc$19659$n565 I3=$abc$19659$n555 O=$abc$19659$n1128 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1111110000001010 .gate SB_LUT4 I0=KEYBOARD.temp[6] I1=KEYBOARD.temp[7] I2=$abc$19659$n565 I3=$abc$19659$n567 O=$abc$19659$n1129 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 1100111110100000 .gate SB_LUT4 I0=$abc$19659$n1084_1 I1=$abc$19659$n1085 I2=$abc$19659$n1128 I3=$abc$19659$n1129 O=$abc$19659$n1130 .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52" .param LUT_INIT 0000011101110111 .gate SB_CARRY CI=$abc$19659$n1625 CO=$auto$alumacc.cc:474:replace_alu$5153.C[2] I0=$false I1=$abc$19659$n1623 .attr src "top.v:29|uart.v:40|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5153.C[2] CO=$auto$alumacc.cc:474:replace_alu$5153.C[3] I0=$false I1=$abc$19659$n1627 .attr src "top.v:29|uart.v:40|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5153.C[3] CO=$abc$19659$n1554 I0=$false I1=$abc$19659$n1683 .attr src "top.v:29|uart.v:40|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$abc$19659$n1679 CO=$auto$alumacc.cc:474:replace_alu$5158.C[2] I0=$false I1=$abc$19659$n1604 .attr src "top.v:20|i2c_slave.v:119|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5158.C[2] CO=$auto$alumacc.cc:474:replace_alu$5158.C[3] I0=$false I1=$abc$19659$n1680 .attr src "top.v:20|i2c_slave.v:119|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5158.C[3] CO=$abc$19659$n212 I0=$false I1=$abc$19659$n1681 .attr src "top.v:20|i2c_slave.v:119|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$abc$19659$n524 CO=$auto$alumacc.cc:474:replace_alu$5163.C[2] I0=$false I1=$abc$19659$n523 .attr src "top.v:217|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5163.C[2] CO=$auto$alumacc.cc:474:replace_alu$5163.C[3] I0=$true I1=$abc$19659$n1678 .attr src "top.v:217|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5163.C[3] CO=$abc$19659$n1645 I0=$false I1=$abc$19659$n520 .attr src "top.v:217|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$abc$19659$n536 CO=$auto$alumacc.cc:474:replace_alu$5174.C[3] I0=$false I1=$abc$19659$n534 .attr src "top.v:144|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5174.C[3] CO=$auto$alumacc.cc:474:replace_alu$5174.C[4] I0=$false I1=$abc$19659$n533 .attr src "top.v:144|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5174.C[4] CO=$auto$alumacc.cc:474:replace_alu$5174.C[5] I0=$false I1=$abc$19659$n531 .attr src "top.v:144|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5174.C[5] CO=$auto$alumacc.cc:474:replace_alu$5174.C[6] I0=$false I1=$abc$19659$n530 .attr src "top.v:144|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5174.C[6] CO=$auto$alumacc.cc:474:replace_alu$5174.C[7] I0=$false I1=$abc$19659$n528 .attr src "top.v:144|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5174.C[7] CO=$abc$19659$n1648 I0=$false I1=$abc$19659$n527 .attr src "top.v:144|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$abc$19659$n1671 CO=$auto$alumacc.cc:474:replace_alu$5187.C[3] I0=$false I1=$abc$19659$n1672 .attr src "top.v:235|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5187.C[3] CO=$auto$alumacc.cc:474:replace_alu$5187.C[4] I0=$false I1=$abc$19659$n1673 .attr src "top.v:235|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5187.C[4] CO=$auto$alumacc.cc:474:replace_alu$5187.C[5] I0=$false I1=$abc$19659$n1674 .attr src "top.v:235|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5187.C[5] CO=$auto$alumacc.cc:474:replace_alu$5187.C[6] I0=$false I1=$abc$19659$n1675 .attr src "top.v:235|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5187.C[6] CO=$abc$19659$n1651 I0=$false I1=$abc$19659$n1676 .attr src "top.v:235|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$abc$19659$n536 CO=$auto$alumacc.cc:474:replace_alu$5192.C[3] I0=$true I1=$abc$19659$n534 .attr src "top.v:144|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5192.C[3] CO=$auto$alumacc.cc:474:replace_alu$5192.C[4] I0=$false I1=$abc$19659$n533 .attr src "top.v:144|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5192.C[4] CO=$auto$alumacc.cc:474:replace_alu$5192.C[5] I0=$false I1=$abc$19659$n531 .attr src "top.v:144|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5192.C[5] CO=$auto$alumacc.cc:474:replace_alu$5192.C[6] I0=$false I1=$abc$19659$n530 .attr src "top.v:144|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5192.C[6] CO=$auto$alumacc.cc:474:replace_alu$5192.C[7] I0=$false I1=$abc$19659$n528 .attr src "top.v:144|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5192.C[7] CO=$abc$19659$n1652 I0=$false I1=$abc$19659$n527 .attr src "top.v:144|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$true I2=ring_wr[0] I3=$false O=$abc$19659$n1218 .attr src "top.v:109|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=ring_wr[0] CO=$auto$alumacc.cc:474:replace_alu$5197.C[2] I0=$false I1=ring_wr[1] .attr src "top.v:109|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=ring_wr[2] I3=$auto$alumacc.cc:474:replace_alu$5197.C[2] O=$abc$19659$n1224 .attr src "top.v:109|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5197.C[2] CO=$auto$alumacc.cc:474:replace_alu$5197.C[3] I0=$false I1=ring_wr[2] .attr src "top.v:109|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=ring_wr[3] I3=$auto$alumacc.cc:474:replace_alu$5197.C[3] O=$abc$19659$n1227 .attr src "top.v:109|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5197.C[3] CO=$auto$alumacc.cc:474:replace_alu$5197.C[4] I0=$false I1=ring_wr[3] .attr src "top.v:109|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$alumacc.cc:474:replace_alu$5197.C[4] O=$abc$19659$n344 .attr src "top.v:109|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=wr_cnt[0] I3=$false O=$abc$19659$n732 .attr src "top.v:133|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=wr_cnt[0] CO=$auto$alumacc.cc:474:replace_alu$5200.C[2] I0=$false I1=wr_cnt[1] .attr src "top.v:133|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[2] I3=$auto$alumacc.cc:474:replace_alu$5200.C[2] O=$abc$19659$n735 .attr src "top.v:133|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5200.C[2] CO=$auto$alumacc.cc:474:replace_alu$5200.C[3] I0=$false I1=wr_cnt[2] .attr src "top.v:133|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[3] I3=$auto$alumacc.cc:474:replace_alu$5200.C[3] O=$abc$19659$n736 .attr src "top.v:133|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=ring_rd[0] I3=$false O=$abc$19659$n1091 .attr src "top.v:184|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=ring_rd[0] CO=$auto$alumacc.cc:474:replace_alu$5203.C[2] I0=$false I1=ring_rd[1] .attr src "top.v:184|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=ring_rd[2] I3=$auto$alumacc.cc:474:replace_alu$5203.C[2] O=$abc$19659$n1097 .attr src "top.v:184|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5203.C[2] CO=$auto$alumacc.cc:474:replace_alu$5203.C[3] I0=$false I1=ring_rd[2] .attr src "top.v:184|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=ring_rd[3] I3=$auto$alumacc.cc:474:replace_alu$5203.C[3] O=$abc$19659$n1100 .attr src "top.v:184|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=I2C_COUNTER[0] I3=$false O=$abc$19659$n1076 .attr src "top.v:193|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=I2C_COUNTER[0] CO=$auto$alumacc.cc:474:replace_alu$5206.C[2] I0=$false I1=I2C_COUNTER[1] .attr src "top.v:193|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[2] I3=$auto$alumacc.cc:474:replace_alu$5206.C[2] O=$abc$19659$n1080 .attr src "top.v:193|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5206.C[2] CO=$auto$alumacc.cc:474:replace_alu$5206.C[3] I0=$false I1=I2C_COUNTER[2] .attr src "top.v:193|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[3] I3=$auto$alumacc.cc:474:replace_alu$5206.C[3] O=$abc$19659$n1082 .attr src "top.v:193|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5206.C[3] CO=$auto$alumacc.cc:474:replace_alu$5206.C[4] I0=$false I1=I2C_COUNTER[3] .attr src "top.v:193|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[4] I3=$auto$alumacc.cc:474:replace_alu$5206.C[4] O=$abc$19659$n1084 .attr src "top.v:193|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5206.C[4] CO=$auto$alumacc.cc:474:replace_alu$5206.C[5] I0=$false I1=I2C_COUNTER[4] .attr src "top.v:193|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[5] I3=$auto$alumacc.cc:474:replace_alu$5206.C[5] O=$abc$19659$n1086 .attr src "top.v:193|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5206.C[5] CO=$auto$alumacc.cc:474:replace_alu$5206.C[6] I0=$false I1=I2C_COUNTER[5] .attr src "top.v:193|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[6] I3=$auto$alumacc.cc:474:replace_alu$5206.C[6] O=$abc$19659$n1088 .attr src "top.v:193|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=$3\int_tmr[14:0][0] I3=$false O=$abc$19659$n913 .attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][10] I3=$auto$alumacc.cc:474:replace_alu$5209.C[10] O=$abc$19659$n944 .attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5209.C[10] CO=$auto$alumacc.cc:474:replace_alu$5209.C[11] I0=$false I1=$3\int_tmr[14:0][10] .attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][11] I3=$auto$alumacc.cc:474:replace_alu$5209.C[11] O=$abc$19659$n947 .attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5209.C[11] CO=$auto$alumacc.cc:474:replace_alu$5209.C[12] I0=$false I1=$3\int_tmr[14:0][11] .attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][12] I3=$auto$alumacc.cc:474:replace_alu$5209.C[12] O=$abc$19659$n950 .attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5209.C[12] CO=$auto$alumacc.cc:474:replace_alu$5209.C[13] I0=$false I1=$3\int_tmr[14:0][12] .attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][13] I3=$auto$alumacc.cc:474:replace_alu$5209.C[13] O=$abc$19659$n953 .attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5209.C[13] CO=$auto$alumacc.cc:474:replace_alu$5209.C[14] I0=$false I1=$3\int_tmr[14:0][13] .attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][14] I3=$auto$alumacc.cc:474:replace_alu$5209.C[14] O=$abc$19659$n956 .attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$3\int_tmr[14:0][0] CO=$auto$alumacc.cc:474:replace_alu$5209.C[2] I0=$false I1=$3\int_tmr[14:0][1] .attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][2] I3=$auto$alumacc.cc:474:replace_alu$5209.C[2] O=$abc$19659$n920 .attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5209.C[2] CO=$auto$alumacc.cc:474:replace_alu$5209.C[3] I0=$false I1=$3\int_tmr[14:0][2] .attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][3] I3=$auto$alumacc.cc:474:replace_alu$5209.C[3] O=$abc$19659$n923 .attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5209.C[3] CO=$auto$alumacc.cc:474:replace_alu$5209.C[4] I0=$false I1=$3\int_tmr[14:0][3] .attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][4] I3=$auto$alumacc.cc:474:replace_alu$5209.C[4] O=$abc$19659$n926 .attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5209.C[4] CO=$auto$alumacc.cc:474:replace_alu$5209.C[5] I0=$false I1=$3\int_tmr[14:0][4] .attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][5] I3=$auto$alumacc.cc:474:replace_alu$5209.C[5] O=$abc$19659$n929 .attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5209.C[5] CO=$auto$alumacc.cc:474:replace_alu$5209.C[6] I0=$false I1=$3\int_tmr[14:0][5] .attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][6] I3=$auto$alumacc.cc:474:replace_alu$5209.C[6] O=$abc$19659$n932 .attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5209.C[6] CO=$auto$alumacc.cc:474:replace_alu$5209.C[7] I0=$false I1=$3\int_tmr[14:0][6] .attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][7] I3=$auto$alumacc.cc:474:replace_alu$5209.C[7] O=$abc$19659$n935 .attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5209.C[7] CO=$auto$alumacc.cc:474:replace_alu$5209.C[8] I0=$false I1=$3\int_tmr[14:0][7] .attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][8] I3=$auto$alumacc.cc:474:replace_alu$5209.C[8] O=$abc$19659$n938 .attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5209.C[8] CO=$auto$alumacc.cc:474:replace_alu$5209.C[9] I0=$false I1=$3\int_tmr[14:0][8] .attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][9] I3=$auto$alumacc.cc:474:replace_alu$5209.C[9] O=$abc$19659$n941 .attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5209.C[9] CO=$auto$alumacc.cc:474:replace_alu$5209.C[10] I0=$false I1=$3\int_tmr[14:0][9] .attr src "top.v:251|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$abc$19659$n19 I2=rststate[0] I3=$false O=$abc$19659$n1245 .attr src "top.v:69|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$false CO=$auto$alumacc.cc:474:replace_alu$5212.C[1] I0=$abc$19659$n19 I1=rststate[0] .attr src "top.v:69|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=rststate[1] I3=$auto$alumacc.cc:474:replace_alu$5212.C[1] O=$abc$19659$n1247 .attr src "top.v:69|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5212.C[1] CO=$auto$alumacc.cc:474:replace_alu$5212.C[2] I0=$false I1=rststate[1] .attr src "top.v:69|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=rststate[2] I3=$auto$alumacc.cc:474:replace_alu$5212.C[2] O=$abc$19659$n1249 .attr src "top.v:69|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5212.C[2] CO=$auto$alumacc.cc:474:replace_alu$5212.C[3] I0=$false I1=rststate[2] .attr src "top.v:69|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=rststate[3] I3=$auto$alumacc.cc:474:replace_alu$5212.C[3] O=$abc$19659$n1251 .attr src "top.v:69|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$22\i2c_input_data_type[3:0][0] I2=$false I3=$true O=$abc$19659$n998 .attr src "top.v:220|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$22\i2c_input_data_type[3:0][0] CO=$auto$alumacc.cc:474:replace_alu$5215.C[2] I0=$22\i2c_input_data_type[3:0][1] I1=$true .attr src "top.v:220|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$22\i2c_input_data_type[3:0][2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5215.C[2] O=$abc$19659$n1000 .attr src "top.v:220|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$abc$19659$n1438 I2=$false I3=$true O=$abc$19659$n659 .attr src "top.v:20|i2c_slave.v:122|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$abc$19659$n1438 CO=$auto$alumacc.cc:474:replace_alu$5218.C[2] I0=$abc$19659$n1440 I1=$true .attr src "top.v:20|i2c_slave.v:122|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$abc$19659$n1442 I2=$true I3=$auto$alumacc.cc:474:replace_alu$5218.C[2] O=$abc$19659$n660 .attr src "top.v:20|i2c_slave.v:122|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5218.C[2] CO=$auto$alumacc.cc:474:replace_alu$5218.C[3] I0=$abc$19659$n1442 I1=$true .attr src "top.v:20|i2c_slave.v:122|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$abc$19659$n1444 I2=$true I3=$auto$alumacc.cc:474:replace_alu$5218.C[3] O=$abc$19659$n635 .attr src "top.v:20|i2c_slave.v:122|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5218.C[3] CO=$abc$19659$n1603 I0=$abc$19659$n1444 I1=$true .attr src "top.v:20|i2c_slave.v:122|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$true I3=$abc$19659$n1603 O=$abc$19659$n666 .attr src "top.v:20|i2c_slave.v:122|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[0] I2=$false I3=$true O=$abc$19659$n829 .attr src "top.v:20|i2c_slave.v:45|simple_filter.v:14|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=I2C.FLT_SCL.counter[0] CO=$auto$alumacc.cc:474:replace_alu$5221.C[2] I0=I2C.FLT_SCL.counter[1] I1=$true .attr src "top.v:20|i2c_slave.v:45|simple_filter.v:14|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5221.C[2] O=$abc$19659$n832 .attr src "top.v:20|i2c_slave.v:45|simple_filter.v:14|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[0] I2=$false I3=$true O=$abc$19659$n825 .attr src "top.v:20|i2c_slave.v:46|simple_filter.v:14|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=I2C.FLT_SDA.counter[0] CO=$auto$alumacc.cc:474:replace_alu$5224.C[2] I0=I2C.FLT_SDA.counter[1] I1=$true .attr src "top.v:20|i2c_slave.v:46|simple_filter.v:14|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$abc$19659$n721 I2=$true I3=$auto$alumacc.cc:474:replace_alu$5224.C[2] O=$abc$19659$n828 .attr src "top.v:20|i2c_slave.v:46|simple_filter.v:14|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.report_adress_rd[0] I3=$false O=$abc$19659$n802 .attr src "top.v:37|matrix_kbd.v:101|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=KEYBOARD.report_adress_rd[0] CO=$auto$alumacc.cc:474:replace_alu$5227.C[2] I0=$false I1=KEYBOARD.report_adress_rd[1] .attr src "top.v:37|matrix_kbd.v:101|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.report_adress_rd[2] I3=$auto$alumacc.cc:474:replace_alu$5227.C[2] O=$abc$19659$n805 .attr src "top.v:37|matrix_kbd.v:101|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5227.C[2] CO=$auto$alumacc.cc:474:replace_alu$5227.C[3] I0=$false I1=KEYBOARD.report_adress_rd[2] .attr src "top.v:37|matrix_kbd.v:101|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.report_adress_rd[3] I3=$auto$alumacc.cc:474:replace_alu$5227.C[3] O=$abc$19659$n806 .attr src "top.v:37|matrix_kbd.v:101|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_time[0] I3=$false O=$abc$19659$n778 .attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[10] I3=$auto$alumacc.cc:474:replace_alu$5230.C[10] O=$abc$19659$n789 .attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5230.C[10] CO=$auto$alumacc.cc:474:replace_alu$5230.C[11] I0=$false I1=KEYBOARD.row_time[10] .attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[11] I3=$auto$alumacc.cc:474:replace_alu$5230.C[11] O=$abc$19659$n790 .attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5230.C[11] CO=$auto$alumacc.cc:474:replace_alu$5230.C[12] I0=$false I1=KEYBOARD.row_time[11] .attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[12] I3=$auto$alumacc.cc:474:replace_alu$5230.C[12] O=$abc$19659$n792 .attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5230.C[12] CO=$auto$alumacc.cc:474:replace_alu$5230.C[13] I0=$false I1=KEYBOARD.row_time[12] .attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[13] I3=$auto$alumacc.cc:474:replace_alu$5230.C[13] O=$abc$19659$n793 .attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5230.C[13] CO=$auto$alumacc.cc:474:replace_alu$5230.C[14] I0=$false I1=KEYBOARD.row_time[13] .attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[14] I3=$auto$alumacc.cc:474:replace_alu$5230.C[14] O=$abc$19659$n797 .attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=KEYBOARD.row_time[0] CO=$auto$alumacc.cc:474:replace_alu$5230.C[2] I0=$false I1=KEYBOARD.row_time[1] .attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[2] I3=$auto$alumacc.cc:474:replace_alu$5230.C[2] O=$abc$19659$n781 .attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5230.C[2] CO=$auto$alumacc.cc:474:replace_alu$5230.C[3] I0=$false I1=KEYBOARD.row_time[2] .attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[3] I3=$auto$alumacc.cc:474:replace_alu$5230.C[3] O=$abc$19659$n782 .attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5230.C[3] CO=$auto$alumacc.cc:474:replace_alu$5230.C[4] I0=$false I1=KEYBOARD.row_time[3] .attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[4] I3=$auto$alumacc.cc:474:replace_alu$5230.C[4] O=$abc$19659$n776 .attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5230.C[4] CO=$auto$alumacc.cc:474:replace_alu$5230.C[5] I0=$false I1=KEYBOARD.row_time[4] .attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[5] I3=$auto$alumacc.cc:474:replace_alu$5230.C[5] O=$abc$19659$n567 .attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5230.C[5] CO=$auto$alumacc.cc:474:replace_alu$5230.C[6] I0=$false I1=KEYBOARD.row_time[5] .attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[6] I3=$auto$alumacc.cc:474:replace_alu$5230.C[6] O=$abc$19659$n565 .attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5230.C[6] CO=$auto$alumacc.cc:474:replace_alu$5230.C[7] I0=$false I1=KEYBOARD.row_time[6] .attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[7] I3=$auto$alumacc.cc:474:replace_alu$5230.C[7] O=$abc$19659$n555 .attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5230.C[7] CO=$auto$alumacc.cc:474:replace_alu$5230.C[8] I0=$false I1=KEYBOARD.row_time[7] .attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[8] I3=$auto$alumacc.cc:474:replace_alu$5230.C[8] O=$abc$19659$n786 .attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5230.C[8] CO=$auto$alumacc.cc:474:replace_alu$5230.C[9] I0=$false I1=KEYBOARD.row_time[8] .attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[9] I3=$auto$alumacc.cc:474:replace_alu$5230.C[9] O=$abc$19659$n787 .attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5230.C[9] CO=$auto$alumacc.cc:474:replace_alu$5230.C[10] I0=$false I1=KEYBOARD.row_time[9] .attr src "top.v:37|matrix_kbd.v:118|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_counter[0] I3=$false O=$abc$19659$n1285 .attr src "top.v:37|matrix_kbd.v:122|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=KEYBOARD.row_counter[0] CO=$auto$alumacc.cc:474:replace_alu$5233.C[2] I0=$false I1=KEYBOARD.row_counter[1] .attr src "top.v:37|matrix_kbd.v:122|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[2] I3=$auto$alumacc.cc:474:replace_alu$5233.C[2] O=$abc$19659$n1290 .attr src "top.v:37|matrix_kbd.v:122|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5233.C[2] CO=$auto$alumacc.cc:474:replace_alu$5233.C[3] I0=$false I1=KEYBOARD.row_counter[2] .attr src "top.v:37|matrix_kbd.v:122|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[3] I3=$auto$alumacc.cc:474:replace_alu$5233.C[3] O=$abc$19659$n16 .attr src "top.v:37|matrix_kbd.v:122|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.ram_adr[0] I3=$false O=$abc$19659$n614 .attr src "top.v:37|matrix_kbd.v:99|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=KEYBOARD.ram_adr[0] CO=$auto$alumacc.cc:474:replace_alu$5236.C[2] I0=$false I1=KEYBOARD.ram_adr[1] .attr src "top.v:37|matrix_kbd.v:99|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.ram_adr[2] I3=$auto$alumacc.cc:474:replace_alu$5236.C[2] O=$abc$19659$n617 .attr src "top.v:37|matrix_kbd.v:99|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5236.C[2] CO=$auto$alumacc.cc:474:replace_alu$5236.C[3] I0=$false I1=KEYBOARD.ram_adr[2] .attr src "top.v:37|matrix_kbd.v:99|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.ram_adr[3] I3=$auto$alumacc.cc:474:replace_alu$5236.C[3] O=$abc$19659$n618 .attr src "top.v:37|matrix_kbd.v:99|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5236.C[3] CO=$auto$alumacc.cc:474:replace_alu$5236.C[4] I0=$false I1=KEYBOARD.ram_adr[3] .attr src "top.v:37|matrix_kbd.v:99|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.ram_adr[4] I3=$auto$alumacc.cc:474:replace_alu$5236.C[4] O=$abc$19659$n620 .attr src "top.v:37|matrix_kbd.v:99|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5236.C[4] CO=$auto$alumacc.cc:474:replace_alu$5236.C[5] I0=$false I1=KEYBOARD.ram_adr[4] .attr src "top.v:37|matrix_kbd.v:99|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.ram_adr[5] I3=$auto$alumacc.cc:474:replace_alu$5236.C[5] O=$abc$19659$n621 .attr src "top.v:37|matrix_kbd.v:99|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5236.C[5] CO=$auto$alumacc.cc:474:replace_alu$5236.C[6] I0=$false I1=KEYBOARD.ram_adr[5] .attr src "top.v:37|matrix_kbd.v:99|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.ram_adr[6] I3=$auto$alumacc.cc:474:replace_alu$5236.C[6] O=$abc$19659$n623 .attr src "top.v:37|matrix_kbd.v:99|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5236.C[6] CO=$auto$alumacc.cc:474:replace_alu$5236.C[7] I0=$false I1=KEYBOARD.ram_adr[6] .attr src "top.v:37|matrix_kbd.v:99|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.ram_adr[7] I3=$auto$alumacc.cc:474:replace_alu$5236.C[7] O=$abc$19659$n1296 .attr src "top.v:37|matrix_kbd.v:99|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5236.C[7] CO=$auto$alumacc.cc:474:replace_alu$5236.C[8] I0=$false I1=KEYBOARD.ram_adr[7] .attr src "top.v:37|matrix_kbd.v:99|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.ram_adr[8] I3=$auto$alumacc.cc:474:replace_alu$5236.C[8] O=$abc$19659$n629 .attr src "top.v:37|matrix_kbd.v:99|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[0] I2=$false I3=$true O=$abc$19659$n819 .attr src "top.v:29|uart.v:33|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=UART.tx_clk_counter[0] CO=$auto$alumacc.cc:474:replace_alu$5239.C[2] I0=UART.tx_clk_counter[1] I1=$true .attr src "top.v:29|uart.v:33|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5239.C[2] O=$abc$19659$n822 .attr src "top.v:29|uart.v:33|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5239.C[2] CO=$auto$alumacc.cc:474:replace_alu$5239.C[3] I0=UART.tx_clk_counter[2] I1=$true .attr src "top.v:29|uart.v:33|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[3] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5239.C[3] O=$abc$19659$n823 .attr src "top.v:29|uart.v:33|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[0] I2=$false I3=$true O=$abc$19659$n1624 .attr src "top.v:29|uart.v:39|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=UART.tx_bit_counter[0] CO=$auto$alumacc.cc:474:replace_alu$5242.C[2] I0=UART.tx_bit_counter[1] I1=$true .attr src "top.v:29|uart.v:39|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5242.C[2] O=$abc$19659$n1626 .attr src "top.v:29|uart.v:39|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5242.C[2] CO=$auto$alumacc.cc:474:replace_alu$5242.C[3] I0=UART.tx_bit_counter[2] I1=$true .attr src "top.v:29|uart.v:39|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[3] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5242.C[3] O=$abc$19659$n1682 .attr src "top.v:29|uart.v:39|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$false I2=$abc$19659$n1625 I3=$true O=$abc$19659$n1596 .attr src "top.v:29|uart.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$abc$19659$n1625 CO=$auto$alumacc.cc:474:replace_alu$5245.C[2] I0=$false I1=$abc$19659$n1623 .attr src "top.v:29|uart.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$abc$19659$n1627 I3=$auto$alumacc.cc:474:replace_alu$5245.C[2] O=$abc$19659$n1582 .attr src "top.v:29|uart.v:41|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_DFFE C=CLK D=$abc$19659$n7 E=$abc$19659$n41 Q=LED1 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFSS C=CLK D=$2\IS_RAM_INIT[0:0] Q=IS_RAM_INIT S=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFFESR C=CLK D=$2\report_wr_en[0:0] E=$abc$19659$n37 Q=report_wr_en R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFF C=CLK D=$0\rststate[3:0][0] Q=rststate[0] .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$0\rststate[3:0][1] Q=rststate[1] .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$0\rststate[3:0][2] Q=rststate[2] .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$0\rststate[3:0][3] Q=rststate[3] .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFFESR C=CLK D=$2\UART_WR[0:0] E=$abc$19659$n42 Q=UART_WR R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][0] E=$abc$19659$n47 Q=UART_TX_DATA[0] S=$abc$19659$n52 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][1] E=$abc$19659$n47 Q=UART_TX_DATA[1] S=$abc$19659$n52 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][2] E=$abc$19659$n47 Q=UART_TX_DATA[2] S=$abc$19659$n52 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][3] E=$abc$19659$n47 Q=UART_TX_DATA[3] S=$abc$19659$n52 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][4] E=$abc$19659$n47 Q=UART_TX_DATA[4] S=$abc$19659$n52 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][5] E=$abc$19659$n47 Q=UART_TX_DATA[5] S=$abc$19659$n52 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][6] E=$abc$19659$n47 Q=UART_TX_DATA[6] S=$abc$19659$n52 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][7] E=$abc$19659$n47 Q=UART_TX_DATA[7] S=$abc$19659$n52 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][0] E=$abc$19659$n41 Q=int_tmr[0] R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][1] E=$abc$19659$n41 Q=int_tmr[1] R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][2] E=$abc$19659$n41 Q=int_tmr[2] R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][3] E=$abc$19659$n41 Q=int_tmr[3] R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][4] E=$abc$19659$n41 Q=int_tmr[4] R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][5] E=$abc$19659$n41 Q=int_tmr[5] R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][6] E=$abc$19659$n41 Q=int_tmr[6] R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][7] E=$abc$19659$n41 Q=int_tmr[7] R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][8] E=$abc$19659$n41 Q=int_tmr[8] R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][9] E=$abc$19659$n41 Q=int_tmr[9] R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][10] E=$abc$19659$n41 Q=int_tmr[10] R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][11] E=$abc$19659$n41 Q=int_tmr[11] R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][12] E=$abc$19659$n41 Q=int_tmr[12] R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][13] E=$abc$19659$n41 Q=int_tmr[13] R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][14] E=$abc$19659$n41 Q=int_tmr[14] R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][0] E=$abc$19659$n41 Q=ring_wr[0] R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][1] E=$abc$19659$n41 Q=ring_wr[1] R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][2] E=$abc$19659$n41 Q=ring_wr[2] R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][3] E=$abc$19659$n41 Q=ring_wr[3] R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][0] E=$abc$19659$n41 Q=ring_rd[0] S=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][1] E=$abc$19659$n41 Q=ring_rd[1] S=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][2] E=$abc$19659$n41 Q=ring_rd[2] S=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][3] E=$abc$19659$n41 Q=ring_rd[3] S=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][0] E=$abc$19659$n35 Q=wr_cnt[0] R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][1] E=$abc$19659$n35 Q=wr_cnt[1] R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][2] E=$abc$19659$n35 Q=wr_cnt[2] R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][3] E=$abc$19659$n35 Q=wr_cnt[3] R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\temp_output_report[2:0][0] E=$abc$19659$n46 Q=temp_output_report[0] .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\temp_output_report[2:0][1] E=$abc$19659$n46 Q=temp_output_report[1] .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\temp_output_report[2:0][2] E=$abc$19659$n46 Q=temp_output_report[2] .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$20\i2c_input_data_type[3:0][0] E=$abc$19659$n46 Q=i2c_input_data_type[0] R=$abc$19659$n52 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$20\i2c_input_data_type[3:0][1] E=$abc$19659$n46 Q=i2c_input_data_type[1] R=$abc$19659$n52 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$20\i2c_input_data_type[3:0][2] E=$abc$19659$n46 Q=i2c_input_data_type[2] R=$abc$19659$n52 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$20\i2c_input_data_type[3:0][3] E=$abc$19659$n46 Q=i2c_input_data_type[3] R=$abc$19659$n52 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][0] E=$abc$19659$n41 Q=I2C_COUNTER[0] R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][1] E=$abc$19659$n41 Q=I2C_COUNTER[1] R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][2] E=$abc$19659$n41 Q=I2C_COUNTER[2] R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][3] E=$abc$19659$n41 Q=I2C_COUNTER[3] R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][4] E=$abc$19659$n41 Q=I2C_COUNTER[4] R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][5] E=$abc$19659$n41 Q=I2C_COUNTER[5] R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][6] E=$abc$19659$n41 Q=I2C_COUNTER[6] R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][0] E=$abc$19659$n41 Q=I2C_HID_DESC.DESC_TYPE R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][1] E=$abc$19659$n41 Q=I2C_OUTPUT_TYPE[1] S=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][2] E=$abc$19659$n41 Q=I2C_OUTPUT_TYPE[2] R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$19659$n63 Q=I2C_OUT_DESC_MASK[0] R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$19659$n63 Q=I2C_OUT_DESC_MASK[1] R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$19659$n63 Q=I2C_OUT_DESC_MASK[2] R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$19659$n63 Q=I2C_OUT_DESC_MASK[3] R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$19659$n63 Q=I2C_OUT_DESC_MASK[4] R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$19659$n63 Q=I2C_OUT_DESC_MASK[5] R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$19659$n63 Q=I2C_OUT_DESC_MASK[6] R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$19659$n63 Q=I2C_OUT_DESC_MASK[7] R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$0\temp_output_report[2:0][0] E=$abc$19659$n72 Q=LED2 R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$0\temp_output_report[2:0][1] E=$abc$19659$n72 Q=LED3 R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$0\temp_output_report[2:0][2] E=$abc$19659$n72 Q=LED4 R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=I2C.wr E=$abc$19659$n41 Q=last_wr R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=I2C_TRANS E=$abc$19659$n41 Q=last_trans R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.isr E=$abc$19659$n41 Q=last_isr R=$abc$19659$n19 .attr src "top.v:66|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFNESR C=CLK D=$abc$19659$n1313 E=$abc$19659$n94 Q=KEYBOARD.report_wr_en R=$abc$19659$n19 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$19659$n1393 E=$abc$19659$n144 Q=KEYBOARD.report_data_wr[0] R=KEYBOARD.IS_RAM_INIT .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$19659$n1308 E=$abc$19659$n144 Q=KEYBOARD.report_data_wr[1] .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$19659$n1398 E=$abc$19659$n144 Q=KEYBOARD.report_data_wr[2] R=KEYBOARD.IS_RAM_INIT .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$19659$n1310 E=$abc$19659$n144 Q=KEYBOARD.report_data_wr[3] .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$19659$n1403 E=$abc$19659$n144 Q=KEYBOARD.report_data_wr[4] R=KEYBOARD.IS_RAM_INIT .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$19659$n1406 E=$abc$19659$n144 Q=KEYBOARD.report_data_wr[5] R=KEYBOARD.IS_RAM_INIT .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$19659$n1409 E=$abc$19659$n144 Q=KEYBOARD.report_data_wr[6] R=KEYBOARD.IS_RAM_INIT .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$19659$n1412 E=$abc$19659$n144 Q=KEYBOARD.report_data_wr[7] R=KEYBOARD.IS_RAM_INIT .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$19659$n1300 E=$abc$19659$n184 Q=KEYBOARD.report_adress_rd[0] S=$abc$19659$n19 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$19659$n1302 E=$abc$19659$n184 Q=KEYBOARD.report_adress_rd[1] R=$abc$19659$n19 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$19659$n1304 E=$abc$19659$n184 Q=KEYBOARD.report_adress_rd[2] S=$abc$19659$n19 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$19659$n1306 E=$abc$19659$n184 Q=KEYBOARD.report_adress_rd[3] R=$abc$19659$n19 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$19659$n1311 E=$abc$19659$n41 Q=KEYBOARD.IS_RAM_INIT S=$abc$19659$n19 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$19659$n1286 E=$abc$19659$n189 Q=KEYBOARD.ram_adr[0] R=$abc$19659$n19 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$19659$n1289 E=$abc$19659$n189 Q=KEYBOARD.ram_adr[1] R=$abc$19659$n19 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$19659$n1291 E=$abc$19659$n189 Q=KEYBOARD.ram_adr[2] S=$abc$19659$n19 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$19659$n1292 E=$abc$19659$n189 Q=KEYBOARD.ram_adr[3] R=$abc$19659$n19 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$19659$n1293 E=$abc$19659$n189 Q=KEYBOARD.ram_adr[4] S=$abc$19659$n19 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$19659$n1294 E=$abc$19659$n189 Q=KEYBOARD.ram_adr[5] S=$abc$19659$n19 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$19659$n1295 E=$abc$19659$n189 Q=KEYBOARD.ram_adr[6] S=$abc$19659$n19 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$19659$n1297 E=$abc$19659$n189 Q=KEYBOARD.ram_adr[7] S=$abc$19659$n19 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$19659$n1298 E=$abc$19659$n189 Q=KEYBOARD.ram_adr[8] S=$abc$19659$n19 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$19659$n1323 E=$abc$19659$n46 Q=KEYBOARD.ram_wr S=KEYBOARD.IS_RAM_INIT .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$19659$n895 E=$abc$19659$n194 Q=KEYBOARD.is_pressed .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$19659$n1353 E=$abc$19659$n197 Q=KEYBOARD.COLS_SHADOW[1] .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$19659$n1356 E=$abc$19659$n197 Q=KEYBOARD.COLS_SHADOW[2] .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$19659$n1359 E=$abc$19659$n197 Q=KEYBOARD.COLS_SHADOW[3] .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$19659$n1362 E=$abc$19659$n197 Q=KEYBOARD.COLS_SHADOW[4] .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$19659$n1365 E=$abc$19659$n197 Q=KEYBOARD.COLS_SHADOW[5] .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$19659$n1368 E=$abc$19659$n197 Q=KEYBOARD.COLS_SHADOW[6] .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$19659$n1371 E=$abc$19659$n197 Q=KEYBOARD.COLS_SHADOW[7] .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$19659$n1727 E=$abc$19659$n199 Q=KEYBOARD.ROWS_EN[0] R=$abc$19659$n16 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$19659$n1728 E=$abc$19659$n199 Q=KEYBOARD.ROWS_EN[1] R=$abc$19659$n16 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$19659$n1729 E=$abc$19659$n199 Q=KEYBOARD.ROWS_EN[2] R=$abc$19659$n16 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$19659$n1730 E=$abc$19659$n199 Q=KEYBOARD.ROWS_EN[3] R=$abc$19659$n16 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$19659$n1731 E=$abc$19659$n199 Q=KEYBOARD.ROWS_EN[4] R=$abc$19659$n16 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$19659$n1732 E=$abc$19659$n199 Q=KEYBOARD.ROWS_EN[5] R=$abc$19659$n16 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$19659$n1733 E=$abc$19659$n199 Q=KEYBOARD.ROWS_EN[6] R=$abc$19659$n16 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$19659$n1734 E=$abc$19659$n199 Q=KEYBOARD.ROWS_EN[7] R=$abc$19659$n16 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$19659$n1727 E=$abc$19659$n199 Q=KEYBOARD.ROWS_EN[8] R=$abc$19659$n17 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$19659$n1728 E=$abc$19659$n199 Q=KEYBOARD.ROWS_EN[9] R=$abc$19659$n17 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$19659$n1729 E=$abc$19659$n199 Q=KEYBOARD.ROWS_EN[10] R=$abc$19659$n17 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$19659$n1730 E=$abc$19659$n199 Q=KEYBOARD.ROWS_EN[11] R=$abc$19659$n17 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$19659$n1731 E=$abc$19659$n199 Q=KEYBOARD.ROWS_EN[12] R=$abc$19659$n17 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$19659$n1732 E=$abc$19659$n199 Q=KEYBOARD.ROWS_EN[13] R=$abc$19659$n17 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$19659$n1733 E=$abc$19659$n199 Q=KEYBOARD.ROWS_EN[14] R=$abc$19659$n17 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$19659$n1734 E=$abc$19659$n199 Q=KEYBOARD.ROWS_EN[15] R=$abc$19659$n17 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$19659$n1317 E=$abc$19659$n205 Q=KEYBOARD.isr_internal R=$abc$19659$n19 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=KEYBOARD.isr_internal E=$abc$19659$n209 Q=KEYBOARD.isr R=$abc$19659$n19 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$19659$n1373 E=$abc$19659$n211 Q=KEYBOARD.temp[0] S=KEYBOARD.IS_RAM_INIT .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$19659$n1377 E=$abc$19659$n211 Q=KEYBOARD.temp[1] S=KEYBOARD.IS_RAM_INIT .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$19659$n1375 E=$abc$19659$n211 Q=KEYBOARD.temp[2] S=KEYBOARD.IS_RAM_INIT .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$19659$n1378 E=$abc$19659$n211 Q=KEYBOARD.temp[3] S=KEYBOARD.IS_RAM_INIT .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$19659$n1374 E=$abc$19659$n211 Q=KEYBOARD.temp[4] S=KEYBOARD.IS_RAM_INIT .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$19659$n1379 E=$abc$19659$n211 Q=KEYBOARD.temp[5] S=KEYBOARD.IS_RAM_INIT .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$19659$n1380 E=$abc$19659$n211 Q=KEYBOARD.temp[6] S=KEYBOARD.IS_RAM_INIT .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$19659$n1376 E=$abc$19659$n211 Q=KEYBOARD.temp[7] S=KEYBOARD.IS_RAM_INIT .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$19659$n1285 E=$abc$19659$n199 Q=KEYBOARD.row_counter[0] .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$19659$n1287 E=$abc$19659$n199 Q=KEYBOARD.row_counter[1] .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$19659$n1290 E=$abc$19659$n199 Q=KEYBOARD.row_counter[2] .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$19659$n16 E=$abc$19659$n199 Q=KEYBOARD.row_counter[3] .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$19659$n778 E=$abc$19659$n192 Q=KEYBOARD.row_time[0] R=$abc$19659$n19 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$19659$n779 E=$abc$19659$n192 Q=KEYBOARD.row_time[1] R=$abc$19659$n19 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$19659$n781 E=$abc$19659$n192 Q=KEYBOARD.row_time[2] R=$abc$19659$n19 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$19659$n782 E=$abc$19659$n192 Q=KEYBOARD.row_time[3] R=$abc$19659$n19 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$19659$n776 E=$abc$19659$n192 Q=KEYBOARD.row_time[4] R=$abc$19659$n19 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$19659$n567 E=$abc$19659$n192 Q=KEYBOARD.row_time[5] R=$abc$19659$n19 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$19659$n565 E=$abc$19659$n192 Q=KEYBOARD.row_time[6] R=$abc$19659$n19 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$19659$n555 E=$abc$19659$n192 Q=KEYBOARD.row_time[7] R=$abc$19659$n19 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$19659$n786 E=$abc$19659$n192 Q=KEYBOARD.row_time[8] R=$abc$19659$n19 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$19659$n787 E=$abc$19659$n192 Q=KEYBOARD.row_time[9] R=$abc$19659$n19 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$19659$n789 E=$abc$19659$n192 Q=KEYBOARD.row_time[10] R=$abc$19659$n19 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$19659$n790 E=$abc$19659$n192 Q=KEYBOARD.row_time[11] R=$abc$19659$n19 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$19659$n792 E=$abc$19659$n192 Q=KEYBOARD.row_time[12] R=$abc$19659$n19 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$19659$n793 E=$abc$19659$n192 Q=KEYBOARD.row_time[13] R=$abc$19659$n19 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$19659$n797 E=$abc$19659$n192 Q=KEYBOARD.row_time[14] R=$abc$19659$n19 .attr src "top.v:37|matrix_kbd.v:64|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFF C=CLK D=SCL Q=I2C.SCLF .attr src "top.v:20|i2c_slave.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=I2C.SDA_IN Q=I2C.SDAF .attr src "top.v:20|i2c_slave.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFFNE C=CLK D=$abc$19659$n1472 E=I2C.FLT_SCL.RESET Q=I2C.wr .attr src "top.v:20|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$19659$n1470 E=I2C.FLT_SCL.RESET Q=I2C.is_ack .attr src "top.v:20|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$19659$n1468 E=I2C.FLT_SCL.RESET Q=I2C.is_adress .attr src "top.v:20|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$19659$n224 Q=I2C.received_byte[0] .attr src "top.v:20|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$19659$n232 Q=I2C.received_byte[1] .attr src "top.v:20|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$19659$n241 Q=I2C.received_byte[2] .attr src "top.v:20|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$19659$n249 Q=I2C.received_byte[3] .attr src "top.v:20|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$19659$n258 Q=I2C.received_byte[4] .attr src "top.v:20|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$19659$n271 Q=I2C.received_byte[5] .attr src "top.v:20|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$19659$n281 Q=I2C.received_byte[6] .attr src "top.v:20|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$19659$n288 Q=I2C.received_byte[7] .attr src "top.v:20|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$19659$n1460 E=I2C.FLT_SCL.RESET Q=I2C.i2c_bit_counter[0] .attr src "top.v:20|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$19659$n1462 E=I2C.FLT_SCL.RESET Q=I2C.i2c_bit_counter[1] .attr src "top.v:20|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$19659$n1464 E=I2C.FLT_SCL.RESET Q=I2C.i2c_bit_counter[2] .attr src "top.v:20|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$19659$n1466 E=I2C.FLT_SCL.RESET Q=I2C.i2c_bit_counter[3] .attr src "top.v:20|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$19659$n1458 E=I2C.FLT_SCL.RESET Q=I2C.is_read .attr src "top.v:20|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$19659$n1436 E=$abc$19659$n293 Q=I2C.i2c_start_latency .attr src "top.v:20|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNSR C=CLK D=$abc$19659$n1456 Q=I2C.i2c_state_machine R=$abc$19659$n19 .attr src "top.v:20|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFNE C=CLK D=$abc$19659$n1 E=I2C.FLT_SCL.RESET Q=$abc$19659$n8 .attr src "top.v:20|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$19659$n3 E=I2C.FLT_SCL.RESET Q=$abc$19659$n10 .attr src "top.v:20|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=$abc$19659$n1454 E=I2C.FLT_SCL.RESET Q=I2C.SDA_DIR .attr src "top.v:20|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNSR C=CLK D=$abc$19659$n1547 Q=UART.tx_activity R=$abc$19659$n19 .attr src "top.v:29|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFNE C=CLK D=$abc$19659$n5 E=$abc$19659$n306 Q=$abc$19659$n12 .attr src "top.v:29|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$19659$n1549 E=$abc$19659$n295 Q=UART.tx_clk_counter[0] S=$abc$19659$n21 .attr src "top.v:29|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$19659$n1550 E=$abc$19659$n295 Q=UART.tx_clk_counter[1] R=$abc$19659$n21 .attr src "top.v:29|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$19659$n1551 E=$abc$19659$n295 Q=UART.tx_clk_counter[2] S=$abc$19659$n21 .attr src "top.v:29|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$19659$n1552 E=$abc$19659$n295 Q=UART.tx_clk_counter[3] S=$abc$19659$n21 .attr src "top.v:29|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$19659$n1624 E=$abc$19659$n306 Q=UART.tx_bit_counter[0] S=$abc$19659$n21 .attr src "top.v:29|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$19659$n1622 E=$abc$19659$n306 Q=UART.tx_bit_counter[1] R=$abc$19659$n21 .attr src "top.v:29|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESR C=CLK D=$abc$19659$n1626 E=$abc$19659$n306 Q=UART.tx_bit_counter[2] R=$abc$19659$n21 .attr src "top.v:29|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNESS C=CLK D=$abc$19659$n1682 E=$abc$19659$n306 Q=UART.tx_bit_counter[3] S=$abc$19659$n21 .attr src "top.v:29|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFNE C=CLK D=UART_WR E=I2C.FLT_SCL.RESET Q=UART.TX_sig_last .attr src "top.v:29|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:7" .gate SB_DFFES C=CLK D=I2C.SDAF E=$abc$19659$n318 Q=I2C.FLT_SDA.out S=$abc$19659$n19 .attr src "top.v:20|i2c_slave.v:46|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:23" .gate SB_DFFER C=CLK D=$abc$19659$n1555 E=$abc$19659$n319 Q=I2C.FLT_SDA.counter[0] R=$abc$19659$n19 .attr src "top.v:20|i2c_slave.v:46|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" .gate SB_DFFER C=CLK D=$abc$19659$n1556 E=$abc$19659$n319 Q=I2C.FLT_SDA.counter[1] R=$abc$19659$n19 .attr src "top.v:20|i2c_slave.v:46|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" .gate SB_DFFER C=CLK D=$abc$19659$n1557 E=$abc$19659$n319 Q=$abc$19659$n721 R=$abc$19659$n19 .attr src "top.v:20|i2c_slave.v:46|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" .gate SB_DFFES C=CLK D=I2C.SCLF E=$abc$19659$n329 Q=I2C.FLT_SCL.out S=$abc$19659$n19 .attr src "top.v:20|i2c_slave.v:45|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:23" .gate SB_DFFER C=CLK D=$abc$19659$n1558 E=$abc$19659$n330 Q=I2C.FLT_SCL.counter[0] R=$abc$19659$n19 .attr src "top.v:20|i2c_slave.v:45|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" .gate SB_DFFER C=CLK D=$abc$19659$n1559 E=$abc$19659$n330 Q=I2C.FLT_SCL.counter[1] R=$abc$19659$n19 .attr src "top.v:20|i2c_slave.v:45|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" .gate SB_DFFER C=CLK D=$abc$19659$n1560 E=$abc$19659$n330 Q=I2C.FLT_SCL.counter[2] R=$abc$19659$n19 .attr src "top.v:20|i2c_slave.v:45|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22" .gate SB_IO D_IN_0=I2C.SDA_IN D_OUT_0=$false OUTPUT_ENABLE=I2C.SDA_DIR PACKAGE_PIN=SDA .attr src "top.v:20|i2c_slave.v:158" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_RAM40_4K RADDR[0]=I2C_COUNTER[0] RADDR[1]=I2C_COUNTER[1] RADDR[2]=I2C_COUNTER[2] RADDR[3]=I2C_COUNTER[3] RADDR[4]=I2C_COUNTER[4] RADDR[5]=I2C_COUNTER[5] RADDR[6]=I2C_COUNTER[6] RADDR[7]=I2C_HID_DESC.DESC_TYPE RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=I2C_HID_DESC.VAL[0] RDATA[1]=I2C_HID_DESC.VAL[1] RDATA[2]=I2C_HID_DESC.VAL[2] RDATA[3]=I2C_HID_DESC.VAL[3] RDATA[4]=I2C_HID_DESC.VAL[4] RDATA[5]=I2C_HID_DESC.VAL[5] RDATA[6]=I2C_HID_DESC.VAL[6] RDATA[7]=I2C_HID_DESC.VAL[7] RDATA[8]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$296[0] RDATA[9]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$296[1] RDATA[10]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$296[2] RDATA[11]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$296[3] RDATA[12]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$296[4] RDATA[13]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$296[5] RDATA[14]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$296[6] RDATA[15]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$296[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WDATA[8]=$false WDATA[9]=$false WDATA[10]=$false WDATA[11]=$false WDATA[12]=$false WDATA[13]=$false WDATA[14]=$false WDATA[15]=$false WE=$false .attr src "top.v:39|descriptors.v:147" .param INIT_0 0000000000000011000000000000000000000000000001000000000000000000000000000000101000000000000000000000000000000011000000000000000000000000000000100000000000000000000000000011111100000000000000010000000000000000000000000000000000000000000111100000000000000000 .param INIT_1 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000100000000000000010000000000000100000000001001111100000000000000000000000000000110000000000000000000000000000001010000000000000000 .param INIT_8 0000000000100101000000000000000000000000000101010000000011100111000000000010100100000000111000000000000000011001000000000000011100000000000001010000000000000001000000001010000100000000000001100000000000001001000000000000000100000000000001010000000000000000 .param INIT_9 0000000001110101000000000000010100000000100101010000000000000001000000001000000100000000000010000000000001110101000000000000000100000000100101010000000000000010000000001000000100000000000010000000000010010101000000000000000100000000011101010000000000000001 .param INIT_A 0000000010010101000000000000001100000000100100010000000000000011000000000111010100000000000000010000000010010101000000000000001000000000100100010000000000000101000000000010100100000000000000010000000000011001000000000000100000000000000001010000000000000001 .param INIT_B 0000000011000000000000000000000000000000100000010000000001100101000000000010100100000000000000000000000000011001000000000000011100000000000001010000000001100101000000000010010100000000000000000000000000010101000000000000100000000000011101010000000000000110 .param INIT_C 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 .gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=KEYBOARD.ram_adr[0] RADDR[1]=KEYBOARD.ram_adr[1] RADDR[2]=KEYBOARD.ram_adr[2] RADDR[3]=KEYBOARD.ram_adr[3] RADDR[4]=KEYBOARD.ram_adr[4] RADDR[5]=KEYBOARD.ram_adr[5] RADDR[6]=KEYBOARD.ram_adr[6] RADDR[7]=KEYBOARD.ram_adr[7] RADDR[8]=KEYBOARD.ram_adr[8] RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.RAM.r_data[0] RDATA[1]=$techmap5263\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[1] RDATA[2]=KEYBOARD.RAM.r_data[1] RDATA[3]=$techmap5263\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[3] RDATA[4]=KEYBOARD.RAM.r_data[2] RDATA[5]=$techmap5263\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[5] RDATA[6]=KEYBOARD.RAM.r_data[3] RDATA[7]=$techmap5263\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[7] RDATA[8]=KEYBOARD.RAM.r_data[4] RDATA[9]=$techmap5263\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[9] RDATA[10]=KEYBOARD.RAM.r_data[5] RDATA[11]=$techmap5263\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[11] RDATA[12]=KEYBOARD.RAM.r_data[6] RDATA[13]=$techmap5263\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[13] RDATA[14]=KEYBOARD.RAM.r_data[7] RDATA[15]=$techmap5263\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=KEYBOARD.ram_adr[0] WADDR[1]=KEYBOARD.ram_adr[1] WADDR[2]=KEYBOARD.ram_adr[2] WADDR[3]=KEYBOARD.ram_adr[3] WADDR[4]=KEYBOARD.ram_adr[4] WADDR[5]=KEYBOARD.ram_adr[5] WADDR[6]=KEYBOARD.ram_adr[6] WADDR[7]=KEYBOARD.ram_adr[7] WADDR[8]=KEYBOARD.ram_adr[8] WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=KEYBOARD.ram_wr WDATA[0]=KEYBOARD.temp[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.temp[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.temp[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.temp[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.temp[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.temp[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.temp[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.temp[7] WDATA[15]=$undef WE=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:277|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:35" .param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1 .param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_2 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_3 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_4 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_5 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_6 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_7 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_8 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_9 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_A xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_B xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_C xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_D xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_E xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 .gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=KEYBOARD.report_adress_rd[0] RADDR[1]=KEYBOARD.report_adress_rd[1] RADDR[2]=KEYBOARD.report_adress_rd[2] RADDR[3]=KEYBOARD.report_adress_rd[3] RADDR[4]=$false RADDR[5]=$false RADDR[6]=$false RADDR[7]=$false RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.REPORT.r_data[0] RDATA[1]=$techmap5261\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[1] RDATA[2]=KEYBOARD.REPORT.r_data[1] RDATA[3]=$techmap5261\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[3] RDATA[4]=KEYBOARD.REPORT.r_data[2] RDATA[5]=$techmap5261\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[5] RDATA[6]=KEYBOARD.REPORT.r_data[3] RDATA[7]=$techmap5261\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[7] RDATA[8]=KEYBOARD.REPORT.r_data[4] RDATA[9]=$techmap5261\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[9] RDATA[10]=KEYBOARD.REPORT.r_data[5] RDATA[11]=$techmap5261\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[11] RDATA[12]=KEYBOARD.REPORT.r_data[6] RDATA[13]=$techmap5261\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[13] RDATA[14]=KEYBOARD.REPORT.r_data[7] RDATA[15]=$techmap5261\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=KEYBOARD.report_adress_rd[0] WADDR[1]=KEYBOARD.report_adress_rd[1] WADDR[2]=KEYBOARD.report_adress_rd[2] WADDR[3]=KEYBOARD.report_adress_rd[3] WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=KEYBOARD.report_wr_en WDATA[0]=KEYBOARD.report_data_wr[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.report_data_wr[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.report_data_wr[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.report_data_wr[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.report_data_wr[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.report_data_wr[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.report_data_wr[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.report_data_wr[7] WDATA[15]=$undef WE=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:277|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:35" .param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1 .param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_2 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_3 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_4 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_5 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_6 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_7 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_8 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_9 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_A xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_B xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_C xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_D xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_E xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 .gate SB_RAM40_4K RADDR[0]=KEYBOARD.row_time[5] RADDR[1]=KEYBOARD.row_time[6] RADDR[2]=KEYBOARD.row_time[7] RADDR[3]=KEYBOARD.row_counter[0] RADDR[4]=KEYBOARD.row_counter[1] RADDR[5]=KEYBOARD.row_counter[2] RADDR[6]=KEYBOARD.row_counter[3] RADDR[7]=$false RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.kbd_code_hid[0] RDATA[1]=KEYBOARD.kbd_code_hid[1] RDATA[2]=KEYBOARD.kbd_code_hid[2] RDATA[3]=KEYBOARD.kbd_code_hid[3] RDATA[4]=KEYBOARD.kbd_code_hid[4] RDATA[5]=KEYBOARD.kbd_code_hid[5] RDATA[6]=KEYBOARD.kbd_code_hid[6] RDATA[7]=KEYBOARD.kbd_code_hid[7] RDATA[8]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$295[0] RDATA[9]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$295[1] RDATA[10]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$295[2] RDATA[11]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$295[3] RDATA[12]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$295[4] RDATA[13]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$295[5] RDATA[14]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$295[6] RDATA[15]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$295[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WDATA[8]=$false WDATA[9]=$false WDATA[10]=$false WDATA[11]=$false WDATA[12]=$false WDATA[13]=$false WDATA[14]=$false WDATA[15]=$false WE=$false .attr src "top.v:37|matrix_kbd.v:288" .param INIT_0 0000000001100010000000000101110100000000010010010000000001000101000000000110000000000000010110100000000001010100000000000100111100000000010100100000000000000000000000000100101000000000010011010000000001010111000000000101100000000000010010000000000001010000 .param INIT_1 0000000001100011000000000101111000000000010010110000000001001110000000000110000100000000010110110000000001010101000000000101011000000000000000000000000001011100000000000100110000000000010001000000000001011111000000000101100100000000010100110000000001010001 .param INIT_2 0000000000101001000000000010101100000000001101010000000000011110000000000001010000000000000001000000000000011101000000000000000000000000111000100000000000000000000000000000000000000000010001100000000001000111000000000000000000000000000000000000000011100110 .param INIT_3 0000000000000000000000001110000100000000000000000000000000000000000000000000000000000000111000010000000011100101000000000000000000000000000000000000000000000000000000001110000000000000000000000000000000000000000000000000000000000000111001000000000000000000 .param INIT_4 0000000000111110000000000010101000000000010000100000000001000011000000000000000000000000001100010000000000101000000000000010110000000000001101000000000000101111000000000010110100000000001001110000000000010011000000000011001100000000000000000000000000111000 .param INIT_5 0000000000000000000000000100000000000000010000010000000000100110000000000001001000000000000011110000000000110111000000000000000000000000001111110000000000110000000000000010111000000000001001010000000000001100000000000000111000000000001101100000000000000000 .param INIT_6 0000000000000000000000000011100100000000001110100000000000011111000000000001101000000000000101100000000000011011000000000000000000000000000010110000000000011100000000000010001100000000001001000000000000011000000000000000110100000000000100000000000000010001 .param INIT_7 0000000000001010000000000001011100000000001000100000000000100001000000000001010100000000000010010000000000011001000000000000010100000000001111010000000000111100000000000011101100000000001000000000000000001000000000000000011100000000000001100000000000000000 .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[0] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[0] PACKAGE_PIN=KBD_ROWS[0] .attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[10] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[10] PACKAGE_PIN=KBD_ROWS[10] .attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[11] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[11] PACKAGE_PIN=KBD_ROWS[11] .attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[12] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[12] PACKAGE_PIN=KBD_ROWS[12] .attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[13] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[13] PACKAGE_PIN=KBD_ROWS[13] .attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[14] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[14] PACKAGE_PIN=KBD_ROWS[14] .attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[15] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[15] PACKAGE_PIN=KBD_ROWS[15] .attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[1] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[1] PACKAGE_PIN=KBD_ROWS[1] .attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[2] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[2] PACKAGE_PIN=KBD_ROWS[2] .attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[3] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[3] PACKAGE_PIN=KBD_ROWS[3] .attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[4] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[4] PACKAGE_PIN=KBD_ROWS[4] .attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[5] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[5] PACKAGE_PIN=KBD_ROWS[5] .attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[6] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[6] PACKAGE_PIN=KBD_ROWS[6] .attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[7] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[7] PACKAGE_PIN=KBD_ROWS[7] .attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[8] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[8] PACKAGE_PIN=KBD_ROWS[8] .attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[9] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[9] PACKAGE_PIN=KBD_ROWS[9] .attr src "top.v:37|matrix_kbd.v:314" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=I2C_COUNTER[0] RADDR[1]=I2C_COUNTER[1] RADDR[2]=I2C_COUNTER[2] RADDR[3]=I2C_COUNTER[3] RADDR[4]=ring_rd[0] RADDR[5]=ring_rd[1] RADDR[6]=ring_rd[2] RADDR[7]=ring_rd[3] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=REPORT_DATA.clk RCLKE=$true RDATA[0]=REPORT_DATA.r_data[0] RDATA[1]=$techmap5262\REPORT_DATA.mem.0.0.0.A1DATA_16[1] RDATA[2]=REPORT_DATA.r_data[1] RDATA[3]=$techmap5262\REPORT_DATA.mem.0.0.0.A1DATA_16[3] RDATA[4]=REPORT_DATA.r_data[2] RDATA[5]=$techmap5262\REPORT_DATA.mem.0.0.0.A1DATA_16[5] RDATA[6]=REPORT_DATA.r_data[3] RDATA[7]=$techmap5262\REPORT_DATA.mem.0.0.0.A1DATA_16[7] RDATA[8]=REPORT_DATA.r_data[4] RDATA[9]=$techmap5262\REPORT_DATA.mem.0.0.0.A1DATA_16[9] RDATA[10]=REPORT_DATA.r_data[5] RDATA[11]=$techmap5262\REPORT_DATA.mem.0.0.0.A1DATA_16[11] RDATA[12]=REPORT_DATA.r_data[6] RDATA[13]=$techmap5262\REPORT_DATA.mem.0.0.0.A1DATA_16[13] RDATA[14]=REPORT_DATA.r_data[7] RDATA[15]=$techmap5262\REPORT_DATA.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=wr_cnt[0] WADDR[1]=wr_cnt[1] WADDR[2]=wr_cnt[2] WADDR[3]=wr_cnt[3] WADDR[4]=ring_wr[0] WADDR[5]=ring_wr[1] WADDR[6]=ring_wr[2] WADDR[7]=ring_wr[3] WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=REPORT_DATA.clk WCLKE=report_wr_en WDATA[0]=KEYBOARD.REPORT.r_data[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.REPORT.r_data[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.REPORT.r_data[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.REPORT.r_data[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.REPORT.r_data[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.REPORT.r_data[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.REPORT.r_data[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.REPORT.r_data[7] WDATA[15]=$undef WE=$true .attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:277|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:35" .param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1 .param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_2 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_3 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_4 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_5 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_6 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_7 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_8 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_9 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_A xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_B xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_C xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_D xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_E xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 .names $true COM_DSR 1 1 .names I2C.is_read COM_RTS 1 1 .names CLK I2C.CLK 1 1 .names CLK I2C.FLT_SCL.CLK 1 1 .names I2C.SCLF I2C.FLT_SCL.IN 1 1 .names I2C.FLT_SCL.out I2C.FLT_SCL.OUT 1 1 .names CLK I2C.FLT_SDA.CLK 1 1 .names I2C.SDAF I2C.FLT_SDA.IN 1 1 .names I2C.FLT_SDA.out I2C.FLT_SDA.OUT 1 1 .names I2C.FLT_SCL.RESET I2C.FLT_SDA.RESET 1 1 .names I2C.is_ack I2C.IS_ACK 1 1 .names I2C.is_read I2C.IS_READ 1 1 .names I2C_TRANS I2C.IS_TRANSMISSION 1 1 .names I2C.received_byte[0] I2C.RECEIVED_BYTE[0] 1 1 .names I2C.received_byte[1] I2C.RECEIVED_BYTE[1] 1 1 .names I2C.received_byte[2] I2C.RECEIVED_BYTE[2] 1 1 .names I2C.received_byte[3] I2C.RECEIVED_BYTE[3] 1 1 .names I2C.received_byte[4] I2C.RECEIVED_BYTE[4] 1 1 .names I2C.received_byte[5] I2C.RECEIVED_BYTE[5] 1 1 .names I2C.received_byte[6] I2C.RECEIVED_BYTE[6] 1 1 .names I2C.received_byte[7] I2C.RECEIVED_BYTE[7] 1 1 .names I2C.FLT_SCL.RESET I2C.RESET 1 1 .names SCL I2C.SCL 1 1 .names I2C.FLT_SCL.out I2C.SCLD 1 1 .names SDA I2C.SDA 1 1 .names I2C.FLT_SDA.out I2C.SDAD 1 1 .names I2C.wr I2C.WR 1 1 .names I2C.is_ack I2C_ACK 1 1 .names I2C_COUNTER[0] I2C_HID_DESC.ADR[0] 1 1 .names I2C_COUNTER[1] I2C_HID_DESC.ADR[1] 1 1 .names I2C_COUNTER[2] I2C_HID_DESC.ADR[2] 1 1 .names I2C_COUNTER[3] I2C_HID_DESC.ADR[3] 1 1 .names I2C_COUNTER[4] I2C_HID_DESC.ADR[4] 1 1 .names I2C_COUNTER[5] I2C_HID_DESC.ADR[5] 1 1 .names I2C_COUNTER[6] I2C_HID_DESC.ADR[6] 1 1 .names CLK I2C_HID_DESC.CLK 1 1 .names I2C_COUNTER[0] I2C_HID_DESC.RAM_ADR[0] 1 1 .names I2C_COUNTER[1] I2C_HID_DESC.RAM_ADR[1] 1 1 .names I2C_COUNTER[2] I2C_HID_DESC.RAM_ADR[2] 1 1 .names I2C_COUNTER[3] I2C_HID_DESC.RAM_ADR[3] 1 1 .names I2C_COUNTER[4] I2C_HID_DESC.RAM_ADR[4] 1 1 .names I2C_COUNTER[5] I2C_HID_DESC.RAM_ADR[5] 1 1 .names I2C_COUNTER[6] I2C_HID_DESC.RAM_ADR[6] 1 1 .names I2C_HID_DESC.DESC_TYPE I2C_HID_DESC.RAM_ADR[7] 1 1 .names I2C_HID_DESC.DESC_TYPE I2C_OUTPUT_TYPE[0] 1 1 .names I2C.is_read I2C_READ 1 1 .names I2C.received_byte[0] I2C_RX[0] 1 1 .names I2C.received_byte[1] I2C_RX[1] 1 1 .names I2C.received_byte[2] I2C_RX[2] 1 1 .names I2C.received_byte[3] I2C_RX[3] 1 1 .names I2C.received_byte[4] I2C_RX[4] 1 1 .names I2C.received_byte[5] I2C_RX[5] 1 1 .names I2C.received_byte[6] I2C_RX[6] 1 1 .names I2C.received_byte[7] I2C_RX[7] 1 1 .names I2C_HID_DESC.VAL[0] I2C_TX_DESC[0] 1 1 .names I2C_HID_DESC.VAL[1] I2C_TX_DESC[1] 1 1 .names I2C_HID_DESC.VAL[2] I2C_TX_DESC[2] 1 1 .names I2C_HID_DESC.VAL[3] I2C_TX_DESC[3] 1 1 .names I2C_HID_DESC.VAL[4] I2C_TX_DESC[4] 1 1 .names I2C_HID_DESC.VAL[5] I2C_TX_DESC[5] 1 1 .names I2C_HID_DESC.VAL[6] I2C_TX_DESC[6] 1 1 .names I2C_HID_DESC.VAL[7] I2C_TX_DESC[7] 1 1 .names I2C.wr I2C_WR 1 1 .names COM_DCD INT 1 1 .names COM_DCD INTERRUPT 1 1 .names KEYBOARD.isr ISR 1 1 .names LED2 KBD_LED_STATUS[0] 1 1 .names LED3 KBD_LED_STATUS[1] 1 1 .names LED4 KBD_LED_STATUS[2] 1 1 .names CLK KEYBOARD.CLK 1 1 .names KBD_COLUMNS[0] KEYBOARD.COLUMNS[0] 1 1 .names KBD_COLUMNS[1] KEYBOARD.COLUMNS[1] 1 1 .names KBD_COLUMNS[2] KEYBOARD.COLUMNS[2] 1 1 .names KBD_COLUMNS[3] KEYBOARD.COLUMNS[3] 1 1 .names KBD_COLUMNS[4] KEYBOARD.COLUMNS[4] 1 1 .names KBD_COLUMNS[5] KEYBOARD.COLUMNS[5] 1 1 .names KBD_COLUMNS[6] KEYBOARD.COLUMNS[6] 1 1 .names KBD_COLUMNS[7] KEYBOARD.COLUMNS[7] 1 1 .names IS_RAM_INIT KEYBOARD.FREEZE 1 1 .names KEYBOARD.isr KEYBOARD.INT 1 1 .names CLK KEYBOARD.RAM.clk 1 1 .names KEYBOARD.ram_adr[0] KEYBOARD.RAM.raddr[0] 1 1 .names KEYBOARD.ram_adr[1] KEYBOARD.RAM.raddr[1] 1 1 .names KEYBOARD.ram_adr[2] KEYBOARD.RAM.raddr[2] 1 1 .names KEYBOARD.ram_adr[3] KEYBOARD.RAM.raddr[3] 1 1 .names KEYBOARD.ram_adr[4] KEYBOARD.RAM.raddr[4] 1 1 .names KEYBOARD.ram_adr[5] KEYBOARD.RAM.raddr[5] 1 1 .names KEYBOARD.ram_adr[6] KEYBOARD.RAM.raddr[6] 1 1 .names KEYBOARD.ram_adr[7] KEYBOARD.RAM.raddr[7] 1 1 .names KEYBOARD.ram_adr[8] KEYBOARD.RAM.raddr[8] 1 1 .names KEYBOARD.RAM.r_data[0] KEYBOARD.RAM.rdata[0] 1 1 .names KEYBOARD.RAM.r_data[1] KEYBOARD.RAM.rdata[1] 1 1 .names KEYBOARD.RAM.r_data[2] KEYBOARD.RAM.rdata[2] 1 1 .names KEYBOARD.RAM.r_data[3] KEYBOARD.RAM.rdata[3] 1 1 .names KEYBOARD.RAM.r_data[4] KEYBOARD.RAM.rdata[4] 1 1 .names KEYBOARD.RAM.r_data[5] KEYBOARD.RAM.rdata[5] 1 1 .names KEYBOARD.RAM.r_data[6] KEYBOARD.RAM.rdata[6] 1 1 .names KEYBOARD.RAM.r_data[7] KEYBOARD.RAM.rdata[7] 1 1 .names KEYBOARD.ram_adr[0] KEYBOARD.RAM.waddr[0] 1 1 .names KEYBOARD.ram_adr[1] KEYBOARD.RAM.waddr[1] 1 1 .names KEYBOARD.ram_adr[2] KEYBOARD.RAM.waddr[2] 1 1 .names KEYBOARD.ram_adr[3] KEYBOARD.RAM.waddr[3] 1 1 .names KEYBOARD.ram_adr[4] KEYBOARD.RAM.waddr[4] 1 1 .names KEYBOARD.ram_adr[5] KEYBOARD.RAM.waddr[5] 1 1 .names KEYBOARD.ram_adr[6] KEYBOARD.RAM.waddr[6] 1 1 .names KEYBOARD.ram_adr[7] KEYBOARD.RAM.waddr[7] 1 1 .names KEYBOARD.ram_adr[8] KEYBOARD.RAM.waddr[8] 1 1 .names KEYBOARD.temp[0] KEYBOARD.RAM.wdata[0] 1 1 .names KEYBOARD.temp[1] KEYBOARD.RAM.wdata[1] 1 1 .names KEYBOARD.temp[2] KEYBOARD.RAM.wdata[2] 1 1 .names KEYBOARD.temp[3] KEYBOARD.RAM.wdata[3] 1 1 .names KEYBOARD.temp[4] KEYBOARD.RAM.wdata[4] 1 1 .names KEYBOARD.temp[5] KEYBOARD.RAM.wdata[5] 1 1 .names KEYBOARD.temp[6] KEYBOARD.RAM.wdata[6] 1 1 .names KEYBOARD.temp[7] KEYBOARD.RAM.wdata[7] 1 1 .names KEYBOARD.ram_wr KEYBOARD.RAM.wen 1 1 .names CLK KEYBOARD.REPORT.clk 1 1 .names KEYBOARD.report_adress_rd[0] KEYBOARD.REPORT.raddr[0] 1 1 .names KEYBOARD.report_adress_rd[1] KEYBOARD.REPORT.raddr[1] 1 1 .names KEYBOARD.report_adress_rd[2] KEYBOARD.REPORT.raddr[2] 1 1 .names KEYBOARD.report_adress_rd[3] KEYBOARD.REPORT.raddr[3] 1 1 .names $false KEYBOARD.REPORT.raddr[4] 1 1 .names $false KEYBOARD.REPORT.raddr[5] 1 1 .names $false KEYBOARD.REPORT.raddr[6] 1 1 .names $false KEYBOARD.REPORT.raddr[7] 1 1 .names $false KEYBOARD.REPORT.raddr[8] 1 1 .names KEYBOARD.REPORT.r_data[0] KEYBOARD.REPORT.rdata[0] 1 1 .names KEYBOARD.REPORT.r_data[1] KEYBOARD.REPORT.rdata[1] 1 1 .names KEYBOARD.REPORT.r_data[2] KEYBOARD.REPORT.rdata[2] 1 1 .names KEYBOARD.REPORT.r_data[3] KEYBOARD.REPORT.rdata[3] 1 1 .names KEYBOARD.REPORT.r_data[4] KEYBOARD.REPORT.rdata[4] 1 1 .names KEYBOARD.REPORT.r_data[5] KEYBOARD.REPORT.rdata[5] 1 1 .names KEYBOARD.REPORT.r_data[6] KEYBOARD.REPORT.rdata[6] 1 1 .names KEYBOARD.REPORT.r_data[7] KEYBOARD.REPORT.rdata[7] 1 1 .names KEYBOARD.report_adress_rd[0] KEYBOARD.REPORT.waddr[0] 1 1 .names KEYBOARD.report_adress_rd[1] KEYBOARD.REPORT.waddr[1] 1 1 .names KEYBOARD.report_adress_rd[2] KEYBOARD.REPORT.waddr[2] 1 1 .names KEYBOARD.report_adress_rd[3] KEYBOARD.REPORT.waddr[3] 1 1 .names $false KEYBOARD.REPORT.waddr[4] 1 1 .names $false KEYBOARD.REPORT.waddr[5] 1 1 .names $false KEYBOARD.REPORT.waddr[6] 1 1 .names $false KEYBOARD.REPORT.waddr[7] 1 1 .names $false KEYBOARD.REPORT.waddr[8] 1 1 .names KEYBOARD.report_data_wr[0] KEYBOARD.REPORT.wdata[0] 1 1 .names KEYBOARD.report_data_wr[1] KEYBOARD.REPORT.wdata[1] 1 1 .names KEYBOARD.report_data_wr[2] KEYBOARD.REPORT.wdata[2] 1 1 .names KEYBOARD.report_data_wr[3] KEYBOARD.REPORT.wdata[3] 1 1 .names KEYBOARD.report_data_wr[4] KEYBOARD.REPORT.wdata[4] 1 1 .names KEYBOARD.report_data_wr[5] KEYBOARD.REPORT.wdata[5] 1 1 .names KEYBOARD.report_data_wr[6] KEYBOARD.REPORT.wdata[6] 1 1 .names KEYBOARD.report_data_wr[7] KEYBOARD.REPORT.wdata[7] 1 1 .names KEYBOARD.report_wr_en KEYBOARD.REPORT.wen 1 1 .names wr_cnt[0] KEYBOARD.REPORT_ADRESS[0] 1 1 .names wr_cnt[1] KEYBOARD.REPORT_ADRESS[1] 1 1 .names wr_cnt[2] KEYBOARD.REPORT_ADRESS[2] 1 1 .names wr_cnt[3] KEYBOARD.REPORT_ADRESS[3] 1 1 .names KEYBOARD.REPORT.r_data[0] KEYBOARD.REPORT_DATA[0] 1 1 .names KEYBOARD.REPORT.r_data[1] KEYBOARD.REPORT_DATA[1] 1 1 .names KEYBOARD.REPORT.r_data[2] KEYBOARD.REPORT_DATA[2] 1 1 .names KEYBOARD.REPORT.r_data[3] KEYBOARD.REPORT_DATA[3] 1 1 .names KEYBOARD.REPORT.r_data[4] KEYBOARD.REPORT_DATA[4] 1 1 .names KEYBOARD.REPORT.r_data[5] KEYBOARD.REPORT_DATA[5] 1 1 .names KEYBOARD.REPORT.r_data[6] KEYBOARD.REPORT_DATA[6] 1 1 .names KEYBOARD.REPORT.r_data[7] KEYBOARD.REPORT_DATA[7] 1 1 .names I2C.FLT_SCL.RESET KEYBOARD.RESET 1 1 .names KBD_ROWS[0] KEYBOARD.ROWS[0] 1 1 .names KBD_ROWS[1] KEYBOARD.ROWS[1] 1 1 .names KBD_ROWS[2] KEYBOARD.ROWS[2] 1 1 .names KBD_ROWS[3] KEYBOARD.ROWS[3] 1 1 .names KBD_ROWS[4] KEYBOARD.ROWS[4] 1 1 .names KBD_ROWS[5] KEYBOARD.ROWS[5] 1 1 .names KBD_ROWS[6] KEYBOARD.ROWS[6] 1 1 .names KBD_ROWS[7] KEYBOARD.ROWS[7] 1 1 .names KBD_ROWS[8] KEYBOARD.ROWS[8] 1 1 .names KBD_ROWS[9] KEYBOARD.ROWS[9] 1 1 .names KBD_ROWS[10] KEYBOARD.ROWS[10] 1 1 .names KBD_ROWS[11] KEYBOARD.ROWS[11] 1 1 .names KBD_ROWS[12] KEYBOARD.ROWS[12] 1 1 .names KBD_ROWS[13] KEYBOARD.ROWS[13] 1 1 .names KBD_ROWS[14] KEYBOARD.ROWS[14] 1 1 .names KBD_ROWS[15] KEYBOARD.ROWS[15] 1 1 .names KEYBOARD.row_time[5] KEYBOARD.kbd_code[0] 1 1 .names KEYBOARD.row_time[6] KEYBOARD.kbd_code[1] 1 1 .names KEYBOARD.row_time[7] KEYBOARD.kbd_code[2] 1 1 .names KEYBOARD.row_counter[0] KEYBOARD.kbd_code[3] 1 1 .names KEYBOARD.row_counter[1] KEYBOARD.kbd_code[4] 1 1 .names KEYBOARD.row_counter[2] KEYBOARD.kbd_code[5] 1 1 .names KEYBOARD.row_counter[3] KEYBOARD.kbd_code[6] 1 1 .names KEYBOARD.RAM.r_data[0] KEYBOARD.ram_rd[0] 1 1 .names KEYBOARD.RAM.r_data[1] KEYBOARD.ram_rd[1] 1 1 .names KEYBOARD.RAM.r_data[2] KEYBOARD.ram_rd[2] 1 1 .names KEYBOARD.RAM.r_data[3] KEYBOARD.ram_rd[3] 1 1 .names KEYBOARD.RAM.r_data[4] KEYBOARD.ram_rd[4] 1 1 .names KEYBOARD.RAM.r_data[5] KEYBOARD.ram_rd[5] 1 1 .names KEYBOARD.RAM.r_data[6] KEYBOARD.ram_rd[6] 1 1 .names KEYBOARD.RAM.r_data[7] KEYBOARD.ram_rd[7] 1 1 .names KEYBOARD.report_adress_rd[0] KEYBOARD.report_adress_wr[0] 1 1 .names KEYBOARD.report_adress_rd[1] KEYBOARD.report_adress_wr[1] 1 1 .names KEYBOARD.report_adress_rd[2] KEYBOARD.report_adress_wr[2] 1 1 .names KEYBOARD.report_adress_rd[3] KEYBOARD.report_adress_wr[3] 1 1 .names KEYBOARD.REPORT.r_data[0] KEYBOARD.report_data_rd[0] 1 1 .names KEYBOARD.REPORT.r_data[1] KEYBOARD.report_data_rd[1] 1 1 .names KEYBOARD.REPORT.r_data[2] KEYBOARD.report_data_rd[2] 1 1 .names KEYBOARD.REPORT.r_data[3] KEYBOARD.report_data_rd[3] 1 1 .names KEYBOARD.REPORT.r_data[4] KEYBOARD.report_data_rd[4] 1 1 .names KEYBOARD.REPORT.r_data[5] KEYBOARD.report_data_rd[5] 1 1 .names KEYBOARD.REPORT.r_data[6] KEYBOARD.report_data_rd[6] 1 1 .names KEYBOARD.REPORT.r_data[7] KEYBOARD.report_data_rd[7] 1 1 .names I2C_TRANS LED5 1 1 .names I2C_COUNTER[0] REPORT_DATA.raddr[0] 1 1 .names I2C_COUNTER[1] REPORT_DATA.raddr[1] 1 1 .names I2C_COUNTER[2] REPORT_DATA.raddr[2] 1 1 .names I2C_COUNTER[3] REPORT_DATA.raddr[3] 1 1 .names ring_rd[0] REPORT_DATA.raddr[4] 1 1 .names ring_rd[1] REPORT_DATA.raddr[5] 1 1 .names ring_rd[2] REPORT_DATA.raddr[6] 1 1 .names ring_rd[3] REPORT_DATA.raddr[7] 1 1 .names $false REPORT_DATA.raddr[8] 1 1 .names REPORT_DATA.r_data[0] REPORT_DATA.rdata[0] 1 1 .names REPORT_DATA.r_data[1] REPORT_DATA.rdata[1] 1 1 .names REPORT_DATA.r_data[2] REPORT_DATA.rdata[2] 1 1 .names REPORT_DATA.r_data[3] REPORT_DATA.rdata[3] 1 1 .names REPORT_DATA.r_data[4] REPORT_DATA.rdata[4] 1 1 .names REPORT_DATA.r_data[5] REPORT_DATA.rdata[5] 1 1 .names REPORT_DATA.r_data[6] REPORT_DATA.rdata[6] 1 1 .names REPORT_DATA.r_data[7] REPORT_DATA.rdata[7] 1 1 .names wr_cnt[0] REPORT_DATA.waddr[0] 1 1 .names wr_cnt[1] REPORT_DATA.waddr[1] 1 1 .names wr_cnt[2] REPORT_DATA.waddr[2] 1 1 .names wr_cnt[3] REPORT_DATA.waddr[3] 1 1 .names ring_wr[0] REPORT_DATA.waddr[4] 1 1 .names ring_wr[1] REPORT_DATA.waddr[5] 1 1 .names ring_wr[2] REPORT_DATA.waddr[6] 1 1 .names ring_wr[3] REPORT_DATA.waddr[7] 1 1 .names $false REPORT_DATA.waddr[8] 1 1 .names KEYBOARD.REPORT.r_data[0] REPORT_DATA.wdata[0] 1 1 .names KEYBOARD.REPORT.r_data[1] REPORT_DATA.wdata[1] 1 1 .names KEYBOARD.REPORT.r_data[2] REPORT_DATA.wdata[2] 1 1 .names KEYBOARD.REPORT.r_data[3] REPORT_DATA.wdata[3] 1 1 .names KEYBOARD.REPORT.r_data[4] REPORT_DATA.wdata[4] 1 1 .names KEYBOARD.REPORT.r_data[5] REPORT_DATA.wdata[5] 1 1 .names KEYBOARD.REPORT.r_data[6] REPORT_DATA.wdata[6] 1 1 .names KEYBOARD.REPORT.r_data[7] REPORT_DATA.wdata[7] 1 1 .names report_wr_en REPORT_DATA.wen 1 1 .names I2C.FLT_SCL.RESET RESET 1 1 .names CLK UART.CLK 1 1 .names I2C.FLT_SCL.RESET UART.RESET 1 1 .names UART.tx_activity UART.TX_ACTIVITY 1 1 .names UART_TX_DATA[0] UART.TX_BYTE[0] 1 1 .names UART_TX_DATA[1] UART.TX_BYTE[1] 1 1 .names UART_TX_DATA[2] UART.TX_BYTE[2] 1 1 .names UART_TX_DATA[3] UART.TX_BYTE[3] 1 1 .names UART_TX_DATA[4] UART.TX_BYTE[4] 1 1 .names UART_TX_DATA[5] UART.TX_BYTE[5] 1 1 .names UART_TX_DATA[6] UART.TX_BYTE[6] 1 1 .names UART_TX_DATA[7] UART.TX_BYTE[7] 1 1 .names COM_TX UART.TX_LINE 1 1 .names UART_WR UART.TX_SIGNAL 1 1 .names COM_TX UART.tx_line 1 1 .names UART.tx_activity UART_ACTIVE 1 1 .names COM_TX UART_TX_LINE 1 1 .names I2C_COUNTER[0] report_data_radr[0] 1 1 .names I2C_COUNTER[1] report_data_radr[1] 1 1 .names I2C_COUNTER[2] report_data_radr[2] 1 1 .names I2C_COUNTER[3] report_data_radr[3] 1 1 .names ring_rd[0] report_data_radr[4] 1 1 .names ring_rd[1] report_data_radr[5] 1 1 .names ring_rd[2] report_data_radr[6] 1 1 .names ring_rd[3] report_data_radr[7] 1 1 .names REPORT_DATA.r_data[0] report_data_rd[0] 1 1 .names REPORT_DATA.r_data[1] report_data_rd[1] 1 1 .names REPORT_DATA.r_data[2] report_data_rd[2] 1 1 .names REPORT_DATA.r_data[3] report_data_rd[3] 1 1 .names REPORT_DATA.r_data[4] report_data_rd[4] 1 1 .names REPORT_DATA.r_data[5] report_data_rd[5] 1 1 .names REPORT_DATA.r_data[6] report_data_rd[6] 1 1 .names REPORT_DATA.r_data[7] report_data_rd[7] 1 1 .names wr_cnt[0] report_data_wadr[0] 1 1 .names wr_cnt[1] report_data_wadr[1] 1 1 .names wr_cnt[2] report_data_wadr[2] 1 1 .names wr_cnt[3] report_data_wadr[3] 1 1 .names ring_wr[0] report_data_wadr[4] 1 1 .names ring_wr[1] report_data_wadr[5] 1 1 .names ring_wr[2] report_data_wadr[6] 1 1 .names ring_wr[3] report_data_wadr[7] 1 1 .names KEYBOARD.REPORT.r_data[0] report_data_wr[0] 1 1 .names KEYBOARD.REPORT.r_data[1] report_data_wr[1] 1 1 .names KEYBOARD.REPORT.r_data[2] report_data_wr[2] 1 1 .names KEYBOARD.REPORT.r_data[3] report_data_wr[3] 1 1 .names KEYBOARD.REPORT.r_data[4] report_data_wr[4] 1 1 .names KEYBOARD.REPORT.r_data[5] report_data_wr[5] 1 1 .names KEYBOARD.REPORT.r_data[6] report_data_wr[6] 1 1 .names KEYBOARD.REPORT.r_data[7] report_data_wr[7] 1 1 .end