# Generated by Yosys 0.5+ (git sha1 f13e387, gcc 5.3.1-8ubuntu2 -O2 -fstack-protector-strong -fPIC -Os) .model top .inputs CLK SCL SDA COM_RX KBD_COLUMNS[0] KBD_COLUMNS[1] KBD_COLUMNS[2] KBD_COLUMNS[3] KBD_COLUMNS[4] KBD_COLUMNS[5] KBD_COLUMNS[6] KBD_COLUMNS[7] KBD_ROWS[0] KBD_ROWS[1] KBD_ROWS[2] KBD_ROWS[3] KBD_ROWS[4] KBD_ROWS[5] KBD_ROWS[6] KBD_ROWS[7] KBD_ROWS[8] KBD_ROWS[9] KBD_ROWS[10] KBD_ROWS[11] KBD_ROWS[12] KBD_ROWS[13] KBD_ROWS[14] KBD_ROWS[15] .outputs LED1 LED2 LED3 LED4 LED5 SDA INTERRUPT COM_TX COM_DCD COM_DSR COM_RTS KBD_ROWS[0] KBD_ROWS[1] KBD_ROWS[2] KBD_ROWS[3] KBD_ROWS[4] KBD_ROWS[5] KBD_ROWS[6] KBD_ROWS[7] KBD_ROWS[8] KBD_ROWS[9] KBD_ROWS[10] KBD_ROWS[11] KBD_ROWS[12] KBD_ROWS[13] KBD_ROWS[14] KBD_ROWS[15] .names $false .names $true 1 .names $undef .gate SB_LUT4 I0=$abc$123635$n705 I1=$abc$123635$n700 I2=$abc$123635$n658 I3=$abc$123635$n710 O=$auto$rtlil.cc:1692:NotGate$123446 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000001011101 .gate SB_LUT4 I0=$abc$123635$n691 I1=$abc$123635$n685 I2=$abc$123635$n659 I3=$abc$123635$n696 O=$abc$123635$n658 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0101110100000000 .gate SB_LUT4 I0=KEYBOARD.is_pressed I1=$abc$123635$n660 I2=$abc$123635$n681 I3=$abc$123635$n677 O=$abc$123635$n659 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000001110000 .gate SB_LUT4 I0=$abc$123635$n673 I1=$abc$123635$n676 I2=$abc$123635$n661 I3=$abc$123635$n668_1 O=$abc$123635$n660 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1101110100001101 .gate SB_LUT4 I0=$abc$123635$n662 I1=$abc$123635$n665 I2=$abc$123635$n667 I3=$false O=$abc$123635$n661 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$123635$n663_1 I1=$abc$123635$n664 I2=KEYBOARD.row_time[8] I3=KEYBOARD.row_time[9] O=$abc$123635$n662 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000001000 .gate SB_LUT4 I0=KEYBOARD.row_time[12] I1=KEYBOARD.row_time[14] I2=KEYBOARD.row_time[15] I3=KEYBOARD.row_time[13] O=$abc$123635$n663_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=KEYBOARD.row_time[10] I1=KEYBOARD.row_time[11] I2=$false I3=$false O=$abc$123635$n664 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$123635$n666 I1=KEYBOARD.row_time[0] I2=KEYBOARD.row_time[1] I3=$false O=$abc$123635$n665 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00100000 .gate SB_LUT4 I0=KEYBOARD.row_time[2] I1=KEYBOARD.row_time[3] I2=$false I3=$false O=$abc$123635$n666 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0010 .gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[5] I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[7] O=$abc$123635$n667 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000100000 .gate SB_LUT4 I0=KEYBOARD.RAM.r_data[7] I1=KEYBOARD.temp[7] I2=$abc$123635$n669_1 I3=KEYBOARD.COLS_SHADOW[7] O=$abc$123635$n668_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1010110001010011 .gate SB_LUT4 I0=$abc$123635$n663_1 I1=$abc$123635$n670_1 I2=$abc$123635$n671_1 I3=$abc$123635$n672 O=$abc$123635$n669_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=KEYBOARD.row_time[8] I1=KEYBOARD.row_time[10] I2=KEYBOARD.row_time[9] I3=KEYBOARD.row_time[11] O=$abc$123635$n670_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[5] I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[7] O=$abc$123635$n671_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=KEYBOARD.row_time[2] I1=KEYBOARD.row_time[3] I2=KEYBOARD.row_time[0] I3=KEYBOARD.row_time[1] O=$abc$123635$n672 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0010000000000000 .gate SB_LUT4 I0=$abc$123635$n662 I1=$abc$123635$n666 I2=$abc$123635$n674 I3=$abc$123635$n675 O=$abc$123635$n673 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[5] I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[7] O=$abc$123635$n674 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000100 .gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[1] I2=$false I3=$false O=$abc$123635$n675 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 .gate SB_LUT4 I0=KEYBOARD.RAM.r_data[6] I1=KEYBOARD.temp[6] I2=$abc$123635$n669_1 I3=KEYBOARD.COLS_SHADOW[6] O=$abc$123635$n676 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1010110001010011 .gate SB_LUT4 I0=$abc$123635$n678 I1=$abc$123635$n671_1 I2=KEYBOARD.row_time[2] I3=KEYBOARD.row_time[3] O=$abc$123635$n677 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000001000 .gate SB_LUT4 I0=$abc$123635$n679 I1=KEYBOARD.row_time[0] I2=KEYBOARD.row_time[1] I3=$false O=$abc$123635$n678 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00100000 .gate SB_LUT4 I0=$abc$123635$n663_1 I1=$abc$123635$n680 I2=$false I3=$false O=$abc$123635$n679 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=KEYBOARD.row_time[8] I1=KEYBOARD.row_time[10] I2=KEYBOARD.row_time[9] I3=KEYBOARD.row_time[11] O=$abc$123635$n680 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0010000000000000 .gate SB_LUT4 I0=$abc$123635$n683 I1=$abc$123635$n684 I2=$abc$123635$n661 I3=$abc$123635$n682 O=$abc$123635$n681 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=KEYBOARD.temp[7] I1=KEYBOARD.RAM.r_data[7] I2=$abc$123635$n669_1 I3=KEYBOARD.COLS_SHADOW[7] O=$abc$123635$n682 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000011001010 .gate SB_LUT4 I0=KEYBOARD.temp[6] I1=KEYBOARD.RAM.r_data[6] I2=$abc$123635$n669_1 I3=KEYBOARD.COLS_SHADOW[6] O=$abc$123635$n683 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000011001010 .gate SB_LUT4 I0=$abc$123635$n662 I1=$abc$123635$n666 I2=$abc$123635$n674 I3=$abc$123635$n675 O=$abc$123635$n684 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=$abc$123635$n686 I1=$abc$123635$n677 I2=$abc$123635$n688 I3=$false O=$abc$123635$n685 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00001011 .gate SB_LUT4 I0=$abc$123635$n687 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[5] I3=$false O=$abc$123635$n686 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10001110 .gate SB_LUT4 I0=KEYBOARD.temp[5] I1=KEYBOARD.RAM.r_data[5] I2=$abc$123635$n669_1 I3=$false O=$abc$123635$n687 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$123635$n679 I1=$abc$123635$n689 I2=$abc$123635$n690 I3=$false O=$abc$123635$n688 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$123635$n675 I1=KEYBOARD.row_time[2] I2=KEYBOARD.row_time[3] I3=$false O=$abc$123635$n689 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000010 .gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[5] I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[7] O=$abc$123635$n690 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=$abc$123635$n692 I1=$abc$123635$n694 I2=$false I3=$false O=$abc$123635$n691 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$123635$n678 I1=$abc$123635$n693 I2=KEYBOARD.row_time[2] I3=KEYBOARD.row_time[3] O=$abc$123635$n692 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[5] I2=KEYBOARD.row_time[6] I3=KEYBOARD.row_time[7] O=$abc$123635$n693 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=$abc$123635$n695 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[4] I3=$abc$123635$n688 O=$abc$123635$n694 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000111000000000 .gate SB_LUT4 I0=KEYBOARD.temp[4] I1=KEYBOARD.RAM.r_data[4] I2=$abc$123635$n669_1 I3=$false O=$abc$123635$n695 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$123635$n698 I1=$abc$123635$n692 I2=$abc$123635$n697 I3=$false O=$abc$123635$n696 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00001011 .gate SB_LUT4 I0=$abc$123635$n678 I1=$abc$123635$n674 I2=KEYBOARD.row_time[2] I3=KEYBOARD.row_time[3] O=$abc$123635$n697 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000100000000000 .gate SB_LUT4 I0=$abc$123635$n699 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[3] I3=$false O=$abc$123635$n698 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10001110 .gate SB_LUT4 I0=KEYBOARD.temp[3] I1=KEYBOARD.RAM.r_data[3] I2=$abc$123635$n669_1 I3=$false O=$abc$123635$n699 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$123635$n701 I1=$abc$123635$n703 I2=$false I3=$false O=$abc$123635$n700 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$123635$n702 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[1] I3=$abc$123635$n697 O=$abc$123635$n701 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000111000000000 .gate SB_LUT4 I0=KEYBOARD.temp[1] I1=KEYBOARD.RAM.r_data[1] I2=$abc$123635$n669_1 I3=$false O=$abc$123635$n702 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$123635$n679 I1=$abc$123635$n704 I2=$abc$123635$n667 I3=$false O=$abc$123635$n703 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$123635$n675 I1=KEYBOARD.row_time[2] I2=KEYBOARD.row_time[3] I3=$false O=$abc$123635$n704 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$123635$n706 I1=$abc$123635$n708 I2=$false I3=$false O=$abc$123635$n705 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$123635$n707 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[2] I3=$abc$123635$n703 O=$abc$123635$n706 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000100000000 .gate SB_LUT4 I0=KEYBOARD.temp[2] I1=KEYBOARD.RAM.r_data[2] I2=$abc$123635$n669_1 I3=$false O=$abc$123635$n707 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$123635$n709 I1=$abc$123635$n663_1 I2=$abc$123635$n670_1 I3=$abc$123635$n671_1 O=$abc$123635$n708 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=$abc$123635$n675 I1=KEYBOARD.row_time[2] I2=KEYBOARD.row_time[3] I3=$false O=$abc$123635$n709 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00100000 .gate SB_LUT4 I0=$abc$123635$n711 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[0] I3=$abc$123635$n708 O=$abc$123635$n710 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000111000000000 .gate SB_LUT4 I0=KEYBOARD.temp[0] I1=KEYBOARD.RAM.r_data[0] I2=$abc$123635$n669_1 I3=$false O=$abc$123635$n711 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 .gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$false I3=$false O=$auto$rtlil.cc:1692:NotGate$123580 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1011 .gate SB_LUT4 I0=$abc$123635$n689 I1=$abc$123635$n714 I2=$abc$123635$n663_1 I3=$abc$123635$n715 O=$auto$rtlil.cc:1692:NotGate$123596 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=$abc$123635$n664 I1=KEYBOARD.row_time[4] I2=KEYBOARD.row_time[5] I3=$false O=$abc$123635$n714 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00100000 .gate SB_LUT4 I0=KEYBOARD.row_time[6] I1=KEYBOARD.row_time[7] I2=KEYBOARD.row_time[8] I3=KEYBOARD.row_time[9] O=$abc$123635$n715 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000100000000000 .gate SB_LUT4 I0=rststate[3] I1=rststate[2] I2=rststate[1] I3=rststate[0] O=RESET .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=$abc$123635$n718 I1=$abc$123635$n730 I2=$abc$123635$n732_1 I3=$abc$123635$n733 O=$auto$dff2dffe.cc:175:make_patterns_logic$100411 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000010000 .gate SB_LUT4 I0=$abc$123635$n719 I1=$abc$123635$n727 I2=$false I3=$false O=$abc$123635$n718 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0010 .gate SB_LUT4 I0=$abc$123635$n720 I1=$abc$123635$n726_1 I2=$false I3=$false O=$abc$123635$n719 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$123635$n721 I1=$abc$123635$n725_1 I2=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I3=$false O=$abc$123635$n720 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$123635$n722 I1=RESET I2=KEYBOARD.isr I3=last_isr O=$abc$123635$n721 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100000000000100 .gate SB_LUT4 I0=$abc$123635$n723 I1=$abc$123635$n724 I2=init_ram_cnt[7] I3=init_ram_cnt[5] O=$abc$123635$n722 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=init_ram_cnt[3] I1=init_ram_cnt[1] I2=init_ram_cnt[0] I3=init_ram_cnt[2] O=$abc$123635$n723 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000001000 .gate SB_LUT4 I0=init_ram_cnt[4] I1=init_ram_cnt[6] I2=$false I3=$false O=$abc$123635$n724 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 .gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=wr_cnt[2] I3=wr_cnt[3] O=$abc$123635$n725_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$false I3=$false O=$abc$123635$n726_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0010 .gate SB_LUT4 I0=$abc$123635$n728 I1=I2C.byte_counter[0] I2=$false I3=$false O=$abc$123635$n727 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0010 .gate SB_LUT4 I0=$abc$123635$n729_1 I1=I2C.byte_counter[2] I2=I2C.byte_counter[3] I3=I2C.byte_counter[1] O=$abc$123635$n728 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000001000000000 .gate SB_LUT4 I0=I2C.byte_counter[4] I1=I2C.byte_counter[5] I2=I2C.byte_counter[6] I3=I2C.byte_counter[7] O=$abc$123635$n729_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=I2C.is_read I1=$abc$123635$n731_1 I2=$abc$123635$n719 I3=$false O=$abc$123635$n730 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01110000 .gate SB_LUT4 I0=I2C_OUTPUT_TYPE[2] I1=I2C_OUTPUT_TYPE[1] I2=I2C_OUTPUT_TYPE[0] I3=$false O=$abc$123635$n731_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$123635$n720 I1=RESET I2=$false I3=$false O=$abc$123635$n732_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1011 .gate SB_LUT4 I0=$abc$123635$n721 I1=$abc$123635$n734_1 I2=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I3=$false O=$abc$123635$n733 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$123635$n725_1 I1=$abc$123635$n726_1 I2=$false I3=$false O=$abc$123635$n734_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0010 .gate SB_LUT4 I0=$abc$123635$n736 I1=$abc$123635$n720 I2=$abc$123635$n739 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$100674 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$auto$dff2dffe.cc:158:make_patterns_logic$99517 I1=$abc$123635$n738 I2=$false I3=$false O=$abc$123635$n736 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$123635$n722 I1=RESET I2=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I3=$false O=$auto$dff2dffe.cc:158:make_patterns_logic$99517 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10111111 .gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$false I3=$false O=$abc$123635$n738 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=RESET I1=$abc$123635$n722 I2=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I3=$false O=$abc$123635$n739 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01110101 .gate SB_LUT4 I0=$abc$123635$n743_1 I1=$abc$123635$n742 I2=$abc$123635$n741_1 I3=$abc$123635$n736 O=$auto$dff2dffe.cc:175:make_patterns_logic$100744 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000010100011 .gate SB_LUT4 I0=$abc$123635$n721 I1=$abc$123635$n725_1 I2=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I3=$false O=$abc$123635$n741_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00100000 .gate SB_LUT4 I0=$auto$dff2dffe.cc:158:make_patterns_logic$99517 I1=KEYBOARD.isr I2=last_isr I3=$abc$123635$n739 O=$abc$123635$n742 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1110101100000000 .gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=wr_cnt[2] I3=wr_cnt[3] O=$abc$123635$n743_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000010000000000 .gate SB_LUT4 I0=$abc$123635$n745 I1=$abc$123635$n730 I2=$abc$123635$n749 I3=$auto$simplemap.cc:250:simplemap_eqne$99680[1] O=$auto$dff2dffe.cc:175:make_patterns_logic$102697 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0010000000000000 .gate SB_LUT4 I0=$abc$123635$n747_1 I1=RESET I2=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I3=$abc$123635$n746 O=$abc$123635$n745 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1011111100000000 .gate SB_LUT4 I0=$abc$123635$n741_1 I1=$abc$123635$n736 I2=$false I3=$false O=$abc$123635$n746 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$123635$n722 I1=$abc$123635$n748 I2=$false I3=$false O=$abc$123635$n747_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 .gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$false I3=$false O=$abc$123635$n748 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0010 .gate SB_LUT4 I0=$abc$123635$n733 I1=RESET I2=$false I3=$false O=$abc$123635$n749 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$123635$n743_1 I1=$abc$123635$n742 I2=$abc$123635$n751 I3=$abc$123635$n741_1 O=$auto$dff2dffe.cc:175:make_patterns_logic$103374 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0101000000110000 .gate SB_LUT4 I0=$abc$123635$n738 I1=$abc$123635$n722 I2=RESET I3=$auto$simplemap.cc:250:simplemap_eqne$99680[1] O=$abc$123635$n751 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001111111111111 .gate SB_LUT4 I0=$abc$123635$n753 I1=$abc$123635$n719 I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$104178 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=I2C.is_read I1=$abc$123635$n762 I2=$abc$123635$n754 I3=$abc$123635$n766 O=$abc$123635$n753 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1011000000000000 .gate SB_LUT4 I0=$abc$123635$n755_1 I1=$abc$123635$n727 I2=$abc$123635$n764_1 I3=I2C.is_read O=$abc$123635$n754 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=$abc$123635$n763 I1=I2C.byte_counter[2] I2=I2C.byte_counter[3] I3=$abc$123635$n756 O=$abc$123635$n755_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1101111100000000 .gate SB_LUT4 I0=$abc$123635$n757 I1=$abc$123635$n761_1 I2=$abc$123635$n762 I3=I2C.is_read O=$abc$123635$n756 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000010 .gate SB_LUT4 I0=$abc$123635$n758_1 I1=$abc$123635$n759 I2=$false I3=$false O=$abc$123635$n757 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$123635$n728 I1=I2C.byte_counter[0] I2=$false I3=$false O=$abc$123635$n758_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$123635$n729_1 I1=$abc$123635$n760 I2=I2C.byte_counter[0] I3=I2C.byte_counter[1] O=$abc$123635$n759 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000001000 .gate SB_LUT4 I0=I2C.byte_counter[2] I1=I2C.byte_counter[3] I2=$false I3=$false O=$abc$123635$n760 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0010 .gate SB_LUT4 I0=$abc$123635$n729_1 I1=$abc$123635$n760 I2=I2C.byte_counter[1] I3=$false O=$abc$123635$n761_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$123635$n729_1 I1=$abc$123635$n760 I2=I2C.byte_counter[0] I3=I2C.byte_counter[1] O=$abc$123635$n762 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000010000000 .gate SB_LUT4 I0=$abc$123635$n729_1 I1=I2C.byte_counter[0] I2=I2C.byte_counter[1] I3=$false O=$abc$123635$n763 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00100000 .gate SB_LUT4 I0=$abc$123635$n763 I1=$abc$123635$n760 I2=$abc$123635$n765 I3=I2C.is_read O=$abc$123635$n764_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000001000 .gate SB_LUT4 I0=i2c_input_data_type[1] I1=i2c_input_data_type[0] I2=i2c_input_data_type[3] I3=i2c_input_data_type[2] O=$abc$123635$n765 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=I2C.is_read I1=$abc$123635$n767 I2=$abc$123635$n757 I3=$abc$123635$n768 O=$abc$123635$n766 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000010111010 .gate SB_LUT4 I0=$abc$123635$n761_1 I1=I2C.byte_counter[0] I2=$false I3=$false O=$abc$123635$n767 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$123635$n763 I1=$abc$123635$n769 I2=I2C.byte_counter[2] I3=I2C.byte_counter[3] O=$abc$123635$n768 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000001000000000 .gate SB_LUT4 I0=$abc$123635$n770_1 I1=i2c_input_data_type[0] I2=$false I3=$false O=$abc$123635$n769 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=i2c_input_data_type[1] I1=i2c_input_data_type[3] I2=i2c_input_data_type[2] I3=$false O=$abc$123635$n770_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00100000 .gate SB_LUT4 I0=$abc$123635$n772 I1=$abc$123635$n792 I2=$abc$123635$n801 I3=$abc$123635$n802 O=$auto$dff2dffe.cc:175:make_patterns_logic$106376 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000100 .gate SB_LUT4 I0=$abc$123635$n719 I1=$abc$123635$n773_1 I2=$abc$123635$n780_1 I3=$abc$123635$n787 O=$abc$123635$n772 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1010100010101010 .gate SB_LUT4 I0=$abc$123635$n776_1 I1=$abc$123635$n764_1 I2=$abc$123635$n774_1 I3=$abc$123635$n727 O=$abc$123635$n773_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000010001111 .gate SB_LUT4 I0=$abc$123635$n759 I1=$abc$123635$n775 I2=I2C.is_read I3=$abc$123635$n756 O=$abc$123635$n774_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000011111101 .gate SB_LUT4 I0=i2c_input_data_type[1] I1=i2c_input_data_type[0] I2=i2c_input_data_type[3] I3=i2c_input_data_type[2] O=$abc$123635$n775 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000010000000000 .gate SB_LUT4 I0=$abc$123635$n770_1 I1=$abc$123635$n777_1 I2=$abc$123635$n779_1 I3=$abc$123635$n757 O=$abc$123635$n776_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1101010100000000 .gate SB_LUT4 I0=$abc$123635$n778 I1=I2C.received_byte[5] I2=$false I3=$false O=$abc$123635$n777_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0010 .gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[7] I2=I2C.received_byte[6] I3=$false O=$abc$123635$n778 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000001 .gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[3] I2=I2C.received_byte[1] I3=I2C.received_byte[2] O=$abc$123635$n779_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001000000000000 .gate SB_LUT4 I0=$abc$123635$n775 I1=$abc$123635$n784 I2=$abc$123635$n786 I3=$abc$123635$n781 O=$abc$123635$n780_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000110100000000 .gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[1] I2=$abc$123635$n783_1 I3=$abc$123635$n782_1 O=$abc$123635$n781 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100111100000000 .gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[1] I2=$abc$123635$n769 I3=$abc$123635$n762 O=$abc$123635$n782_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000111100000000 .gate SB_LUT4 I0=$abc$123635$n770_1 I1=i2c_input_data_type[0] I2=$false I3=$false O=$abc$123635$n783_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0010 .gate SB_LUT4 I0=$abc$123635$n777_1 I1=$abc$123635$n785_1 I2=$false I3=$false O=$abc$123635$n784 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[3] I2=I2C.received_byte[1] I3=I2C.received_byte[2] O=$abc$123635$n785_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000010 .gate SB_LUT4 I0=$abc$123635$n770_1 I1=$abc$123635$n777_1 I2=I2C.received_byte[3] I3=I2C.received_byte[2] O=$abc$123635$n786 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1010101010100010 .gate SB_LUT4 I0=$abc$123635$n790 I1=$abc$123635$n767 I2=$abc$123635$n788 I3=$abc$123635$n791 O=$abc$123635$n787 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000001011 .gate SB_LUT4 I0=$abc$123635$n758_1 I1=$abc$123635$n777_1 I2=$abc$123635$n789 I3=I2C.is_read O=$abc$123635$n788 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000010000000 .gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[3] I2=I2C.received_byte[1] I3=I2C.received_byte[2] O=$abc$123635$n789 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=$abc$123635$n789 I1=$abc$123635$n777_1 I2=$abc$123635$n770_1 I3=$false O=$abc$123635$n790 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01110000 .gate SB_LUT4 I0=$abc$123635$n763 I1=$abc$123635$n760 I2=$abc$123635$n765 I3=I2C.is_read O=$abc$123635$n791 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000010000000 .gate SB_LUT4 I0=I2C.is_read I1=$abc$123635$n793 I2=$abc$123635$n720 I3=$false O=$abc$123635$n792 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11010000 .gate SB_LUT4 I0=$abc$123635$n719 I1=$auto$dff2dffe.cc:175:make_patterns_logic$99418 I2=$false I3=$false O=$abc$123635$n793 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$123635$n795 I1=$abc$123635$n800 I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$99418 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$123635$n721 I1=$abc$123635$n796_1 I2=$auto$simplemap.cc:250:simplemap_eqne$98030[7] I3=$abc$123635$n726_1 O=$abc$123635$n795 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000001000 .gate SB_LUT4 I0=$abc$123635$n797 I1=$abc$123635$n725_1 I2=$false I3=$false O=$abc$123635$n796_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$123580 I1=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I2=$false I3=$false O=$abc$123635$n797 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=$false I3=$false O=$auto$simplemap.cc:250:simplemap_eqne$98030[7] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0010 .gate SB_LUT4 I0=I2C.i2c_state_machine I1=I2C.i2c_start_latency I2=$false I3=$false O=I2C_TRANS .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=$false I3=$false O=$abc$123635$n800 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$123635$n795 I1=$abc$123635$n800 I2=$false I3=$false O=$abc$123635$n801 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0010 .gate SB_LUT4 I0=$abc$123635$n720 I1=$auto$rtlil.cc:1692:NotGate$123580 I2=$false I3=$false O=$abc$123635$n802 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0010 .gate SB_LUT4 I0=$abc$123635$n741_1 I1=$abc$123635$n742 I2=$abc$123635$n733 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$106593 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000100 .gate SB_LUT4 I0=$auto$dff2dffe.cc:175:make_patterns_logic$109998 I1=$abc$123635$n806 I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$107370 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$123635$n719 I1=$abc$123635$n802 I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$109998 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1110 .gate SB_LUT4 I0=$abc$123635$n746 I1=$abc$123635$n801 I2=$abc$123635$n807 I3=$abc$123635$n739 O=$abc$123635$n806 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000001000000000 .gate SB_LUT4 I0=$abc$123635$n721 I1=$abc$123635$n808 I2=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I3=$false O=$abc$123635$n807 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$123635$n734_1 I1=$auto$simplemap.cc:250:simplemap_eqne$98030[7] I2=$auto$rtlil.cc:1692:NotGate$123580 I3=$false O=$abc$123635$n808 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$auto$dff2dffe.cc:175:make_patterns_logic$99418 I1=$abc$123635$n810 I2=$abc$123635$n826 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$109317 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11010000 .gate SB_LUT4 I0=$abc$123635$n811 I1=$abc$123635$n824 I2=$abc$123635$n825 I3=I2C.is_read O=$abc$123635$n810 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000010000010 .gate SB_LUT4 I0=$abc$123635$n812_1 I1=i2c_input_data_type[3] I2=$false I3=$false O=$abc$123635$n811 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0010 .gate SB_LUT4 I0=$abc$123635$n813 I1=i2c_input_data_type[2] I2=$false I3=$false O=$abc$123635$n812_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$123635$n814 I1=$abc$123635$n819_1 I2=$false I3=$false O=$abc$123635$n813 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$123635$n817 I1=$abc$123635$n818 I2=$abc$123635$n769 I3=$abc$123635$n815 O=$abc$123635$n814 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000010001111 .gate SB_LUT4 I0=$abc$123635$n775 I1=$abc$123635$n816 I2=I2C_INPUT_LEN[0] I3=I2C_INPUT_LEN[1] O=$abc$123635$n815 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1010101010100010 .gate SB_LUT4 I0=$abc$123635$n817 I1=I2C_INPUT_LEN[2] I2=I2C_INPUT_LEN[3] I3=$false O=$abc$123635$n816 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00001000 .gate SB_LUT4 I0=I2C_INPUT_LEN[4] I1=I2C_INPUT_LEN[5] I2=I2C_INPUT_LEN[6] I3=I2C_INPUT_LEN[7] O=$abc$123635$n817 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=I2C_INPUT_LEN[3] I2=I2C_INPUT_LEN[0] I3=I2C_INPUT_LEN[1] O=$abc$123635$n818 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000001000000 .gate SB_LUT4 I0=$abc$123635$n765 I1=$abc$123635$n821 I2=$abc$123635$n822 I3=$abc$123635$n820 O=$abc$123635$n819_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000001101 .gate SB_LUT4 I0=$abc$123635$n816 I1=I2C_INPUT_LEN[0] I2=I2C_INPUT_LEN[1] I3=$abc$123635$n783_1 O=$abc$123635$n820 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1101111100000000 .gate SB_LUT4 I0=$abc$123635$n816 I1=I2C_INPUT_LEN[0] I2=I2C_INPUT_LEN[1] I3=$false O=$abc$123635$n821 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00001000 .gate SB_LUT4 I0=$abc$123635$n823_1 I1=$abc$123635$n817 I2=$abc$123635$n765 I3=$auto$alumacc.cc:484:replace_alu$76232[3] O=$abc$123635$n822 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011100000000 .gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=I2C_INPUT_LEN[3] I2=I2C_INPUT_LEN[0] I3=I2C_INPUT_LEN[1] O=$abc$123635$n823_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=$abc$123635$n813 I1=i2c_input_data_type[0] I2=$false I3=$false O=$abc$123635$n824 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$123635$n813 I1=i2c_input_data_type[1] I2=$false I3=$false O=$abc$123635$n825 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$123635$n827 I1=$abc$123635$n801 I2=$abc$123635$n742 I3=$false O=$abc$123635$n826 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00100000 .gate SB_LUT4 I0=$abc$123635$n719 I1=$abc$123635$n802 I2=$abc$123635$n741_1 I3=$abc$123635$n807 O=$abc$123635$n827 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=$abc$123635$n749 I1=$abc$123635$n802 I2=$abc$123635$n829 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$110152 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000001 .gate SB_LUT4 I0=$abc$123635$n830 I1=$abc$123635$n800 I2=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I3=$false O=$abc$123635$n829 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00100000 .gate SB_LUT4 I0=$abc$123635$n721 I1=$abc$123635$n734_1 I2=$auto$simplemap.cc:250:simplemap_eqne$98030[7] I3=$auto$rtlil.cc:1692:NotGate$123580 O=$abc$123635$n830 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000100000000000 .gate SB_LUT4 I0=$abc$123635$n829 I1=UART.tx_activity I2=last_uart_active I3=$abc$123635$n832 O=$auto$dff2dffe.cc:175:make_patterns_logic$110365 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000001111101 .gate SB_LUT4 I0=RESET I1=$abc$123635$n800 I2=$abc$123635$n830 I3=$auto$simplemap.cc:250:simplemap_eqne$99680[1] O=$abc$123635$n832 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000101010101010 .gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I1=$abc$123635$n721 I2=$abc$123635$n739 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$110418 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01110000 .gate SB_LUT4 I0=$auto$dff2dffe.cc:175:make_patterns_logic$109998 I1=$abc$123635$n732_1 I2=$abc$123635$n835 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$110597 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000100 .gate SB_LUT4 I0=$abc$123635$n800 I1=UART.tx_activity I2=last_uart_active I3=$abc$123635$n795 O=$abc$123635$n835 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1110111100000000 .gate SB_LUT4 I0=$abc$123635$n837 I1=$abc$123635$n842 I2=$abc$123635$n846 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$110669 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$123635$n838 I1=$auto$simplemap.cc:250:simplemap_eqne$79651[0] I2=$false I3=$false O=$abc$123635$n837 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0010 .gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76299[0] I1=$techmap\I2C.$procmux$31037_Y[1] I2=$false I3=$false O=$abc$123635$n838 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0110 .gate SB_LUT4 I0=$techmap\I2C.$procmux$30991_Y I1=I2C.i2c_bit_counter[0] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76299[0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=I2C.SDA_LAST I3=$false O=$techmap\I2C.$procmux$30991_Y .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11011111 .gate SB_LUT4 I0=$techmap\I2C.$procmux$30991_Y I1=I2C.i2c_bit_counter[1] I2=$false I3=$false O=$techmap\I2C.$procmux$31037_Y[1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$123635$n843 I1=$abc$123635$n844 I2=$abc$123635$n845 I3=$auto$alumacc.cc:484:replace_alu$76209[3] O=$abc$123635$n842 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000010000 .gate SB_LUT4 I0=I2C.is_read I1=$techmap\I2C.$procmux$30991_Y I2=I2C.i2c_state_machine I3=I2C.i2c_start_latency O=$abc$123635$n843 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1010101000101010 .gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=I2C.SDA_LAST I3=I2C.i2c_state_machine O=$abc$123635$n844 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000100011011111 .gate SB_LUT4 I0=I2C.SCL_LAST I1=I2C.FLT_SCL.out I2=$false I3=$false O=$abc$123635$n845 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$79429[2] I1=$auto$simplemap.cc:250:simplemap_eqne$79726[3] I2=$auto$simplemap.cc:250:simplemap_eqne$79726[4] I3=$auto$simplemap.cc:309:simplemap_lut$88206[1] O=$abc$123635$n846 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=$abc$123635$n848 I1=$abc$123635$n838 I2=$auto$simplemap.cc:250:simplemap_eqne$79651[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$110736 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$123635$n842 I1=$abc$123635$n846 I2=$false I3=$false O=$abc$123635$n848 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$123635$n848 I1=$abc$123635$n838 I2=$auto$simplemap.cc:250:simplemap_eqne$79651[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$110803 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000010 .gate SB_LUT4 I0=$abc$123635$n848 I1=$abc$123635$n838 I2=$auto$simplemap.cc:250:simplemap_eqne$79651[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$110870 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00100000 .gate SB_LUT4 I0=$abc$123635$n837 I1=$abc$123635$n842 I2=$abc$123635$n852 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$110937 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$79429[2] I1=$auto$simplemap.cc:250:simplemap_eqne$79726[3] I2=$auto$simplemap.cc:250:simplemap_eqne$79726[4] I3=$auto$simplemap.cc:309:simplemap_lut$88206[1] O=$abc$123635$n852 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000001000000000 .gate SB_LUT4 I0=$abc$123635$n854 I1=$abc$123635$n838 I2=$auto$simplemap.cc:250:simplemap_eqne$79651[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$111004 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$123635$n842 I1=$abc$123635$n852 I2=$false I3=$false O=$abc$123635$n854 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$123635$n854 I1=$abc$123635$n838 I2=$auto$simplemap.cc:250:simplemap_eqne$79651[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$111071 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000010 .gate SB_LUT4 I0=$abc$123635$n854 I1=$abc$123635$n838 I2=$auto$simplemap.cc:250:simplemap_eqne$79651[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$111138 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00100000 .gate SB_LUT4 I0=I2C.i2c_state_machine I1=I2C.i2c_start_latency I2=$techmap\I2C.$procmux$30991_Y I3=$false O=$auto$dff2dffe.cc:158:make_patterns_logic$111147 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00101111 .gate SB_LUT4 I0=UART.TX_sig_last I1=UART_WR I2=RESET I3=UART.tx_activity O=$auto$dff2dffe.cc:158:make_patterns_logic$111225 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111111101001111 .gate SB_LUT4 I0=UART.tx_activity I1=$abc$123635$n860 I2=RESET I3=$auto$dff2dffe.cc:158:make_patterns_logic$111225 O=$auto$dff2dffe.cc:175:make_patterns_logic$111206 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1101000000000000 .gate SB_LUT4 I0=$abc$123635$n863 I1=UART.tx_bit_counter[2] I2=UART.tx_bit_counter[3] I3=$abc$123635$n861 O=$abc$123635$n860 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111110100000000 .gate SB_LUT4 I0=$abc$123635$n862 I1=UART.tx_clk_counter[0] I2=UART.tx_clk_counter[1] I3=$false O=$abc$123635$n861 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00101000 .gate SB_LUT4 I0=$techmap\UART.$sub$uart.v:32$583_Y[0] I1=$techmap\UART.$sub$uart.v:32$583_Y[2] I2=$techmap\UART.$sub$uart.v:32$583_Y[3] I3=$false O=$abc$123635$n862 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000001 .gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=$false I3=$false O=$abc$123635$n863 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$123635$n905 I1=$abc$123635$n899_1 I2=$abc$123635$n898 I3=$abc$123635$n865 O=$auto$dff2dffe.cc:175:make_patterns_logic$111710 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1010101000110000 .gate SB_LUT4 I0=$abc$123635$n866 I1=$abc$123635$n889 I2=$false I3=$false O=$abc$123635$n865 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$123446 I1=$abc$123635$n867 I2=$abc$123635$n883 I3=$false O=$abc$123635$n866 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$123635$n868 I1=RESET I2=$false I3=$false O=$abc$123635$n867 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$123635$n879 I1=$auto$dff2dffe.cc:175:make_patterns_logic$120653 I2=$abc$123635$n874 I3=$false O=$abc$123635$n868 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01110000 .gate SB_LUT4 I0=$auto$simplemap.cc:127:simplemap_reduce$120655 I1=KEYBOARD.init_ram_cnt[8] I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$120653 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$123635$n871 I1=RESET I2=$false I3=$false O=$auto$simplemap.cc:127:simplemap_reduce$120655 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$123635$n872 I1=$abc$123635$n873 I2=KEYBOARD.init_ram_cnt[8] I3=KEYBOARD.init_ram_cnt[0] O=$abc$123635$n871 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000010000000 .gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[5] I1=KEYBOARD.init_ram_cnt[6] I2=KEYBOARD.init_ram_cnt[7] I3=$false O=$abc$123635$n872 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000001 .gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[1] I1=KEYBOARD.init_ram_cnt[2] I2=KEYBOARD.init_ram_cnt[3] I3=KEYBOARD.init_ram_cnt[4] O=$abc$123635$n873 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=$abc$123635$n882 I1=$abc$123635$n879 I2=$abc$123635$n875_1 I3=RESET O=$abc$123635$n874 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000101011111111 .gate SB_LUT4 I0=$abc$123635$n878 I1=$abc$123635$n876 I2=$auto$alumacc.cc:484:replace_alu$76186[7] I3=$auto$alumacc.cc:484:replace_alu$76198[7] O=$abc$123635$n875_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011100000000 .gate SB_LUT4 I0=$abc$123635$n877 I1=KEYBOARD.kbd_code_hid[7] I2=KEYBOARD.kbd_code_hid[6] I3=$false O=$abc$123635$n876 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.kbd_code_hid[3] I2=KEYBOARD.kbd_code_hid[5] I3=$false O=$abc$123635$n877 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00001000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$123635$n878 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$123635$n880 I1=KEYBOARD.kbd_code_hid[4] I2=KEYBOARD.kbd_code_hid[5] I3=$false O=$abc$123635$n879 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000010 .gate SB_LUT4 I0=$abc$123635$n881 I1=KEYBOARD.kbd_code_hid[7] I2=KEYBOARD.kbd_code_hid[6] I3=KEYBOARD.kbd_code_hid[3] O=$abc$123635$n880 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000010 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$123635$n881 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000001 .gate SB_LUT4 I0=$abc$123635$n871 I1=KEYBOARD.init_ram_cnt[8] I2=$false I3=$false O=$abc$123635$n882 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=KEYBOARD.report[2][3] I1=$abc$123635$n887 I2=$abc$123635$n884 I3=$false O=$abc$123635$n883 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00001011 .gate SB_LUT4 I0=$abc$123635$n885 I1=$abc$123635$n886 I2=$false I3=$false O=$abc$123635$n884 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=KEYBOARD.report[1][4] I1=KEYBOARD.report[1][5] I2=KEYBOARD.report[1][6] I3=KEYBOARD.report[1][7] O=$abc$123635$n885 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=KEYBOARD.report[1][0] I1=KEYBOARD.report[1][1] I2=KEYBOARD.report[1][2] I3=KEYBOARD.report[1][3] O=$abc$123635$n886 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=$abc$123635$n888 I1=KEYBOARD.report[2][0] I2=KEYBOARD.report[2][1] I3=KEYBOARD.report[2][2] O=$abc$123635$n887 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000010 .gate SB_LUT4 I0=KEYBOARD.report[2][4] I1=KEYBOARD.report[2][5] I2=KEYBOARD.report[2][6] I3=KEYBOARD.report[2][7] O=$abc$123635$n888 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=$abc$123635$n897 I1=$abc$123635$n896 I2=$abc$123635$n890 I3=$abc$123635$n893 O=$abc$123635$n889 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000111 .gate SB_LUT4 I0=$abc$123635$n891 I1=$abc$123635$n892 I2=$false I3=$false O=$abc$123635$n890 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=KEYBOARD.report[4][4] I1=KEYBOARD.report[4][5] I2=KEYBOARD.report[4][6] I3=KEYBOARD.report[4][7] O=$abc$123635$n891 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=KEYBOARD.report[4][0] I1=KEYBOARD.report[4][1] I2=KEYBOARD.report[4][2] I3=KEYBOARD.report[4][3] O=$abc$123635$n892 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=$abc$123635$n894 I1=$abc$123635$n895 I2=$false I3=$false O=$abc$123635$n893 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=KEYBOARD.report[3][4] I1=KEYBOARD.report[3][5] I2=KEYBOARD.report[3][6] I3=KEYBOARD.report[3][7] O=$abc$123635$n894 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=KEYBOARD.report[3][0] I1=KEYBOARD.report[3][1] I2=KEYBOARD.report[3][2] I3=KEYBOARD.report[3][3] O=$abc$123635$n895 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=KEYBOARD.report[5][4] I1=KEYBOARD.report[5][5] I2=KEYBOARD.report[5][6] I3=KEYBOARD.report[5][7] O=$abc$123635$n896 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=KEYBOARD.report[5][0] I1=KEYBOARD.report[5][1] I2=KEYBOARD.report[5][2] I3=KEYBOARD.report[5][3] O=$abc$123635$n897 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$123446 I1=$abc$123635$n867 I2=$false I3=$false O=$abc$123635$n898 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$123635$n904 I1=$abc$123635$n900_1 I2=$abc$123635$n867 I3=$false O=$abc$123635$n899_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01110000 .gate SB_LUT4 I0=$abc$123635$n901 I1=$abc$123635$n902 I2=$abc$123635$n903 I3=$false O=$abc$123635$n900_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.kbd_code_hid[5] I2=KEYBOARD.report[6][4] I3=KEYBOARD.report[6][5] O=$abc$123635$n901 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000010000100001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.report[6][2] I3=KEYBOARD.report[6][3] O=$abc$123635$n902 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000001001000001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.report[6][0] I3=KEYBOARD.report[6][1] O=$abc$123635$n903 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000001001000001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.report[6][6] I3=KEYBOARD.report[6][7] O=$abc$123635$n904 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000001001000001 .gate SB_LUT4 I0=$abc$123635$n906 I1=$abc$123635$n907_1 I2=$false I3=$false O=$abc$123635$n905 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=KEYBOARD.report[6][4] I1=KEYBOARD.report[6][5] I2=KEYBOARD.report[6][6] I3=KEYBOARD.report[6][7] O=$abc$123635$n906 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=KEYBOARD.report[6][0] I1=KEYBOARD.report[6][1] I2=KEYBOARD.report[6][2] I3=KEYBOARD.report[6][3] O=$abc$123635$n907_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=$abc$123635$n865 I1=$abc$123635$n909 I2=$abc$123635$n910 I3=$abc$123635$n916 O=$auto$dff2dffe.cc:175:make_patterns_logic$113720 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000010000000000 .gate SB_LUT4 I0=$abc$123635$n893 I1=$abc$123635$n866 I2=$abc$123635$n868 I3=$false O=$abc$123635$n909 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01110000 .gate SB_LUT4 I0=$abc$123635$n898 I1=$abc$123635$n911 I2=$false I3=$false O=$abc$123635$n910 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0010 .gate SB_LUT4 I0=$abc$123635$n912 I1=$abc$123635$n913 I2=$abc$123635$n914 I3=$abc$123635$n915 O=$abc$123635$n911 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.report[5][0] I3=KEYBOARD.report[5][1] O=$abc$123635$n912 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000001001000001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.kbd_code_hid[5] I2=KEYBOARD.report[5][4] I3=KEYBOARD.report[5][5] O=$abc$123635$n913 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000010000100001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.report[5][2] I3=KEYBOARD.report[5][3] O=$abc$123635$n914 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000001001000001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.report[5][6] I3=KEYBOARD.report[5][7] O=$abc$123635$n915 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000001001000001 .gate SB_LUT4 I0=$abc$123635$n917 I1=$abc$123635$n866 I2=$abc$123635$n890 I3=$abc$123635$n893 O=$abc$123635$n916 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0101010100010101 .gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$123446 I1=$abc$123635$n867 I2=$abc$123635$n883 I3=$false O=$abc$123635$n917 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000100 .gate SB_LUT4 I0=$abc$123635$n919 I1=$abc$123635$n909 I2=$abc$123635$n920 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$115418 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$123635$n866 I1=$abc$123635$n890 I2=$abc$123635$n893 I3=$false O=$abc$123635$n919 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000010 .gate SB_LUT4 I0=$abc$123635$n921 I1=$abc$123635$n898 I2=$abc$123635$n917 I3=$false O=$abc$123635$n920 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00001011 .gate SB_LUT4 I0=$abc$123635$n922 I1=$abc$123635$n923 I2=$abc$123635$n924 I3=$abc$123635$n925 O=$abc$123635$n921 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.report[4][0] I3=KEYBOARD.report[4][1] O=$abc$123635$n922 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000001001000001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.kbd_code_hid[5] I2=KEYBOARD.report[4][4] I3=KEYBOARD.report[4][5] O=$abc$123635$n923 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000010000100001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.report[4][2] I3=KEYBOARD.report[4][3] O=$abc$123635$n924 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000001001000001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.report[4][6] I3=KEYBOARD.report[4][7] O=$abc$123635$n925 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000001001000001 .gate SB_LUT4 I0=$abc$123635$n927 I1=$abc$123635$n928 I2=$abc$123635$n917 I3=$abc$123635$n868 O=$auto$dff2dffe.cc:175:make_patterns_logic$116870 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100000000 .gate SB_LUT4 I0=$abc$123635$n866 I1=$abc$123635$n893 I2=$false I3=$false O=$abc$123635$n927 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0010 .gate SB_LUT4 I0=$abc$123635$n898 I1=$abc$123635$n929 I2=$false I3=$false O=$abc$123635$n928 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0010 .gate SB_LUT4 I0=$abc$123635$n930 I1=$abc$123635$n931 I2=$abc$123635$n932 I3=$abc$123635$n933 O=$abc$123635$n929 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.report[3][0] I3=KEYBOARD.report[3][1] O=$abc$123635$n930 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000001001000001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.kbd_code_hid[5] I2=KEYBOARD.report[3][4] I3=KEYBOARD.report[3][5] O=$abc$123635$n931 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000010000100001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.report[3][2] I3=KEYBOARD.report[3][3] O=$abc$123635$n932 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000001001000001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.report[3][6] I3=KEYBOARD.report[3][7] O=$abc$123635$n933 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000001001000001 .gate SB_LUT4 I0=$abc$123635$n866 I1=$abc$123635$n935 I2=$abc$123635$n868 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$118084 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$123635$n936 I1=$abc$123635$n884 I2=$auto$rtlil.cc:1692:NotGate$123446 I3=$abc$123635$n867 O=$abc$123635$n935 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1010001111111111 .gate SB_LUT4 I0=$abc$123635$n937 I1=$abc$123635$n938 I2=$abc$123635$n939 I3=$abc$123635$n940 O=$abc$123635$n936 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.report[2][0] I3=KEYBOARD.report[2][1] O=$abc$123635$n937 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000001001000001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.kbd_code_hid[5] I2=KEYBOARD.report[2][4] I3=KEYBOARD.report[2][5] O=$abc$123635$n938 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000010000100001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.report[2][2] I3=KEYBOARD.report[2][3] O=$abc$123635$n939 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000001001000001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.report[2][6] I3=KEYBOARD.report[2][7] O=$abc$123635$n940 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000001001000001 .gate SB_LUT4 I0=$abc$123635$n867 I1=$abc$123635$n942 I2=$abc$123635$n948 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$119085 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01110000 .gate SB_LUT4 I0=$abc$123635$n947 I1=$abc$123635$n943 I2=$auto$rtlil.cc:1692:NotGate$123446 I3=$false O=$abc$123635$n942 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01110000 .gate SB_LUT4 I0=$abc$123635$n944 I1=$abc$123635$n945 I2=$abc$123635$n946 I3=$false O=$abc$123635$n943 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.kbd_code_hid[5] I2=KEYBOARD.report[1][4] I3=KEYBOARD.report[1][5] O=$abc$123635$n944 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000010000100001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.report[1][2] I3=KEYBOARD.report[1][3] O=$abc$123635$n945 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000001001000001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.report[1][0] I3=KEYBOARD.report[1][1] O=$abc$123635$n946 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000001001000001 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[7] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.report[1][6] I3=KEYBOARD.report[1][7] O=$abc$123635$n947 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000001001000001 .gate SB_LUT4 I0=$abc$123635$n868 I1=$abc$123635$n867 I2=$auto$rtlil.cc:1692:NotGate$123446 I3=$abc$123635$n884 O=$abc$123635$n948 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1010101010100010 .gate SB_LUT4 I0=RESET I1=$abc$123635$n879 I2=$abc$123635$n882 I3=$abc$123635$n875_1 O=$auto$dff2dffe.cc:175:make_patterns_logic$119875 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111010101010101 .gate SB_LUT4 I0=$abc$123635$n882 I1=$auto$rtlil.cc:1692:NotGate$123596 I2=$auto$simplemap.cc:127:simplemap_reduce$120655 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$120327 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11010000 .gate SB_LUT4 I0=RESET I1=$abc$123635$n882 I2=$abc$123635$n952 I3=$auto$rtlil.cc:1692:NotGate$123596 O=$auto$dff2dffe.cc:175:make_patterns_logic$120622 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1010101010100010 .gate SB_LUT4 I0=$abc$123635$n662 I1=$abc$123635$n667 I2=$abc$123635$n672 I3=$false O=$abc$123635$n952 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$auto$dff2dffe.cc:175:make_patterns_logic$120653 I1=$auto$rtlil.cc:1692:NotGate$123596 I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$120964 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$123635$n874 I1=$abc$123635$n955 I2=$abc$123635$n942 I3=$abc$123635$n929 O=$auto$dff2dffe.cc:175:make_patterns_logic$121761 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1010101000101010 .gate SB_LUT4 I0=$abc$123635$n899_1 I1=$abc$123635$n911 I2=$abc$123635$n921 I3=$abc$123635$n936 O=$abc$123635$n955 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000010 .gate SB_LUT4 I0=I2C.wr I1=I2C_HID_DESC.last_rd_request I2=RESET I3=$false O=$auto$dff2dffe.cc:158:make_patterns_logic$122712 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00101111 .gate SB_LUT4 I0=$techmap\I2C.FLT_SDA.$procmux$1066_Y[0] I1=$abc$123635$n960 I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$122811 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$123635$n959 I1=$auto$wreduce.cc:310:run$76138[0] I2=$false I3=$false O=$techmap\I2C.FLT_SDA.$procmux$1066_Y[0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1110 .gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=I2C.FLT_SDA.counter[2] I3=$false O=$abc$123635$n959 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000001 .gate SB_LUT4 I0=$techmap\I2C.FLT_SDA.$procmux$1066_Y[1] I1=$auto$wreduce.cc:310:run$76138[2] I2=I2C.FLT_SDA.out I3=I2C.SDAF O=$abc$123635$n960 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100010000 .gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=$false I3=$false O=$techmap\I2C.FLT_SDA.$procmux$1066_Y[1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1001 .gate SB_LUT4 I0=$abc$123635$n959 I1=I2C.FLT_SDA.out I2=I2C.SDAF I3=$false O=$auto$dff2dffe.cc:158:make_patterns_logic$122826 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01111101 .gate SB_LUT4 I0=$techmap\I2C.FLT_SCL.$procmux$1066_Y[0] I1=$abc$123635$n966 I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$122871 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$123635$n965 I1=$auto$wreduce.cc:310:run$76137[0] I2=$false I3=$false O=$techmap\I2C.FLT_SCL.$procmux$1066_Y[0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1110 .gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$false O=$abc$123635$n965 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000001 .gate SB_LUT4 I0=$techmap\I2C.FLT_SCL.$procmux$1066_Y[1] I1=$auto$wreduce.cc:310:run$76137[2] I2=I2C.FLT_SCL.out I3=I2C.SCLF O=$abc$123635$n966 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100010000 .gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=$false I3=$false O=$techmap\I2C.FLT_SCL.$procmux$1066_Y[1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1001 .gate SB_LUT4 I0=$abc$123635$n965 I1=I2C.FLT_SCL.out I2=I2C.SCLF I3=$false O=$auto$dff2dffe.cc:158:make_patterns_logic$122886 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01111101 .gate SB_LUT4 I0=$abc$123635$n970 I1=$abc$123635$n745 I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$90143 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$123635$n976 I1=$abc$123635$n801 I2=$abc$123635$n793 I3=$abc$123635$n971 O=$abc$123635$n970 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000000000000 .gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I1=RESET I2=$abc$123635$n972 I3=$false O=$abc$123635$n971 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00001011 .gate SB_LUT4 I0=$abc$123635$n973 I1=$abc$123635$n747_1 I2=RESET I3=$auto$simplemap.cc:250:simplemap_eqne$99680[1] O=$abc$123635$n972 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=$abc$123635$n974 I1=$abc$123635$n800 I2=$auto$simplemap.cc:250:simplemap_eqne$98030[7] I3=$abc$123635$n975 O=$abc$123635$n973 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000001000000000 .gate SB_LUT4 I0=$abc$123635$n734_1 I1=$auto$rtlil.cc:1692:NotGate$123580 I2=$abc$123635$n738 I3=$false O=$abc$123635$n974 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00001000 .gate SB_LUT4 I0=UART.tx_activity I1=uart_double_ff I2=last_uart_active I3=$false O=$abc$123635$n975 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=UART.tx_activity I1=last_uart_active I2=$false I3=$false O=$abc$123635$n976 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0010 .gate SB_LUT4 I0=$abc$123635$n835 I1=$abc$123635$n972 I2=$abc$123635$n733 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$90751 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$123635$n979 I1=$abc$123635$n983 I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$92180 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$123635$n982 I1=I2C.is_read I2=$abc$123635$n981 I3=$abc$123635$n980 O=$abc$123635$n979 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000010001111 .gate SB_LUT4 I0=$abc$123635$n829 I1=UART.tx_activity I2=last_uart_active I3=$false O=$abc$123635$n980 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00101000 .gate SB_LUT4 I0=$abc$123635$n830 I1=$abc$123635$n800 I2=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I3=$false O=$abc$123635$n981 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$123635$n731_1 I1=$auto$alumacc.cc:484:replace_alu$76176[7] I2=$false I3=$false O=$abc$123635$n982 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0010 .gate SB_LUT4 I0=$abc$123635$n827 I1=$abc$123635$n984 I2=$abc$123635$n997 I3=$abc$123635$n998 O=$abc$123635$n983 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000001000 .gate SB_LUT4 I0=$abc$123635$n993 I1=$abc$123635$n989 I2=$abc$123635$n985 I3=$false O=$abc$123635$n984 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01110000 .gate SB_LUT4 I0=$abc$123635$n736 I1=$abc$123635$n986 I2=$abc$123635$n739 I3=$false O=$abc$123635$n985 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$auto$dff2dffe.cc:158:make_patterns_logic$99517 I1=$abc$123635$n974 I2=$abc$123635$n987 I3=$false O=$abc$123635$n986 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=$abc$123635$n988 I1=$abc$123635$n748 I2=UART_WR I3=$false O=$abc$123635$n987 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00100000 .gate SB_LUT4 I0=I2C_TRANS I1=UART.tx_activity I2=last_trans I3=last_uart_active O=$abc$123635$n988 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000010000100001 .gate SB_LUT4 I0=$abc$123635$n990 I1=$abc$123635$n991 I2=$abc$123635$n992 I3=int_tmr[19] O=$abc$123635$n989 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=$abc$123635$n734_1 I1=KEYBOARD.isr I2=last_isr I3=$false O=$abc$123635$n990 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000010 .gate SB_LUT4 I0=$abc$123635$n722 I1=$abc$123635$n797 I2=$abc$123635$n988 I3=$false O=$abc$123635$n991 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=RESET I1=UART_WR I2=$false I3=$false O=$abc$123635$n992 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0010 .gate SB_LUT4 I0=$abc$123635$n994 I1=$abc$123635$n731_1 I2=I2C_TRANS I3=int_tmr[19] O=$abc$123635$n993 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000100000000000 .gate SB_LUT4 I0=$abc$123635$n995 I1=$abc$123635$n996 I2=$false I3=$false O=$abc$123635$n994 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=ring_wr[0] I1=ring_wr[1] I2=ring_rd[0] I3=ring_rd[1] O=$abc$123635$n995 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000010000100001 .gate SB_LUT4 I0=ring_wr[2] I1=ring_wr[3] I2=ring_rd[2] I3=ring_rd[3] O=$abc$123635$n996 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000010000100001 .gate SB_LUT4 I0=$abc$123635$n731_1 I1=I2C_TRANS I2=int_tmr[19] I3=$abc$123635$n989 O=$abc$123635$n997 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1101111100000000 .gate SB_LUT4 I0=$abc$123635$n990 I1=$abc$123635$n991 I2=$abc$123635$n992 I3=int_tmr[19] O=$abc$123635$n998 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000010000000 .gate SB_LUT4 I0=$abc$123635$n979 I1=$abc$123635$n1000 I2=$abc$123635$n989 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$95013 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00001000 .gate SB_LUT4 I0=$abc$123635$n749 I1=$abc$123635$n802 I2=$abc$123635$n807 I3=$abc$123635$n986 O=$abc$123635$n1000 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=$abc$123635$n979 I1=$abc$123635$n1000 I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$99225 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=RESET I1=$3\report_wr_en[0:0] I2=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$99687 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11010101 .gate SB_LUT4 I0=$abc$123635$n722 I1=$abc$123635$n748 I2=$false I3=$false O=$3\report_wr_en[0:0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$techmap\I2C.$procmux$30991_Y I1=I2C.byte_counter[0] I2=$false I3=$false O=$auto$alumacc.cc:484:replace_alu$76297[0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$techmap\I2C.$procmux$30991_Y I1=I2C.byte_counter[1] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76296[1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$techmap\I2C.$procmux$30991_Y I1=I2C.byte_counter[2] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76296[2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$techmap\I2C.$procmux$30991_Y I1=I2C.byte_counter[3] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76296[3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$techmap\I2C.$procmux$30991_Y I1=I2C.byte_counter[4] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76296[4] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$techmap\I2C.$procmux$30991_Y I1=I2C.byte_counter[5] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76296[5] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$techmap\I2C.$procmux$30991_Y I1=I2C.byte_counter[6] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76296[6] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$techmap\I2C.$procmux$30991_Y I1=I2C.byte_counter[7] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76296[7] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$123635$n1013 I1=$add$top.v:68$14_Y[0] I2=$false I3=$false O=$0\rststate[3:0][0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$123635$n811 I1=$abc$123635$n824 I2=$abc$123635$n1014 I3=i2c_input_data_type[1] O=$abc$123635$n1013 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000010000000 .gate SB_LUT4 I0=$abc$123635$n721 I1=$abc$123635$n1015 I2=$abc$123635$n734_1 I3=$false O=$abc$123635$n1014 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$123635$n800 I1=$abc$123635$n797 I2=I2C.is_read I3=$false O=$abc$123635$n1015 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00001000 .gate SB_LUT4 I0=$abc$123635$n1013 I1=$add$top.v:68$14_Y[1] I2=$false I3=$false O=$0\rststate[3:0][1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$123635$n1013 I1=$add$top.v:68$14_Y[2] I2=$false I3=$false O=$0\rststate[3:0][2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$123635$n1013 I1=$add$top.v:68$14_Y[3] I2=$false I3=$false O=$0\rststate[3:0][3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$123635$n1022 I1=$abc$123635$n1020 I2=$sub$top.v:88$26_Y[0] I3=$auto$simplemap.cc:250:simplemap_eqne$99680[1] O=$0\report_data_wadr[7:0][0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1010101000110000 .gate SB_LUT4 I0=$abc$123635$n1021 I1=$auto$alumacc.cc:484:replace_alu$76214[7] I2=$false I3=$false O=$abc$123635$n1020 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$123635$n723 I1=$abc$123635$n724 I2=init_ram_cnt[7] I3=init_ram_cnt[5] O=$abc$123635$n1021 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000001000 .gate SB_LUT4 I0=$auto$wreduce.cc:310:run$76134[0] I1=$6\report_data_wadr[7:0][0] I2=$abc$123635$n748 I3=$false O=$abc$123635$n1022 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 .gate SB_LUT4 I0=$abc$123635$n1020 I1=init_ram_cnt[1] I2=$abc$123635$n1024 I3=$auto$simplemap.cc:250:simplemap_eqne$99680[1] O=$0\report_data_wadr[7:0][1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000111100010001 .gate SB_LUT4 I0=$2\ring_wr[3:0][0] I1=wr_cnt[1] I2=ring_wr[0] I3=$abc$123635$n748 O=$abc$123635$n1024 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0101010111000011 .gate SB_LUT4 I0=$add$top.v:98$41_Y[0] I1=ring_wr[0] I2=$abc$123635$n1026_1 I3=$false O=$2\ring_wr[3:0][0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$123635$n1027 I1=$add$top.v:98$41_Y[4] I2=$false I3=$false O=$abc$123635$n1026_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0010 .gate SB_LUT4 I0=$abc$123635$n1028 I1=$abc$123635$n1029 I2=$add$top.v:98$41_Y[0] I3=ring_rd[0] O=$abc$123635$n1027 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100000000000100 .gate SB_LUT4 I0=ring_wr[0] I1=ring_wr[1] I2=ring_rd[1] I3=$false O=$abc$123635$n1028 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10010110 .gate SB_LUT4 I0=$add$top.v:98$41_Y[2] I1=$add$top.v:98$41_Y[3] I2=ring_rd[2] I3=ring_rd[3] O=$abc$123635$n1029 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000010000100001 .gate SB_LUT4 I0=$abc$123635$n1035_1 I1=$abc$123635$n1031 I2=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I3=$false O=$0\report_data_wadr[7:0][2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00111010 .gate SB_LUT4 I0=$2\ring_wr[3:0][1] I1=$abc$123635$n1033_1 I2=$abc$123635$n1034_1 I3=$abc$123635$n748 O=$abc$123635$n1031 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0101010111000011 .gate SB_LUT4 I0=$abc$123635$n1026_1 I1=ring_wr[0] I2=ring_wr[1] I3=$false O=$2\ring_wr[3:0][1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10110100 .gate SB_LUT4 I0=wr_cnt[1] I1=ring_wr[0] I2=$false I3=$false O=$abc$123635$n1033_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=wr_cnt[2] I1=ring_wr[1] I2=$false I3=$false O=$abc$123635$n1034_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0110 .gate SB_LUT4 I0=$abc$123635$n1020 I1=init_ram_cnt[1] I2=init_ram_cnt[2] I3=$false O=$abc$123635$n1035_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000001 .gate SB_LUT4 I0=$abc$123635$n1020 I1=$sub$top.v:88$26_Y[3] I2=$abc$123635$n1037 I3=$auto$simplemap.cc:250:simplemap_eqne$99680[1] O=$0\report_data_wadr[7:0][3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000111101000100 .gate SB_LUT4 I0=$abc$123635$n1039 I1=$2\ring_wr[3:0][2] I2=$2\ring_wr[3:0][0] I3=$abc$123635$n748 O=$abc$123635$n1037 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1100001101010101 .gate SB_LUT4 I0=$add$top.v:98$41_Y[2] I1=ring_wr[2] I2=$abc$123635$n1026_1 I3=$false O=$2\ring_wr[3:0][2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$123635$n1040 I1=$abc$123635$n1041 I2=ring_wr[0] I3=$false O=$abc$123635$n1039 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01101001 .gate SB_LUT4 I0=$abc$123635$n1033_1 I1=wr_cnt[2] I2=ring_wr[1] I3=$false O=$abc$123635$n1040 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010111 .gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[2] I2=$false I3=$false O=$abc$123635$n1041 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0110 .gate SB_LUT4 I0=$abc$123635$n1020 I1=$sub$top.v:88$26_Y[4] I2=$abc$123635$n1043_1 I3=$auto$simplemap.cc:250:simplemap_eqne$99680[1] O=$0\report_data_wadr[7:0][4] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000111101000100 .gate SB_LUT4 I0=$abc$123635$n1047_1 I1=$auto$maccmap.cc:111:fulladd$77152[4] I2=$auto$maccmap.cc:112:fulladd$77153[3] I3=$abc$123635$n748 O=$abc$123635$n1043_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1100001110101010 .gate SB_LUT4 I0=$2\ring_wr[3:0][0] I1=$2\ring_wr[3:0][2] I2=$false I3=$false O=$auto$maccmap.cc:112:fulladd$77153[3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$2\ring_wr[3:0][1] I1=$2\ring_wr[3:0][3] I2=$false I3=$false O=$auto$maccmap.cc:111:fulladd$77152[4] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0110 .gate SB_LUT4 I0=$add$top.v:98$41_Y[3] I1=ring_wr[3] I2=$abc$123635$n1026_1 I3=$false O=$2\ring_wr[3:0][3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$auto$maccmap.cc:112:fulladd$77134[3] I1=$auto$maccmap.cc:111:fulladd$77133[4] I2=$false I3=$false O=$abc$123635$n1047_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1001 .gate SB_LUT4 I0=$abc$123635$n1049 I1=$abc$123635$n1040 I2=ring_wr[0] I3=$abc$123635$n1041 O=$auto$maccmap.cc:112:fulladd$77134[3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1110101000110000 .gate SB_LUT4 I0=$abc$123635$n1033_1 I1=$abc$123635$n1034_1 I2=$false I3=$false O=$abc$123635$n1049 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$123635$n1041 I1=wr_cnt[2] I2=ring_wr[1] I3=$abc$123635$n1051 O=$auto$maccmap.cc:111:fulladd$77133[4] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000111101110000 .gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[2] I2=ring_wr[3] I3=$false O=$abc$123635$n1051 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01111000 .gate SB_LUT4 I0=$abc$123635$n1053 I1=$abc$123635$n1020 I2=$sub$top.v:88$26_Y[5] I3=$auto$simplemap.cc:250:simplemap_eqne$99680[1] O=$0\report_data_wadr[7:0][5] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1010101000110000 .gate SB_LUT4 I0=$auto$wreduce.cc:310:run$76134[5] I1=$6\report_data_wadr[7:0][5] I2=$abc$123635$n748 I3=$false O=$abc$123635$n1053 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 .gate SB_LUT4 I0=$abc$123635$n1055 I1=$abc$123635$n1020 I2=$sub$top.v:88$26_Y[6] I3=$auto$simplemap.cc:250:simplemap_eqne$99680[1] O=$0\report_data_wadr[7:0][6] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1010101000110000 .gate SB_LUT4 I0=$auto$wreduce.cc:310:run$76134[6] I1=$6\report_data_wadr[7:0][6] I2=$abc$123635$n748 I3=$false O=$abc$123635$n1055 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 .gate SB_LUT4 I0=$abc$123635$n1057 I1=$abc$123635$n1020 I2=$sub$top.v:88$26_Y[7] I3=$auto$simplemap.cc:250:simplemap_eqne$99680[1] O=$0\report_data_wadr[7:0][7] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1010101000110000 .gate SB_LUT4 I0=$auto$wreduce.cc:310:run$76134[7] I1=$6\report_data_wadr[7:0][7] I2=$abc$123635$n748 I3=$false O=$abc$123635$n1057 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 .gate SB_LUT4 I0=$abc$123635$n1059 I1=$abc$123635$n1073 I2=$abc$123635$n748 I3=$abc$123635$n1079 O=$3\report_data_wr[7:0][0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=KEYBOARD.report[0][0] I1=$abc$123635$n1072_1 I2=$abc$123635$n1060 I3=$abc$123635$n1065 O=$abc$123635$n1059 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000000000000 .gate SB_LUT4 I0=KEYBOARD.report[2][0] I1=$abc$123635$n1063 I2=$abc$123635$n1064 I3=$abc$123635$n1061 O=$abc$123635$n1060 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000111 .gate SB_LUT4 I0=$abc$123635$n1062 I1=KEYBOARD.report[4][0] I2=$false I3=$false O=$abc$123635$n1061 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=$sub$top.v:124$78_Y[0] I3=$sub$top.v:124$78_Y[2] O=$abc$123635$n1062 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000100100000000 .gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=$sub$top.v:124$78_Y[0] I3=$sub$top.v:124$78_Y[2] O=$abc$123635$n1063 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000110 .gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=wr_cnt[2] I3=wr_cnt[3] O=$abc$123635$n1064 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000100 .gate SB_LUT4 I0=KEYBOARD.report[3][0] I1=$abc$123635$n1071 I2=$abc$123635$n1066 I3=$abc$123635$n1069 O=$abc$123635$n1065 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000001110000 .gate SB_LUT4 I0=$abc$123635$n1068_1 I1=KEYBOARD.report[5][0] I2=KEYBOARD.report[6][0] I3=$abc$123635$n1067 O=$abc$123635$n1066 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=$sub$top.v:124$78_Y[0] I3=$sub$top.v:124$78_Y[2] O=$abc$123635$n1067 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011000000000 .gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=$sub$top.v:124$78_Y[0] I3=$sub$top.v:124$78_Y[2] O=$abc$123635$n1068_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1001000000000000 .gate SB_LUT4 I0=$abc$123635$n1070_1 I1=KEYBOARD.report[1][0] I2=$false I3=$false O=$abc$123635$n1069 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=$sub$top.v:124$78_Y[0] I3=$sub$top.v:124$78_Y[2] O=$abc$123635$n1070_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000010010000 .gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=$sub$top.v:124$78_Y[0] I3=$sub$top.v:124$78_Y[2] O=$abc$123635$n1071 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000001100000 .gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=$sub$top.v:124$78_Y[0] I3=$sub$top.v:124$78_Y[2] O=$abc$123635$n1072_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0110000000001001 .gate SB_LUT4 I0=KEYBOARD.report[6][0] I1=$abc$123635$n1078 I2=$abc$123635$n1074_1 I3=$abc$123635$n1076 O=$abc$123635$n1073 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000000000000 .gate SB_LUT4 I0=KEYBOARD.report[1][0] I1=KEYBOARD.report[5][0] I2=$abc$123635$n1075 I3=wr_cnt[2] O=$abc$123635$n1074_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0011111101011111 .gate SB_LUT4 I0=wr_cnt[1] I1=$sub$top.v:122$76_Y[0] I2=$false I3=$false O=$abc$123635$n1075 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=KEYBOARD.report[0][0] I1=$abc$123635$n1077 I2=$abc$123635$n1064 I3=$false O=$abc$123635$n1076 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01110000 .gate SB_LUT4 I0=wr_cnt[1] I1=wr_cnt[2] I2=$sub$top.v:122$76_Y[0] I3=$false O=$abc$123635$n1077 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010010 .gate SB_LUT4 I0=wr_cnt[1] I1=wr_cnt[2] I2=$sub$top.v:122$76_Y[0] I3=$false O=$abc$123635$n1078 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000001 .gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[2] I2=wr_cnt[3] I3=$false O=$abc$123635$n1079 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000010 .gate SB_LUT4 I0=$abc$123635$n748 I1=$abc$123635$n1087 I2=$abc$123635$n1081 I3=$abc$123635$n1079 O=$3\report_data_wr[7:0][1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1010101010101011 .gate SB_LUT4 I0=KEYBOARD.report[0][1] I1=$abc$123635$n1072_1 I2=$abc$123635$n1082_1 I3=$abc$123635$n1084 O=$abc$123635$n1081 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000000000000 .gate SB_LUT4 I0=KEYBOARD.report[2][1] I1=$abc$123635$n1063 I2=$abc$123635$n1064 I3=$abc$123635$n1083 O=$abc$123635$n1082_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000111 .gate SB_LUT4 I0=$abc$123635$n1062 I1=KEYBOARD.report[4][1] I2=$false I3=$false O=$abc$123635$n1083 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=KEYBOARD.report[1][1] I1=$abc$123635$n1070_1 I2=$abc$123635$n1085 I3=$abc$123635$n1086 O=$abc$123635$n1084 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000001110000 .gate SB_LUT4 I0=$abc$123635$n1068_1 I1=KEYBOARD.report[5][1] I2=$abc$123635$n1071 I3=KEYBOARD.report[3][1] O=$abc$123635$n1085 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=$abc$123635$n1067 I1=KEYBOARD.report[6][1] I2=$false I3=$false O=$abc$123635$n1086 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=KEYBOARD.report[0][1] I1=$abc$123635$n1077 I2=$abc$123635$n1088 I3=$abc$123635$n1064 O=$abc$123635$n1087 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000000000000 .gate SB_LUT4 I0=KEYBOARD.report[1][1] I1=KEYBOARD.report[5][1] I2=$abc$123635$n1075 I3=wr_cnt[2] O=$abc$123635$n1088 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0011111101011111 .gate SB_LUT4 I0=$abc$123635$n1090 I1=$abc$123635$n1096 I2=$abc$123635$n748 I3=$abc$123635$n1079 O=$3\report_data_wr[7:0][2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=KEYBOARD.report[0][2] I1=$abc$123635$n1072_1 I2=$abc$123635$n1091_1 I3=$abc$123635$n1093 O=$abc$123635$n1090 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000000000000 .gate SB_LUT4 I0=KEYBOARD.report[3][2] I1=$abc$123635$n1071 I2=$abc$123635$n1064 I3=$abc$123635$n1092_1 O=$abc$123635$n1091_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000111 .gate SB_LUT4 I0=$abc$123635$n1062 I1=KEYBOARD.report[4][2] I2=$false I3=$false O=$abc$123635$n1092_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=KEYBOARD.report[2][2] I1=$abc$123635$n1063 I2=$abc$123635$n1094 I3=$abc$123635$n1095 O=$abc$123635$n1093 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011100000000 .gate SB_LUT4 I0=$abc$123635$n1067 I1=KEYBOARD.report[6][2] I2=$false I3=$false O=$abc$123635$n1094 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$123635$n1068_1 I1=KEYBOARD.report[5][2] I2=$abc$123635$n1070_1 I3=KEYBOARD.report[1][2] O=$abc$123635$n1095 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=KEYBOARD.report[6][2] I1=$abc$123635$n1078 I2=$abc$123635$n1097 I3=$abc$123635$n1098 O=$abc$123635$n1096 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000000000000 .gate SB_LUT4 I0=KEYBOARD.report[1][2] I1=KEYBOARD.report[5][2] I2=$abc$123635$n1075 I3=wr_cnt[2] O=$abc$123635$n1097 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0011111101011111 .gate SB_LUT4 I0=KEYBOARD.report[0][2] I1=$abc$123635$n1077 I2=$abc$123635$n1064 I3=$false O=$abc$123635$n1098 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01110000 .gate SB_LUT4 I0=$abc$123635$n748 I1=$abc$123635$n1106_1 I2=$abc$123635$n1100 I3=$abc$123635$n1079 O=$3\report_data_wr[7:0][3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1010101010101011 .gate SB_LUT4 I0=KEYBOARD.report[0][3] I1=$abc$123635$n1072_1 I2=$abc$123635$n1101_1 I3=$abc$123635$n1103_1 O=$abc$123635$n1100 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000000000000 .gate SB_LUT4 I0=KEYBOARD.report[2][3] I1=$abc$123635$n1063 I2=$abc$123635$n1064 I3=$abc$123635$n1102 O=$abc$123635$n1101_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000111 .gate SB_LUT4 I0=$abc$123635$n1062 I1=KEYBOARD.report[4][3] I2=$false I3=$false O=$abc$123635$n1102 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=KEYBOARD.report[3][3] I1=$abc$123635$n1071 I2=$abc$123635$n1104_1 I3=$abc$123635$n1105 O=$abc$123635$n1103_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000001110000 .gate SB_LUT4 I0=$abc$123635$n1068_1 I1=KEYBOARD.report[5][3] I2=KEYBOARD.report[6][3] I3=$abc$123635$n1067 O=$abc$123635$n1104_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=$abc$123635$n1070_1 I1=KEYBOARD.report[1][3] I2=$false I3=$false O=$abc$123635$n1105 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=KEYBOARD.report[0][3] I1=$abc$123635$n1077 I2=$abc$123635$n1107_1 I3=$abc$123635$n1064 O=$abc$123635$n1106_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000000000000 .gate SB_LUT4 I0=KEYBOARD.report[1][3] I1=KEYBOARD.report[5][3] I2=$abc$123635$n1075 I3=wr_cnt[2] O=$abc$123635$n1107_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0011111101011111 .gate SB_LUT4 I0=$abc$123635$n1109 I1=$abc$123635$n1115 I2=$abc$123635$n748 I3=$abc$123635$n1079 O=$3\report_data_wr[7:0][4] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=KEYBOARD.report[0][4] I1=$abc$123635$n1072_1 I2=$abc$123635$n1110_1 I3=$abc$123635$n1112 O=$abc$123635$n1109 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000000000000 .gate SB_LUT4 I0=KEYBOARD.report[6][4] I1=$abc$123635$n1067 I2=$abc$123635$n1064 I3=$abc$123635$n1111 O=$abc$123635$n1110_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000111 .gate SB_LUT4 I0=$abc$123635$n1062 I1=KEYBOARD.report[4][4] I2=$false I3=$false O=$abc$123635$n1111 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=KEYBOARD.report[1][4] I1=$abc$123635$n1070_1 I2=$abc$123635$n1113 I3=$abc$123635$n1114 O=$abc$123635$n1112 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000001110000 .gate SB_LUT4 I0=$abc$123635$n1071 I1=KEYBOARD.report[3][4] I2=$abc$123635$n1063 I3=KEYBOARD.report[2][4] O=$abc$123635$n1113 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=$abc$123635$n1068_1 I1=KEYBOARD.report[5][4] I2=$false I3=$false O=$abc$123635$n1114 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=KEYBOARD.report[0][4] I1=$abc$123635$n1077 I2=$abc$123635$n1116 I3=$abc$123635$n1064 O=$abc$123635$n1115 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000000000000 .gate SB_LUT4 I0=KEYBOARD.report[6][4] I1=$abc$123635$n1078 I2=$abc$123635$n1118 I3=$abc$123635$n1117 O=$abc$123635$n1116 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000111 .gate SB_LUT4 I0=$abc$123635$n1075 I1=wr_cnt[2] I2=KEYBOARD.report[1][4] I3=$false O=$abc$123635$n1117 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00100000 .gate SB_LUT4 I0=wr_cnt[1] I1=wr_cnt[2] I2=$sub$top.v:122$76_Y[0] I3=KEYBOARD.report[2][4] O=$abc$123635$n1118 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000010000000000 .gate SB_LUT4 I0=$abc$123635$n1120 I1=$abc$123635$n1126 I2=$abc$123635$n748 I3=$abc$123635$n1079 O=$3\report_data_wr[7:0][5] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=KEYBOARD.report[0][5] I1=$abc$123635$n1072_1 I2=$abc$123635$n1121 I3=$abc$123635$n1123 O=$abc$123635$n1120 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000000000000 .gate SB_LUT4 I0=KEYBOARD.report[6][5] I1=$abc$123635$n1067 I2=$abc$123635$n1064 I3=$abc$123635$n1122 O=$abc$123635$n1121 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000111 .gate SB_LUT4 I0=$abc$123635$n1062 I1=KEYBOARD.report[4][5] I2=$false I3=$false O=$abc$123635$n1122 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=KEYBOARD.report[2][5] I1=$abc$123635$n1063 I2=$abc$123635$n1124 I3=$abc$123635$n1125 O=$abc$123635$n1123 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011100000000 .gate SB_LUT4 I0=$abc$123635$n1068_1 I1=KEYBOARD.report[5][5] I2=$false I3=$false O=$abc$123635$n1124 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$123635$n1071 I1=KEYBOARD.report[3][5] I2=$abc$123635$n1070_1 I3=KEYBOARD.report[1][5] O=$abc$123635$n1125 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=KEYBOARD.report[0][5] I1=$abc$123635$n1077 I2=$abc$123635$n1127 I3=$abc$123635$n1064 O=$abc$123635$n1126 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000000000000 .gate SB_LUT4 I0=KEYBOARD.report[6][5] I1=$abc$123635$n1078 I2=$abc$123635$n1129 I3=$abc$123635$n1128 O=$abc$123635$n1127 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000111 .gate SB_LUT4 I0=$abc$123635$n1075 I1=wr_cnt[2] I2=KEYBOARD.report[1][5] I3=$false O=$abc$123635$n1128 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00100000 .gate SB_LUT4 I0=wr_cnt[1] I1=wr_cnt[2] I2=$sub$top.v:122$76_Y[0] I3=KEYBOARD.report[2][5] O=$abc$123635$n1129 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000010000000000 .gate SB_LUT4 I0=$abc$123635$n1131 I1=$abc$123635$n1137 I2=$abc$123635$n748 I3=$abc$123635$n1079 O=$3\report_data_wr[7:0][6] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=KEYBOARD.report[0][6] I1=$abc$123635$n1072_1 I2=$abc$123635$n1132 I3=$abc$123635$n1134 O=$abc$123635$n1131 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000000000000 .gate SB_LUT4 I0=KEYBOARD.report[6][6] I1=$abc$123635$n1067 I2=$abc$123635$n1064 I3=$abc$123635$n1133 O=$abc$123635$n1132 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000111 .gate SB_LUT4 I0=$abc$123635$n1062 I1=KEYBOARD.report[4][6] I2=$false I3=$false O=$abc$123635$n1133 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=KEYBOARD.report[1][6] I1=$abc$123635$n1070_1 I2=$abc$123635$n1135 I3=$abc$123635$n1136 O=$abc$123635$n1134 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000001110000 .gate SB_LUT4 I0=$abc$123635$n1071 I1=KEYBOARD.report[3][6] I2=$abc$123635$n1063 I3=KEYBOARD.report[2][6] O=$abc$123635$n1135 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=$abc$123635$n1068_1 I1=KEYBOARD.report[5][6] I2=$false I3=$false O=$abc$123635$n1136 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=KEYBOARD.report[0][6] I1=$abc$123635$n1077 I2=$abc$123635$n1138 I3=$abc$123635$n1064 O=$abc$123635$n1137 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000000000000 .gate SB_LUT4 I0=KEYBOARD.report[6][6] I1=$abc$123635$n1078 I2=$abc$123635$n1140 I3=$abc$123635$n1139 O=$abc$123635$n1138 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000111 .gate SB_LUT4 I0=$abc$123635$n1075 I1=wr_cnt[2] I2=KEYBOARD.report[1][6] I3=$false O=$abc$123635$n1139 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00100000 .gate SB_LUT4 I0=wr_cnt[1] I1=wr_cnt[2] I2=$sub$top.v:122$76_Y[0] I3=KEYBOARD.report[2][6] O=$abc$123635$n1140 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000010000000000 .gate SB_LUT4 I0=$abc$123635$n1142 I1=$abc$123635$n1148 I2=$abc$123635$n748 I3=$abc$123635$n1079 O=$3\report_data_wr[7:0][7] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=KEYBOARD.report[0][7] I1=$abc$123635$n1072_1 I2=$abc$123635$n1143 I3=$abc$123635$n1145 O=$abc$123635$n1142 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000000000000 .gate SB_LUT4 I0=KEYBOARD.report[3][7] I1=$abc$123635$n1071 I2=$abc$123635$n1064 I3=$abc$123635$n1144 O=$abc$123635$n1143 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000111 .gate SB_LUT4 I0=$abc$123635$n1062 I1=KEYBOARD.report[4][7] I2=$false I3=$false O=$abc$123635$n1144 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=KEYBOARD.report[2][7] I1=$abc$123635$n1063 I2=$abc$123635$n1146 I3=$abc$123635$n1147 O=$abc$123635$n1145 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011100000000 .gate SB_LUT4 I0=$abc$123635$n1067 I1=KEYBOARD.report[6][7] I2=$false I3=$false O=$abc$123635$n1146 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$123635$n1068_1 I1=KEYBOARD.report[5][7] I2=$abc$123635$n1070_1 I3=KEYBOARD.report[1][7] O=$abc$123635$n1147 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000011101110111 .gate SB_LUT4 I0=KEYBOARD.report[6][7] I1=$abc$123635$n1078 I2=$abc$123635$n1149 I3=$abc$123635$n1150 O=$abc$123635$n1148 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0111000000000000 .gate SB_LUT4 I0=KEYBOARD.report[1][7] I1=KEYBOARD.report[5][7] I2=$abc$123635$n1075 I3=wr_cnt[2] O=$abc$123635$n1149 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0011111101011111 .gate SB_LUT4 I0=KEYBOARD.report[0][7] I1=$abc$123635$n1077 I2=$abc$123635$n1064 I3=$false O=$abc$123635$n1150 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01110000 .gate SB_LUT4 I0=$abc$123635$n743_1 I1=$add$top.v:125$80_Y[0] I2=$abc$123635$n748 I3=$false O=$2\wr_cnt[3:0][0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11110100 .gate SB_LUT4 I0=$abc$123635$n748 I1=$abc$123635$n743_1 I2=wr_cnt[0] I3=wr_cnt[1] O=$2\wr_cnt[3:0][1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100010000 .gate SB_LUT4 I0=$abc$123635$n748 I1=$abc$123635$n743_1 I2=$add$top.v:125$80_Y[2] I3=$false O=$2\wr_cnt[3:0][2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$123635$n748 I1=$abc$123635$n743_1 I2=$add$top.v:125$80_Y[3] I3=$false O=$2\wr_cnt[3:0][3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$123635$n800 I1=$abc$123635$n748 I2=$false I3=$false O=$2\INT[0:0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0010 .gate SB_LUT4 I0=$abc$123635$n1157 I1=$abc$123635$n748 I2=I2C.is_read I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11111101 .gate SB_LUT4 I0=$abc$123635$n824 I1=$abc$123635$n1160 I2=I2C_OUTPUT_TYPE[0] I3=$abc$123635$n1158 O=$abc$123635$n1157 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0101010100000011 .gate SB_LUT4 I0=$abc$123635$n825 I1=$abc$123635$n824 I2=$abc$123635$n812_1 I3=$abc$123635$n1159 O=$abc$123635$n1158 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000001110 .gate SB_LUT4 I0=$abc$123635$n813 I1=i2c_input_data_type[3] I2=$false I3=$false O=$abc$123635$n1159 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$123635$n825 I1=$abc$123635$n812_1 I2=$abc$123635$n1159 I3=i2c_input_data_type[0] O=$abc$123635$n1160 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000001000 .gate SB_LUT4 I0=$abc$123635$n1162 I1=$abc$123635$n748 I2=I2C.is_read I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11111101 .gate SB_LUT4 I0=$abc$123635$n825 I1=$abc$123635$n1160 I2=I2C_OUTPUT_TYPE[1] I3=$abc$123635$n1158 O=$abc$123635$n1162 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0101010100000011 .gate SB_LUT4 I0=$abc$123635$n1164 I1=$abc$123635$n748 I2=I2C.is_read I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000010 .gate SB_LUT4 I0=$abc$123635$n1158 I1=$abc$123635$n1160 I2=I2C_OUTPUT_TYPE[2] I3=$false O=$abc$123635$n1164 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=$abc$123635$n1166 I1=$abc$123635$n748 I2=$false I3=$false O=$2\I2C_OUT_DESC_MASK[7:0][7] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0010 .gate SB_LUT4 I0=$abc$123635$n1157 I1=$abc$123635$n1162 I2=$abc$123635$n1164 I3=I2C.is_read O=$abc$123635$n1166 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000110 .gate SB_LUT4 I0=$abc$123635$n1168 I1=$abc$123635$n824 I2=$auto$simplemap.cc:250:simplemap_eqne$98030[7] I3=$abc$123635$n726_1 O=$0\i2c_input_data_type[3:0][0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0101010100001100 .gate SB_LUT4 I0=$abc$123635$n1170 I1=$abc$123635$n727 I2=$abc$123635$n1169 I3=I2C.received_byte[0] O=$abc$123635$n1168 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001010101010101 .gate SB_LUT4 I0=$auto$alumacc.cc:484:replace_alu$76243[7] I1=$abc$123635$n784 I2=$auto$alumacc.cc:484:replace_alu$76181[7] I3=$false O=$abc$123635$n1169 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11010000 .gate SB_LUT4 I0=$abc$123635$n759 I1=$abc$123635$n778 I2=$abc$123635$n789 I3=$false O=$abc$123635$n1170 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$123635$n1172 I1=$abc$123635$n825 I2=$auto$simplemap.cc:250:simplemap_eqne$98030[7] I3=$abc$123635$n726_1 O=$0\i2c_input_data_type[3:0][1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0101010100001100 .gate SB_LUT4 I0=$abc$123635$n1173 I1=$abc$123635$n727 I2=$abc$123635$n1169 I3=I2C.received_byte[1] O=$abc$123635$n1172 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001010101010101 .gate SB_LUT4 I0=$abc$123635$n759 I1=$abc$123635$n1174 I2=$abc$123635$n789 I3=$false O=$abc$123635$n1173 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=I2C.received_byte[5] I1=I2C.received_byte[4] I2=$abc$123635$n1175 I3=$false O=$abc$123635$n1174 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11100000 .gate SB_LUT4 I0=I2C.received_byte[5] I1=I2C.received_byte[4] I2=I2C.received_byte[7] I3=I2C.received_byte[6] O=$abc$123635$n1175 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000111 .gate SB_LUT4 I0=$abc$123635$n1177 I1=$abc$123635$n812_1 I2=$auto$simplemap.cc:250:simplemap_eqne$98030[7] I3=$abc$123635$n726_1 O=$0\i2c_input_data_type[3:0][2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0101010100001100 .gate SB_LUT4 I0=$abc$123635$n1178 I1=$abc$123635$n727 I2=$abc$123635$n1169 I3=I2C.received_byte[2] O=$abc$123635$n1177 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001010101010101 .gate SB_LUT4 I0=$abc$123635$n759 I1=$abc$123635$n789 I2=$abc$123635$n1175 I3=$false O=$abc$123635$n1178 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$abc$123635$n1180 I1=$abc$123635$n1159 I2=$auto$simplemap.cc:250:simplemap_eqne$98030[7] I3=$abc$123635$n726_1 O=$0\i2c_input_data_type[3:0][3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1010101000001100 .gate SB_LUT4 I0=$abc$123635$n1169 I1=$abc$123635$n727 I2=I2C.received_byte[3] I3=$false O=$abc$123635$n1180 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000000 .gate SB_LUT4 I0=$add$top.v:180$126_Y[0] I1=$mul$top.v:177$125_Y[0] I2=$abc$123635$n727 I3=$false O=$0\report_data_radr[7:0][0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$add$top.v:176$124_Y[0] I1=ring_rd[0] I2=$abc$123635$n994 I3=$false O=$2\ring_rd[3:0][0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$2\ring_rd[3:0][0] I1=report_data_radr[0] I2=report_data_radr[1] I3=$abc$123635$n727 O=$0\report_data_radr[7:0][1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1010101000111100 .gate SB_LUT4 I0=$abc$123635$n994 I1=ring_rd[0] I2=ring_rd[1] I3=$false O=$2\ring_rd[3:0][1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10110100 .gate SB_LUT4 I0=$add$top.v:180$126_Y[2] I1=$2\ring_rd[3:0][1] I2=$abc$123635$n727 I3=$false O=$0\report_data_radr[7:0][2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$add$top.v:180$126_Y[3] I1=$2\ring_rd[3:0][0] I2=$2\ring_rd[3:0][2] I3=$abc$123635$n727 O=$0\report_data_radr[7:0][3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0011110010101010 .gate SB_LUT4 I0=$add$top.v:176$124_Y[2] I1=ring_rd[2] I2=$abc$123635$n994 I3=$false O=$2\ring_rd[3:0][2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$add$top.v:180$126_Y[4] I1=$auto$maccmap.cc:111:fulladd$76951[4] I2=$auto$maccmap.cc:112:fulladd$76952[3] I3=$abc$123635$n727 O=$0\report_data_radr[7:0][4] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0011110010101010 .gate SB_LUT4 I0=$2\ring_rd[3:0][0] I1=$2\ring_rd[3:0][2] I2=$false I3=$false O=$auto$maccmap.cc:112:fulladd$76952[3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$2\ring_rd[3:0][1] I1=$2\ring_rd[3:0][3] I2=$false I3=$false O=$auto$maccmap.cc:111:fulladd$76951[4] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0110 .gate SB_LUT4 I0=$add$top.v:176$124_Y[3] I1=ring_rd[3] I2=$abc$123635$n994 I3=$false O=$2\ring_rd[3:0][3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$add$top.v:180$126_Y[5] I1=$mul$top.v:177$125_Y[5] I2=$abc$123635$n727 I3=$false O=$0\report_data_radr[7:0][5] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$add$top.v:180$126_Y[6] I1=$mul$top.v:177$125_Y[6] I2=$abc$123635$n727 I3=$false O=$0\report_data_radr[7:0][6] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$add$top.v:180$126_Y[7] I1=$mul$top.v:177$125_Y[7] I2=$abc$123635$n727 I3=$false O=$0\report_data_radr[7:0][7] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 .gate SB_LUT4 I0=last_uart_active I1=UART.tx_activity I2=$auto$simplemap.cc:250:simplemap_eqne$98030[7] I3=$auto$rtlil.cc:1692:NotGate$123580 O=$2\UART_WR[0:0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111001011111111 .gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$123635$n1197 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 .gate SB_LUT4 I0=REPORT_DATA.r_data[0] I1=I2C_TX_DESC[0] I2=I2C_OUT_DESC_MASK[0] I3=$false O=$abc$123635$n1197 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 .gate SB_LUT4 I0=I2C.received_byte[1] I1=$abc$123635$n1199 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 .gate SB_LUT4 I0=REPORT_DATA.r_data[1] I1=I2C_TX_DESC[1] I2=I2C_OUT_DESC_MASK[1] I3=$false O=$abc$123635$n1199 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 .gate SB_LUT4 I0=I2C.received_byte[2] I1=$abc$123635$n1201 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 .gate SB_LUT4 I0=REPORT_DATA.r_data[2] I1=I2C_TX_DESC[2] I2=I2C_OUT_DESC_MASK[2] I3=$false O=$abc$123635$n1201 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 .gate SB_LUT4 I0=I2C.received_byte[3] I1=$abc$123635$n1203 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 .gate SB_LUT4 I0=REPORT_DATA.r_data[3] I1=I2C_TX_DESC[3] I2=I2C_OUT_DESC_MASK[3] I3=$false O=$abc$123635$n1203 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 .gate SB_LUT4 I0=I2C.received_byte[4] I1=$abc$123635$n1205 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][4] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 .gate SB_LUT4 I0=REPORT_DATA.r_data[4] I1=I2C_TX_DESC[4] I2=I2C_OUT_DESC_MASK[4] I3=$false O=$abc$123635$n1205 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 .gate SB_LUT4 I0=I2C.received_byte[5] I1=$abc$123635$n1207 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][5] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 .gate SB_LUT4 I0=REPORT_DATA.r_data[5] I1=I2C_TX_DESC[5] I2=I2C_OUT_DESC_MASK[5] I3=$false O=$abc$123635$n1207 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 .gate SB_LUT4 I0=I2C.received_byte[6] I1=$abc$123635$n1209 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][6] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 .gate SB_LUT4 I0=REPORT_DATA.r_data[6] I1=I2C_TX_DESC[6] I2=I2C_OUT_DESC_MASK[6] I3=$false O=$abc$123635$n1209 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 .gate SB_LUT4 I0=I2C.received_byte[7] I1=$abc$123635$n1211 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][7] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 .gate SB_LUT4 I0=REPORT_DATA.r_data[7] I1=I2C_TX_DESC[7] I2=I2C_OUT_DESC_MASK[7] I3=$false O=$abc$123635$n1211 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][0] I2=$false I3=$false O=$2\int_tmr[19:0][0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$123635$n800 I1=int_tmr[0] I2=int_tmr[1] I3=$false O=$2\int_tmr[19:0][1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010100 .gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][2] I2=$false I3=$false O=$2\int_tmr[19:0][2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][3] I2=$false I3=$false O=$2\int_tmr[19:0][3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][4] I2=$false I3=$false O=$2\int_tmr[19:0][4] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][5] I2=$false I3=$false O=$2\int_tmr[19:0][5] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][6] I2=$false I3=$false O=$2\int_tmr[19:0][6] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][7] I2=$false I3=$false O=$2\int_tmr[19:0][7] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][8] I2=$false I3=$false O=$2\int_tmr[19:0][8] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][9] I2=$false I3=$false O=$2\int_tmr[19:0][9] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][10] I2=$false I3=$false O=$2\int_tmr[19:0][10] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][11] I2=$false I3=$false O=$2\int_tmr[19:0][11] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][12] I2=$false I3=$false O=$2\int_tmr[19:0][12] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][13] I2=$false I3=$false O=$2\int_tmr[19:0][13] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][14] I2=$false I3=$false O=$2\int_tmr[19:0][14] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][15] I2=$false I3=$false O=$2\int_tmr[19:0][15] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][16] I2=$false I3=$false O=$2\int_tmr[19:0][16] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][17] I2=$false I3=$false O=$2\int_tmr[19:0][17] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$123635$n800 I1=$13\int_tmr[19:0][18] I2=$false I3=$false O=$2\int_tmr[19:0][18] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$add$top.v:271$182_Y[19] I1=int_tmr[19] I2=$abc$123635$n800 I3=$false O=$2\int_tmr[19:0][19] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00001110 .gate SB_LUT4 I0=$techmap\I2C.$procmux$30991_Y I1=I2C.i2c_bit_counter[2] I2=$false I3=$false O=$techmap\I2C.$procmux$31037_Y[2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$techmap\I2C.$procmux$30991_Y I1=I2C.i2c_bit_counter[3] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76208[3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0010 .gate SB_LUT4 I0=$abc$123635$n1242 I1=$abc$123635$n1243 I2=$abc$123635$n1235 I3=$false O=$techmap\I2C.$procmux$31862_Y .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11110010 .gate SB_LUT4 I0=$abc$123635$n1241 I1=$abc$123635$n1240 I2=$abc$123635$n844 I3=$abc$123635$n1236 O=$abc$123635$n1235 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1010101010101000 .gate SB_LUT4 I0=$abc$123635$n1239 I1=$abc$123635$n1237 I2=$abc$123635$n843 I3=$auto$alumacc.cc:484:replace_alu$76209[3] O=$abc$123635$n1236 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0011000001010000 .gate SB_LUT4 I0=$abc$123635$n1238 I1=$abc$123635$n845 I2=$false I3=$false O=$abc$123635$n1237 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$techmap\I2C.$procmux$30991_Y I1=I2C.is_ack I2=$false I3=$false O=$abc$123635$n1238 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=I2C.SCL_LAST I1=I2C.FLT_SCL.out I2=$false I3=$false O=$abc$123635$n1239 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0010 .gate SB_LUT4 I0=$abc$123635$n1238 I1=$abc$123635$n1239 I2=$auto$alumacc.cc:484:replace_alu$76209[3] I3=$abc$123635$n843 O=$abc$123635$n1240 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000001111111 .gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=I2C.SDA_LAST I3=I2C.wr O=$abc$123635$n1241 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1101011100000000 .gate SB_LUT4 I0=$abc$123635$n1237 I1=$auto$alumacc.cc:484:replace_alu$76209[3] I2=$false I3=$false O=$abc$123635$n1242 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$auto$alumacc.cc:484:replace_alu$76292[7] I1=$abc$123635$n1244 I2=$abc$123635$n844 I3=$abc$123635$n843 O=$abc$123635$n1243 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111110011111010 .gate SB_LUT4 I0=$abc$123635$n1245 I1=I2C.FLT_SDA.out I2=$false I3=$false O=$abc$123635$n1244 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=I2C.SDA_LAST I3=I2C.SDA_DIR O=$abc$123635$n1245 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1101011100000000 .gate SB_LUT4 I0=I2C.is_ack I1=$abc$123635$n1247 I2=$abc$123635$n844 I3=$false O=$techmap\I2C.$procmux$31816_Y .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10100011 .gate SB_LUT4 I0=$abc$123635$n1255 I1=$abc$123635$n1248 I2=$abc$123635$n843 I3=$false O=$abc$123635$n1247 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 .gate SB_LUT4 I0=$abc$123635$n1249 I1=$abc$123635$n1239 I2=$auto$alumacc.cc:484:replace_alu$76209[3] I3=$abc$123635$n1238 O=$abc$123635$n1248 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1100000010111111 .gate SB_LUT4 I0=$abc$123635$n1250 I1=$abc$123635$n1253 I2=$false I3=$false O=$abc$123635$n1249 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0010 .gate SB_LUT4 I0=$abc$123635$n1251 I1=$abc$123635$n1252 I2=$false I3=$false O=$abc$123635$n1250 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$auto$alumacc.cc:484:replace_alu$76297[0] I1=$auto$alumacc.cc:483:replace_alu$76296[1] I2=$auto$alumacc.cc:483:replace_alu$76296[2] I3=$auto$alumacc.cc:483:replace_alu$76296[3] O=$abc$123635$n1251 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76296[4] I1=$auto$alumacc.cc:483:replace_alu$76296[5] I2=$auto$alumacc.cc:483:replace_alu$76296[6] I3=$auto$alumacc.cc:483:replace_alu$76296[7] O=$abc$123635$n1252 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000001 .gate SB_LUT4 I0=$abc$123635$n1254 I1=I2C.received_byte[2] I2=I2C.received_byte[7] I3=I2C.received_byte[6] O=$abc$123635$n1253 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000001000000000 .gate SB_LUT4 I0=I2C.received_byte[3] I1=I2C.received_byte[4] I2=I2C.received_byte[5] I3=I2C.received_byte[1] O=$abc$123635$n1254 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000100000 .gate SB_LUT4 I0=$auto$alumacc.cc:484:replace_alu$76209[3] I1=$abc$123635$n1238 I2=$abc$123635$n1239 I3=$false O=$abc$123635$n1255 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 .gate SB_LUT4 I0=$auto$alumacc.cc:484:replace_alu$76297[0] I1=$abc$123635$n1260 I2=$techmap\I2C.$add$i2c_slave.v:157$270_Y[0] I3=$abc$123635$n1257 O=$techmap\I2C.$procmux$31770_Y[0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111110010101010 .gate SB_LUT4 I0=$abc$123635$n1258 I1=$abc$123635$n1242 I2=$abc$123635$n843 I3=$abc$123635$n844 O=$abc$123635$n1257 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000011001010 .gate SB_LUT4 I0=$abc$123635$n1259 I1=$auto$alumacc.cc:484:replace_alu$76209[3] I2=$false I3=$false O=$abc$123635$n1258 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$123635$n1238 I1=$abc$123635$n1239 I2=$false I3=$false O=$abc$123635$n1259 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$abc$123635$n1261 I1=$abc$123635$n1262 I2=$auto$alumacc.cc:483:replace_alu$76296[4] I3=I2C.byte_counter[5] O=$abc$123635$n1260 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76296[7] I1=I2C.byte_counter[6] I2=$false I3=$false O=$abc$123635$n1261 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76296[2] I1=I2C.byte_counter[3] I2=I2C.byte_counter[0] I3=I2C.byte_counter[1] O=$abc$123635$n1262 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000000000000000 .gate SB_LUT4 I0=$abc$123635$n1257 I1=$abc$123635$n1260 I2=$auto$alumacc.cc:484:replace_alu$76297[0] I3=$auto$alumacc.cc:483:replace_alu$76296[1] O=$techmap\I2C.$procmux$31770_Y[1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1101111110101000 .gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76296[2] I1=$abc$123635$n1260 I2=$techmap\I2C.$add$i2c_slave.v:157$270_Y[2] I3=$abc$123635$n1257 O=$techmap\I2C.$procmux$31770_Y[2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111110010101010 .gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76296[3] I1=$abc$123635$n1260 I2=$techmap\I2C.$add$i2c_slave.v:157$270_Y[3] I3=$abc$123635$n1257 O=$techmap\I2C.$procmux$31770_Y[3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111110010101010 .gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76296[4] I1=$abc$123635$n1268 I2=$abc$123635$n844 I3=$abc$123635$n1267 O=$techmap\I2C.$procmux$31770_Y[4] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111111110100010 .gate SB_LUT4 I0=$techmap\I2C.$add$i2c_slave.v:157$270_Y[4] I1=$abc$123635$n1260 I2=$abc$123635$n1257 I3=$false O=$abc$123635$n1267 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11100000 .gate SB_LUT4 I0=$abc$123635$n1258 I1=$abc$123635$n1242 I2=$abc$123635$n843 I3=$abc$123635$n844 O=$abc$123635$n1268 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111111111001010 .gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76296[5] I1=$abc$123635$n1260 I2=$techmap\I2C.$add$i2c_slave.v:157$270_Y[5] I3=$abc$123635$n1257 O=$techmap\I2C.$procmux$31770_Y[5] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111110010101010 .gate SB_LUT4 I0=$abc$123635$n1271 I1=$abc$123635$n1257 I2=$auto$alumacc.cc:483:replace_alu$76296[6] I3=$false O=$techmap\I2C.$procmux$31770_Y[6] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10111010 .gate SB_LUT4 I0=$techmap\I2C.$add$i2c_slave.v:157$270_Y[6] I1=$abc$123635$n1260 I2=$auto$alumacc.cc:484:replace_alu$76209[3] I3=$abc$123635$n1272 O=$abc$123635$n1271 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000011100000 .gate SB_LUT4 I0=$abc$123635$n1259 I1=$abc$123635$n1237 I2=$abc$123635$n843 I3=$abc$123635$n844 O=$abc$123635$n1272 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111111100110101 .gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76296[7] I1=$abc$123635$n1260 I2=$techmap\I2C.$add$i2c_slave.v:157$270_Y[7] I3=$abc$123635$n1257 O=$techmap\I2C.$procmux$31770_Y[7] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111110010101010 .gate SB_LUT4 I0=$abc$123635$n1276 I1=$abc$123635$n1275 I2=$auto$alumacc.cc:483:replace_alu$76299[0] I3=$abc$123635$n844 O=$techmap\I2C.$procmux$31678_Y[0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111000010111010 .gate SB_LUT4 I0=$abc$123635$n845 I1=$auto$alumacc.cc:484:replace_alu$76209[3] I2=$abc$123635$n1240 I3=$abc$123635$n1236 O=$abc$123635$n1275 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000101111 .gate SB_LUT4 I0=$abc$123635$n1277 I1=$auto$simplemap.cc:250:simplemap_eqne$79651[0] I2=$false I3=$false O=$abc$123635$n1276 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$abc$123635$n843 I1=$auto$alumacc.cc:484:replace_alu$76209[3] I2=I2C.SCL_LAST I3=I2C.FLT_SCL.out O=$abc$123635$n1277 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000100100000 .gate SB_LUT4 I0=$abc$123635$n1280 I1=$abc$123635$n838 I2=$abc$123635$n1279 I3=$techmap\I2C.$procmux$31037_Y[1] O=$techmap\I2C.$procmux$31678_Y[1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0010111100100010 .gate SB_LUT4 I0=$abc$123635$n1275 I1=$abc$123635$n844 I2=$false I3=$false O=$abc$123635$n1279 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0010 .gate SB_LUT4 I0=$abc$123635$n1277 I1=$abc$123635$n844 I2=$false I3=$false O=$abc$123635$n1280 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0010 .gate SB_LUT4 I0=$abc$123635$n1280 I1=$auto$simplemap.cc:250:simplemap_eqne$79429[2] I2=$techmap\I2C.$procmux$31037_Y[2] I3=$abc$123635$n1279 O=$techmap\I2C.$procmux$31678_Y[2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000100011111000 .gate SB_LUT4 I0=$abc$123635$n1280 I1=$auto$simplemap.cc:250:simplemap_eqne$79726[3] I2=$auto$alumacc.cc:483:replace_alu$76208[3] I3=$abc$123635$n1279 O=$techmap\I2C.$procmux$31678_Y[3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1101110100001101 .gate SB_LUT4 I0=$abc$123635$n1284 I1=$abc$123635$n843 I2=$false I3=$false O=$techmap\I2C.$procmux$31632_Y .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1110 .gate SB_LUT4 I0=$abc$123635$n1250 I1=$abc$123635$n1258 I2=$abc$123635$n844 I3=I2C.received_byte[0] O=$abc$123635$n1284 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000100000000000 .gate SB_LUT4 I0=$abc$123635$n1286 I1=$abc$123635$n1249 I2=$abc$123635$n843 I3=$abc$123635$n844 O=$techmap\I2C.$procmux$31586_Y .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000001010111 .gate SB_LUT4 I0=$abc$123635$n1258 I1=$abc$123635$n1242 I2=$abc$123635$n1244 I3=$abc$123635$n843 O=$abc$123635$n1286 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1100000010101010 .gate SB_LUT4 I0=$abc$123635$n1245 I1=$abc$123635$n1288 I2=$abc$123635$n844 I3=$false O=$techmap\I2C.$procmux$31540_Y .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10100011 .gate SB_LUT4 I0=$abc$123635$n1296 I1=$abc$123635$n1289 I2=$abc$123635$n1298 I3=$abc$123635$n843 O=$abc$123635$n1288 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000001110101010 .gate SB_LUT4 I0=$abc$123635$n1295 I1=$abc$123635$n1294 I2=$abc$123635$n1290 I3=$auto$simplemap.cc:250:simplemap_eqne$79429[2] O=$abc$123635$n1289 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1010000011000000 .gate SB_LUT4 I0=$abc$123635$n1291 I1=$abc$123635$n1292 I2=$abc$123635$n1239 I3=$auto$alumacc.cc:484:replace_alu$76209[3] O=$abc$123635$n1290 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000010000 .gate SB_LUT4 I0=$abc$123635$n1205 I1=$abc$123635$n1197 I2=$abc$123635$n837 I3=$auto$simplemap.cc:250:simplemap_eqne$79429[2] O=$abc$123635$n1291 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1010000011000000 .gate SB_LUT4 I0=$abc$123635$n838 I1=$abc$123635$n1293 I2=$auto$simplemap.cc:250:simplemap_eqne$79651[0] I3=$false O=$abc$123635$n1292 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000001 .gate SB_LUT4 I0=$abc$123635$n1209 I1=$abc$123635$n1201 I2=$auto$simplemap.cc:250:simplemap_eqne$79429[2] I3=$false O=$abc$123635$n1293 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 .gate SB_LUT4 I0=$abc$123635$n1203 I1=$abc$123635$n1199 I2=$abc$123635$n838 I3=$auto$simplemap.cc:250:simplemap_eqne$79651[0] O=$abc$123635$n1294 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0011010111111111 .gate SB_LUT4 I0=$abc$123635$n1211 I1=$abc$123635$n1207 I2=$abc$123635$n838 I3=$auto$simplemap.cc:250:simplemap_eqne$79651[0] O=$abc$123635$n1295 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0011010111111111 .gate SB_LUT4 I0=$abc$123635$n1258 I1=$abc$123635$n1249 I2=$abc$123635$n1297 I3=$false O=$abc$123635$n1296 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00001101 .gate SB_LUT4 I0=$auto$alumacc.cc:484:replace_alu$76209[3] I1=$abc$123635$n1239 I2=$abc$123635$n1245 I3=$false O=$abc$123635$n1297 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01110000 .gate SB_LUT4 I0=$abc$123635$n1239 I1=$abc$123635$n1238 I2=$auto$alumacc.cc:484:replace_alu$76209[3] I3=$abc$123635$n1245 O=$abc$123635$n1298 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1101010100000000 .gate SB_LUT4 I0=$abc$123635$n1305 I1=$abc$123635$n1300 I2=$auto$alumacc.cc:484:replace_alu$76171[3] I3=$techmap\UART.$sub$uart.v:40$588_Y[0] O=$techmap\UART.$procmux$965_Y .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111110011111010 .gate SB_LUT4 I0=$abc$123635$n1304 I1=$abc$123635$n1303 I2=$abc$123635$n1301 I3=$false O=$abc$123635$n1300 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 .gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76170[1] I1=$auto$simplemap.cc:309:simplemap_lut$87755[7] I2=$false I3=$false O=$abc$123635$n1301 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1001 .gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76170[1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0110 .gate SB_LUT4 I0=UART_TX_DATA[5] I1=UART_TX_DATA[1] I2=$techmap\UART.$sub$uart.v:40$588_Y[2] I3=$false O=$abc$123635$n1303 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 .gate SB_LUT4 I0=UART_TX_DATA[7] I1=UART_TX_DATA[3] I2=$techmap\UART.$sub$uart.v:40$588_Y[2] I3=$false O=$abc$123635$n1304 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 .gate SB_LUT4 I0=$abc$123635$n1307 I1=$abc$123635$n1306 I2=$abc$123635$n1301 I3=$false O=$abc$123635$n1305 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 .gate SB_LUT4 I0=UART_TX_DATA[4] I1=UART_TX_DATA[0] I2=$techmap\UART.$sub$uart.v:40$588_Y[2] I3=$false O=$abc$123635$n1306 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 .gate SB_LUT4 I0=UART_TX_DATA[6] I1=UART_TX_DATA[2] I2=$techmap\UART.$sub$uart.v:40$588_Y[2] I3=$false O=$abc$123635$n1307 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01010011 .gate SB_LUT4 I0=UART_WR I1=UART.TX_sig_last I2=$abc$123635$n1309 I3=UART.tx_activity O=$techmap\UART.$procmux$826_Y .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000111100100010 .gate SB_LUT4 I0=$abc$123635$n861 I1=$abc$123635$n863 I2=UART.tx_bit_counter[2] I3=UART.tx_bit_counter[3] O=$abc$123635$n1309 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000001000 .gate SB_LUT4 I0=$abc$123635$n861 I1=UART.tx_activity I2=$techmap\UART.$sub$uart.v:32$583_Y[0] I3=$false O=$techmap\UART.$procmux$812_Y[0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11111011 .gate SB_LUT4 I0=UART.tx_activity I1=UART.tx_clk_counter[0] I2=UART.tx_clk_counter[1] I3=$false O=$techmap\UART.$procmux$812_Y[1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000010 .gate SB_LUT4 I0=$abc$123635$n861 I1=UART.tx_activity I2=$techmap\UART.$sub$uart.v:32$583_Y[2] I3=$false O=$techmap\UART.$procmux$812_Y[2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11111011 .gate SB_LUT4 I0=$abc$123635$n861 I1=UART.tx_activity I2=$techmap\UART.$sub$uart.v:32$583_Y[3] I3=$false O=$techmap\UART.$procmux$812_Y[3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11111011 .gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$123446 I1=KEYBOARD.kbd_code_hid[0] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$27890_Y[0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$123446 I1=KEYBOARD.kbd_code_hid[1] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$27890_Y[1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$123446 I1=KEYBOARD.kbd_code_hid[2] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$27890_Y[2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$123446 I1=KEYBOARD.kbd_code_hid[3] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$27890_Y[3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$123446 I1=KEYBOARD.kbd_code_hid[4] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$27890_Y[4] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$123446 I1=KEYBOARD.kbd_code_hid[5] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$27890_Y[5] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$123446 I1=KEYBOARD.kbd_code_hid[6] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$27890_Y[6] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$123446 I1=KEYBOARD.kbd_code_hid[7] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$27890_Y[7] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0100 .gate SB_LUT4 I0=KEYBOARD.report[0][0] I1=$auto$rtlil.cc:1692:NotGate$123446 I2=$abc$123635$n881 I3=$false O=$techmap\KEYBOARD.$procmux$27215_Y[0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00111010 .gate SB_LUT4 I0=KEYBOARD.report[0][1] I1=$auto$rtlil.cc:1692:NotGate$123446 I2=$abc$123635$n1324 I3=$false O=$techmap\KEYBOARD.$procmux$27215_Y[1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00111010 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$123635$n1324 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00010000 .gate SB_LUT4 I0=KEYBOARD.report[0][2] I1=$auto$rtlil.cc:1692:NotGate$123446 I2=$abc$123635$n1326 I3=$false O=$techmap\KEYBOARD.$procmux$27215_Y[2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00111010 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$123635$n1326 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000100 .gate SB_LUT4 I0=KEYBOARD.report[0][3] I1=$auto$rtlil.cc:1692:NotGate$123446 I2=$abc$123635$n1328_1 I3=$false O=$techmap\KEYBOARD.$procmux$27215_Y[3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00111010 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$123635$n1328_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01000000 .gate SB_LUT4 I0=KEYBOARD.report[0][4] I1=$auto$rtlil.cc:1692:NotGate$123446 I2=$abc$123635$n1330 I3=$false O=$techmap\KEYBOARD.$procmux$27215_Y[4] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00111010 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$123635$n1330 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00000010 .gate SB_LUT4 I0=KEYBOARD.report[0][5] I1=$auto$rtlil.cc:1692:NotGate$123446 I2=$abc$123635$n1332 I3=$false O=$techmap\KEYBOARD.$procmux$27215_Y[5] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00111010 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$123635$n1332 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00100000 .gate SB_LUT4 I0=KEYBOARD.report[0][6] I1=$auto$rtlil.cc:1692:NotGate$123446 I2=$abc$123635$n1334 I3=$false O=$techmap\KEYBOARD.$procmux$27215_Y[6] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00111010 .gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$123635$n1334 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00001000 .gate SB_LUT4 I0=KEYBOARD.report[0][7] I1=$auto$rtlil.cc:1692:NotGate$123446 I2=$abc$123635$n878 I3=$false O=$techmap\KEYBOARD.$procmux$27215_Y[7] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00111010 .gate SB_LUT4 I0=$abc$123635$n1337_1 I1=$abc$123635$n879 I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$25493_Y .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 .gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$123446 I1=$abc$123635$n883 I2=$abc$123635$n889 I3=$abc$123635$n905 O=$abc$123635$n1337_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000001000000 .gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=$auto$wreduce.cc:310:run$76146[0] I2=$auto$rtlil.cc:1692:NotGate$123596 I3=$false O=$auto$simplemap.cc:309:simplemap_lut$88852[0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$123596 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$false O=$auto$wreduce.cc:310:run$76140[4] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01111000 .gate SB_LUT4 I0=KEYBOARD.row_counter[2] I1=$auto$wreduce.cc:310:run$76146[2] I2=$auto$rtlil.cc:1692:NotGate$123596 I3=$false O=$auto$wreduce.cc:310:run$76140[5] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 .gate SB_LUT4 I0=KEYBOARD.row_counter[3] I1=$auto$wreduce.cc:310:run$76146[3] I2=$auto$rtlil.cc:1692:NotGate$123596 I3=$false O=$auto$wreduce.cc:310:run$76140[6] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 .gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[0] I1=$abc$123635$n711 I2=$abc$123635$n708 I3=$false O=$techmap\KEYBOARD.$procmux$15523_Y[0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 .gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[1] I1=$abc$123635$n702 I2=$abc$123635$n697 I3=$false O=$techmap\KEYBOARD.$procmux$15523_Y[1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 .gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[2] I1=$abc$123635$n707 I2=$abc$123635$n703 I3=$false O=$techmap\KEYBOARD.$procmux$15523_Y[2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 .gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[3] I1=$abc$123635$n699 I2=$abc$123635$n692 I3=$false O=$techmap\KEYBOARD.$procmux$15523_Y[3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 .gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[4] I1=$abc$123635$n695 I2=$abc$123635$n688 I3=$false O=$techmap\KEYBOARD.$procmux$15523_Y[4] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 .gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[5] I1=$abc$123635$n687 I2=$abc$123635$n677 I3=$false O=$techmap\KEYBOARD.$procmux$15523_Y[5] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 .gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[6] I1=$abc$123635$n1349 I2=$abc$123635$n673 I3=$false O=$techmap\KEYBOARD.$procmux$15523_Y[6] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 .gate SB_LUT4 I0=KEYBOARD.temp[6] I1=KEYBOARD.RAM.r_data[6] I2=$abc$123635$n669_1 I3=$false O=$abc$123635$n1349 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 .gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[7] I1=$abc$123635$n1351 I2=$abc$123635$n661 I3=$false O=$techmap\KEYBOARD.$procmux$15523_Y[7] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10101100 .gate SB_LUT4 I0=KEYBOARD.temp[7] I1=KEYBOARD.RAM.r_data[7] I2=$abc$123635$n669_1 I3=$false O=$abc$123635$n1351 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 .gate SB_LUT4 I0=$abc$123635$n1362 I1=$abc$123635$n1360 I2=$abc$123635$n1353 I3=$abc$123635$n1364 O=$techmap\KEYBOARD.$procmux$15309_Y[0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111111110100010 .gate SB_LUT4 I0=$abc$123635$n697 I1=$techmap\KEYBOARD.$add$matrix_kbd.v:143$436_Y[0] I2=$abc$123635$n1359 I3=$abc$123635$n1354 O=$abc$123635$n1353 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000011110100 .gate SB_LUT4 I0=$abc$123635$n688 I1=$auto$wreduce.cc:310:run$76141[0] I2=$abc$123635$n1355 I3=$abc$123635$n1358 O=$abc$123635$n1354 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0010111100000000 .gate SB_LUT4 I0=$abc$123635$n677 I1=$abc$123635$n1357 I2=$auto$wreduce.cc:310:run$76142[0] I3=$abc$123635$n1356 O=$abc$123635$n1355 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000011111101 .gate SB_LUT4 I0=$auto$wreduce.cc:310:run$76143[0] I1=$auto$wreduce.cc:310:run$76144[0] I2=$abc$123635$n660 I3=$abc$123635$n673 O=$abc$123635$n1356 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000010100000011 .gate SB_LUT4 I0=$abc$123635$n687 I1=KEYBOARD.COLS_SHADOW[5] I2=$false I3=$false O=$abc$123635$n1357 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1001 .gate SB_LUT4 I0=$abc$123635$n688 I1=$abc$123635$n695 I2=KEYBOARD.COLS_SHADOW[4] I3=$abc$123635$n692 O=$abc$123635$n1358 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000001111101 .gate SB_LUT4 I0=$abc$123635$n692 I1=$abc$123635$n699 I2=KEYBOARD.COLS_SHADOW[3] I3=$abc$123635$n697 O=$abc$123635$n1359 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000011010111 .gate SB_LUT4 I0=$techmap\KEYBOARD.$add$matrix_kbd.v:143$412_Y[0] I1=$abc$123635$n697 I2=$abc$123635$n703 I3=$abc$123635$n1361 O=$abc$123635$n1360 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000111 .gate SB_LUT4 I0=$abc$123635$n697 I1=$abc$123635$n702 I2=KEYBOARD.COLS_SHADOW[1] I3=$false O=$abc$123635$n1361 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000010 .gate SB_LUT4 I0=$abc$123635$n708 I1=$abc$123635$n703 I2=$abc$123635$n1363 I3=$auto$wreduce.cc:310:run$76140[0] O=$abc$123635$n1362 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0101010101010001 .gate SB_LUT4 I0=$abc$123635$n707 I1=KEYBOARD.COLS_SHADOW[2] I2=$false I3=$false O=$abc$123635$n1363 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1001 .gate SB_LUT4 I0=$abc$123635$n708 I1=$abc$123635$n711 I2=KEYBOARD.COLS_SHADOW[0] I3=$false O=$abc$123635$n1364 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 10000010 .gate SB_LUT4 I0=$abc$123635$n708 I1=$abc$123635$n1361 I2=$abc$123635$n1366 I3=$abc$123635$n1364 O=$techmap\KEYBOARD.$procmux$15309_Y[1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111111101010100 .gate SB_LUT4 I0=$abc$123635$n1367 I1=$abc$123635$n697 I2=$false I3=$false O=$abc$123635$n1366 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0001 .gate SB_LUT4 I0=$abc$123635$n688 I1=$abc$123635$n695 I2=KEYBOARD.COLS_SHADOW[4] I3=$abc$123635$n1368 O=$abc$123635$n1367 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000001111101 .gate SB_LUT4 I0=$abc$123635$n1357 I1=$abc$123635$n677 I2=$abc$123635$n688 I3=$false O=$abc$123635$n1368 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00001011 .gate SB_LUT4 I0=$abc$123635$n708 I1=$abc$123635$n1371 I2=$abc$123635$n1370 I3=$abc$123635$n1364 O=$techmap\KEYBOARD.$procmux$15309_Y[2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1111111101010100 .gate SB_LUT4 I0=$abc$123635$n1361 I1=$abc$123635$n1359 I2=$abc$123635$n703 I3=$false O=$abc$123635$n1370 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 00001110 .gate SB_LUT4 I0=$abc$123635$n1363 I1=$abc$123635$n703 I2=$false I3=$false O=$abc$123635$n1371 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$techmap\KEYBOARD.$procmux$15309_Y[7] I1=$auto$simplemap.cc:309:simplemap_lut$88852[0] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$15309_Y[3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1110 .gate SB_LUT4 I0=$abc$123635$n1368 I1=$abc$123635$n660 I2=$abc$123635$n1374_1 I3=$techmap\KEYBOARD.$procmux$15309_Y[2] O=$techmap\KEYBOARD.$procmux$15309_Y[7] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000111100000000 .gate SB_LUT4 I0=$abc$123635$n1358 I1=$abc$123635$n1361 I2=$abc$123635$n1371 I3=$abc$123635$n1364 O=$abc$123635$n1374_1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000000010 .gate SB_LUT4 I0=$techmap\KEYBOARD.$procmux$15309_Y[7] I1=$auto$wreduce.cc:310:run$76140[4] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$15309_Y[4] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1110 .gate SB_LUT4 I0=$techmap\KEYBOARD.$procmux$15309_Y[7] I1=$auto$wreduce.cc:310:run$76140[5] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$15309_Y[5] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1110 .gate SB_LUT4 I0=$techmap\KEYBOARD.$procmux$15309_Y[7] I1=$auto$wreduce.cc:310:run$76140[6] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$15309_Y[6] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1110 .gate SB_LUT4 I0=$abc$123635$n952 I1=$abc$123635$n871 I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$13598_Y .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0010 .gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[0] I1=$auto$wreduce.cc:310:run$76146[0] I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$techmap\KEYBOARD.$procmux$10950_Y[0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 .gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[1] I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=KEYBOARD.init_ram_cnt[8] O=$techmap\KEYBOARD.$procmux$10950_Y[1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0011110010101010 .gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[2] I1=$auto$wreduce.cc:310:run$76146[2] I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$techmap\KEYBOARD.$procmux$10950_Y[2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 .gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[3] I1=$auto$wreduce.cc:310:run$76146[3] I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$techmap\KEYBOARD.$procmux$10950_Y[3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 11001010 .gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C_OUTPUT_TYPE[1] I2=I2C_OUTPUT_TYPE[0] I3=$auto$wreduce.cc:310:run$76139[0] O=$techmap\I2C_HID_DESC.$procmux$659_Y[0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1110111100100000 .gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=I2C_OUTPUT_TYPE[0] I2=I2C.byte_counter[5] I3=$false O=$techmap\I2C_HID_DESC.$procmux$659_Y[5] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47" .param LUT_INIT 01001011 .gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=I2C_OUTPUT_TYPE[0] I2=I2C.byte_counter[5] I3=I2C.byte_counter[6] O=$techmap\I2C_HID_DESC.$procmux$659_Y[6] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100111110110000 .gate SB_LUT4 I0=I2C.byte_counter[7] I1=I2C_OUTPUT_TYPE[1] I2=I2C_OUTPUT_TYPE[0] I3=$auto$wreduce.cc:310:run$76139[7] O=$techmap\I2C_HID_DESC.$procmux$659_Y[7] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1110111100100000 .gate SB_LUT4 I0=$abc$123635$n959 I1=$auto$wreduce.cc:310:run$76138[2] I2=$false I3=$false O=$techmap\I2C.FLT_SDA.$procmux$1066_Y[2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1110 .gate SB_LUT4 I0=$abc$123635$n965 I1=$auto$wreduce.cc:310:run$76137[2] I2=$false I3=$false O=$techmap\I2C.FLT_SCL.$procmux$1066_Y[2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1110 .gate SB_LUT4 I0=init_ram_cnt[1] I1=init_ram_cnt[0] I2=$false I3=$false O=$2\init_ram_cnt[7:0][1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0110 .gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1001 .gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[0] I1=KEYBOARD.init_ram_cnt[1] I2=$false I3=$false O=$auto$wreduce.cc:310:run$76145[1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0110 .gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[1] I2=$false I3=$false O=$auto$wreduce.cc:310:run$76147[1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 0110 .gate SB_LUT4 I0=$2\ring_wr[3:0][1] I1=$2\ring_wr[3:0][3] I2=$false I3=$false O=$auto$maccmap.cc:112:fulladd$77153[4] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[1] I2=ring_wr[3] I3=ring_wr[2] O=$auto$maccmap.cc:111:fulladd$77133[5] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001011111000000 .gate SB_LUT4 I0=$abc$123635$n1041 I1=wr_cnt[2] I2=ring_wr[1] I3=ring_wr[3] O=$auto$maccmap.cc:112:fulladd$77134[4] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000010000000 .gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[1] I2=ring_wr[3] I3=ring_wr[2] O=$auto$maccmap.cc:112:fulladd$77134[5] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1110100000000000 .gate SB_LUT4 I0=$2\ring_rd[3:0][1] I1=$2\ring_rd[3:0][3] I2=$false I3=$false O=$auto$maccmap.cc:112:fulladd$76952[4] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43" .param LUT_INIT 1000 .gate SB_LUT4 I0=$auto$wreduce.cc:310:run$76146[0] I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$auto$wreduce.cc:310:run$76146[2] O=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[8] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000001000001 .gate SB_LUT4 I0=$auto$wreduce.cc:310:run$76146[0] I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$auto$wreduce.cc:310:run$76146[2] O=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[9] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000010000010 .gate SB_LUT4 I0=$auto$wreduce.cc:310:run$76146[0] I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$auto$wreduce.cc:310:run$76146[2] O=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[10] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000010100 .gate SB_LUT4 I0=$auto$wreduce.cc:310:run$76146[0] I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$auto$wreduce.cc:310:run$76146[2] O=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[11] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0000000000101000 .gate SB_LUT4 I0=$auto$wreduce.cc:310:run$76146[0] I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$auto$wreduce.cc:310:run$76146[2] O=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[12] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0100000100000000 .gate SB_LUT4 I0=$auto$wreduce.cc:310:run$76146[0] I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$auto$wreduce.cc:310:run$76146[2] O=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[13] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 1000001000000000 .gate SB_LUT4 I0=$auto$wreduce.cc:310:run$76146[0] I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$auto$wreduce.cc:310:run$76146[2] O=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[14] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0001010000000000 .gate SB_LUT4 I0=$auto$wreduce.cc:310:run$76146[0] I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$auto$wreduce.cc:310:run$76146[2] O=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[15] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51" .param LUT_INIT 0010100000000000 .gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$123446 I1=$false I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$29977_CMP .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$auto$wreduce.cc:310:run$76146[3] I1=$false I2=$false I3=$false O=$auto$rtlil.cc:1692:NotGate$123560 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[8] I1=$false I2=$false I3=$false O=$auto$rtlil.cc:1692:NotGate$123578 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I1=$false I2=$false I3=$false O=$auto$rtlil.cc:1692:NotGate$123594 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=RESET I1=$false I2=$false I3=$false O=$logic_not$top.v:68$13_Y .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=UART.tx_activity I1=$false I2=$false I3=$false O=$eq$top.v:256$174_Y .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$auto$dff2dffe.cc:175:make_patterns_logic$120653 I1=$false I2=$false I3=$false O=$auto$dff2dffe.cc:158:make_patterns_logic$122616 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=init_ram_cnt[7] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76213[7] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=init_ram_cnt[6] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76213[6] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=init_ram_cnt[5] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76213[5] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=init_ram_cnt[4] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76213[4] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=init_ram_cnt[2] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76213[2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=init_ram_cnt[0] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76213[0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=i2c_input_data_type[3] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76231[3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=i2c_input_data_type[1] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76231[1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=i2c_input_data_type[0] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76231[0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.received_byte[7] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$76241.BB[7] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.received_byte[6] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$76241.BB[6] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.received_byte[5] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$76241.BB[5] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.received_byte[4] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$76241.BB[4] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.received_byte[3] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$76241.BB[3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.received_byte[2] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$76241.BB[2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C.received_byte[1] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$76241.BB[1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=init_ram_cnt[1] I1=$false I2=$false I3=$false O=$sub$top.v:88$26_Y[1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76208[3] I1=$false I2=$false I3=$false O=$techmap\I2C.$procmux$31037_Y[3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$auto$simplemap.cc:309:simplemap_lut$87755[7] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76170[0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76170[1] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$76169.B_buf[1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76299[0] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76208[0] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C_INPUT_LEN[1] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76175[1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76175[2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76175[3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C_INPUT_LEN[4] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76175[4] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C_INPUT_LEN[5] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76175[5] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C_INPUT_LEN[6] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76175[6] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=I2C_INPUT_LEN[7] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76175[7] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=i2c_input_data_type[2] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$76230.BB[2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$techmap\I2C.$procmux$31037_Y[1] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76208[1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$techmap\I2C.$procmux$31037_Y[2] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76208[2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76296[1] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76291[1] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76296[2] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76291[2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76296[5] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76291[5] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76296[6] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76291[6] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76296[7] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76291[7] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76296[3] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76291[3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$76296[4] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76291[4] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=init_ram_cnt[3] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$76212.BB[3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$auto$alumacc.cc:470:replace_alu$76169.B_buf[2] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76170[2] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=$auto$alumacc.cc:470:replace_alu$76169.B_buf[3] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$76170[3] .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_LUT4 I0=INT I1=$false I2=$false I3=$false O=LED1 .attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39" .param LUT_INIT 01 .gate SB_CARRY CI=$auto$alumacc.cc:483:replace_alu$76170[0] CO=$auto$alumacc.cc:484:replace_alu$76171[1] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76170[1] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76171[1] CO=$auto$alumacc.cc:484:replace_alu$76171[2] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76170[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76171[2] CO=$auto$alumacc.cc:484:replace_alu$76171[3] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76170[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:483:replace_alu$76175[1] CO=$auto$alumacc.cc:484:replace_alu$76176[2] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76175[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76176[2] CO=$auto$alumacc.cc:484:replace_alu$76176[3] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76175[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76176[3] CO=$auto$alumacc.cc:484:replace_alu$76176[4] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76175[4] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76176[4] CO=$auto$alumacc.cc:484:replace_alu$76176[5] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76175[5] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76176[5] CO=$auto$alumacc.cc:484:replace_alu$76176[6] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76175[6] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76176[6] CO=$auto$alumacc.cc:484:replace_alu$76176[7] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76175[7] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$76241.BB[1] CO=$auto$alumacc.cc:484:replace_alu$76181[2] I0=$true I1=$auto$alumacc.cc:470:replace_alu$76241.BB[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76181[2] CO=$auto$alumacc.cc:484:replace_alu$76181[3] I0=$false I1=$auto$alumacc.cc:470:replace_alu$76241.BB[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76181[3] CO=$auto$alumacc.cc:484:replace_alu$76181[4] I0=$false I1=$auto$alumacc.cc:470:replace_alu$76241.BB[4] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76181[4] CO=$auto$alumacc.cc:484:replace_alu$76181[5] I0=$false I1=$auto$alumacc.cc:470:replace_alu$76241.BB[5] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76181[5] CO=$auto$alumacc.cc:484:replace_alu$76181[6] I0=$false I1=$auto$alumacc.cc:470:replace_alu$76241.BB[6] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76181[6] CO=$auto$alumacc.cc:484:replace_alu$76181[7] I0=$false I1=$auto$alumacc.cc:470:replace_alu$76241.BB[7] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=KEYBOARD.kbd_code_hid[3] CO=$auto$alumacc.cc:484:replace_alu$76186[4] I0=KEYBOARD.kbd_code_hid[4] I1=$true .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76186[4] CO=$auto$alumacc.cc:484:replace_alu$76186[5] I0=KEYBOARD.kbd_code_hid[5] I1=$false .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76186[5] CO=$auto$alumacc.cc:484:replace_alu$76186[6] I0=KEYBOARD.kbd_code_hid[6] I1=$false .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76186[6] CO=$auto$alumacc.cc:484:replace_alu$76186[7] I0=KEYBOARD.kbd_code_hid[7] I1=$false .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=KEYBOARD.kbd_code_hid[0] CO=$auto$alumacc.cc:484:replace_alu$76198[1] I0=KEYBOARD.kbd_code_hid[1] I1=$false .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76198[1] CO=$auto$alumacc.cc:484:replace_alu$76198[2] I0=KEYBOARD.kbd_code_hid[2] I1=$false .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76198[2] CO=$auto$alumacc.cc:484:replace_alu$76198[3] I0=KEYBOARD.kbd_code_hid[3] I1=$false .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76198[3] CO=$auto$alumacc.cc:484:replace_alu$76198[4] I0=KEYBOARD.kbd_code_hid[4] I1=$false .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76198[4] CO=$auto$alumacc.cc:484:replace_alu$76198[5] I0=KEYBOARD.kbd_code_hid[5] I1=$true .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76198[5] CO=$auto$alumacc.cc:484:replace_alu$76198[6] I0=KEYBOARD.kbd_code_hid[6] I1=$false .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76198[6] CO=$auto$alumacc.cc:484:replace_alu$76198[7] I0=KEYBOARD.kbd_code_hid[7] I1=$false .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:483:replace_alu$76208[0] CO=$auto$alumacc.cc:484:replace_alu$76209[1] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76208[1] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76209[1] CO=$auto$alumacc.cc:484:replace_alu$76209[2] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76208[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76209[2] CO=$auto$alumacc.cc:484:replace_alu$76209[3] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76208[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:483:replace_alu$76213[0] CO=$auto$alumacc.cc:484:replace_alu$76214[1] I0=$true I1=$sub$top.v:88$26_Y[1] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76214[1] CO=$auto$alumacc.cc:484:replace_alu$76214[2] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76213[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76214[2] CO=$auto$alumacc.cc:484:replace_alu$76214[3] I0=$true I1=$auto$alumacc.cc:470:replace_alu$76212.BB[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76214[3] CO=$auto$alumacc.cc:484:replace_alu$76214[4] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76213[4] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76214[4] CO=$auto$alumacc.cc:484:replace_alu$76214[5] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76213[5] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76214[5] CO=$auto$alumacc.cc:484:replace_alu$76214[6] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76213[6] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76214[6] CO=$auto$alumacc.cc:484:replace_alu$76214[7] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76213[7] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=init_ram_cnt[1] CO=$auto$alumacc.cc:484:replace_alu$76225[2] I0=init_ram_cnt[2] I1=$true .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76225[2] CO=$auto$alumacc.cc:484:replace_alu$76225[3] I0=init_ram_cnt[3] I1=$false .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76225[3] CO=$auto$alumacc.cc:484:replace_alu$76225[4] I0=init_ram_cnt[4] I1=$true .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76225[4] CO=$auto$alumacc.cc:484:replace_alu$76225[5] I0=init_ram_cnt[5] I1=$false .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76225[5] CO=$auto$alumacc.cc:484:replace_alu$76225[6] I0=init_ram_cnt[6] I1=$true .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76225[6] CO=$auto$simplemap.cc:250:simplemap_eqne$99680[1] I0=init_ram_cnt[7] I1=$false .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:483:replace_alu$76231[0] CO=$auto$alumacc.cc:484:replace_alu$76232[1] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76231[1] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76232[1] CO=$auto$alumacc.cc:484:replace_alu$76232[2] I0=$true I1=$auto$alumacc.cc:470:replace_alu$76230.BB[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76232[2] CO=$auto$alumacc.cc:484:replace_alu$76232[3] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76231[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$76241.BB[1] CO=$auto$alumacc.cc:470:replace_alu$76241.C[3] I0=$false I1=$auto$alumacc.cc:470:replace_alu$76241.BB[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$76241.C[3] CO=$auto$alumacc.cc:470:replace_alu$76241.C[4] I0=$false I1=$auto$alumacc.cc:470:replace_alu$76241.BB[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$76241.C[4] CO=$auto$alumacc.cc:470:replace_alu$76241.C[5] I0=$false I1=$auto$alumacc.cc:470:replace_alu$76241.BB[4] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$76241.C[5] CO=$auto$alumacc.cc:470:replace_alu$76241.C[6] I0=$false I1=$auto$alumacc.cc:470:replace_alu$76241.BB[5] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$76241.C[6] CO=$auto$alumacc.cc:470:replace_alu$76241.C[7] I0=$false I1=$auto$alumacc.cc:470:replace_alu$76241.BB[6] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$76241.C[7] CO=$auto$alumacc.cc:484:replace_alu$76243[7] I0=$false I1=$auto$alumacc.cc:470:replace_alu$76241.BB[7] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$76170[0] I3=$true O=$techmap\UART.$sub$uart.v:40$588_Y[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:483:replace_alu$76170[0] CO=$auto$alumacc.cc:484:replace_alu$76256[1] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76170[1] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$76170[2] I3=$auto$alumacc.cc:484:replace_alu$76256[1] O=$techmap\UART.$sub$uart.v:40$588_Y[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=wr_cnt[0] I3=$false O=$add$top.v:125$80_Y[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=wr_cnt[0] CO=$auto$alumacc.cc:484:replace_alu$76259[1] I0=$false I1=wr_cnt[1] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[2] I3=$auto$alumacc.cc:484:replace_alu$76259[1] O=$add$top.v:125$80_Y[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76259[1] CO=$auto$alumacc.cc:484:replace_alu$76259[2] I0=$false I1=wr_cnt[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[3] I3=$auto$alumacc.cc:484:replace_alu$76259[2] O=$add$top.v:125$80_Y[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=ring_rd[0] I3=$false O=$add$top.v:176$124_Y[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=ring_rd[0] CO=$auto$alumacc.cc:484:replace_alu$76262[1] I0=$false I1=ring_rd[1] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=ring_rd[2] I3=$auto$alumacc.cc:484:replace_alu$76262[1] O=$add$top.v:176$124_Y[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76262[1] CO=$auto$alumacc.cc:484:replace_alu$76262[2] I0=$false I1=ring_rd[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=ring_rd[3] I3=$auto$alumacc.cc:484:replace_alu$76262[2] O=$add$top.v:176$124_Y[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=report_data_radr[0] I3=$false O=$add$top.v:180$126_Y[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=report_data_radr[0] CO=$auto$alumacc.cc:484:replace_alu$76265[1] I0=$false I1=report_data_radr[1] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[2] I3=$auto$alumacc.cc:484:replace_alu$76265[1] O=$add$top.v:180$126_Y[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76265[1] CO=$auto$alumacc.cc:484:replace_alu$76265[2] I0=$false I1=report_data_radr[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[3] I3=$auto$alumacc.cc:484:replace_alu$76265[2] O=$add$top.v:180$126_Y[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76265[2] CO=$auto$alumacc.cc:484:replace_alu$76265[3] I0=$false I1=report_data_radr[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[4] I3=$auto$alumacc.cc:484:replace_alu$76265[3] O=$add$top.v:180$126_Y[4] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76265[3] CO=$auto$alumacc.cc:484:replace_alu$76265[4] I0=$false I1=report_data_radr[4] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[5] I3=$auto$alumacc.cc:484:replace_alu$76265[4] O=$add$top.v:180$126_Y[5] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76265[4] CO=$auto$alumacc.cc:484:replace_alu$76265[5] I0=$false I1=report_data_radr[5] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[6] I3=$auto$alumacc.cc:484:replace_alu$76265[5] O=$add$top.v:180$126_Y[6] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76265[5] CO=$auto$alumacc.cc:484:replace_alu$76265[6] I0=$false I1=report_data_radr[6] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[7] I3=$auto$alumacc.cc:484:replace_alu$76265[6] O=$add$top.v:180$126_Y[7] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=int_tmr[0] I3=$false O=$13\int_tmr[19:0][0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$false I2=int_tmr[10] I3=$auto$alumacc.cc:484:replace_alu$76268[9] O=$13\int_tmr[19:0][10] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[9] CO=$auto$alumacc.cc:484:replace_alu$76268[10] I0=$false I1=int_tmr[10] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=int_tmr[11] I3=$auto$alumacc.cc:484:replace_alu$76268[10] O=$13\int_tmr[19:0][11] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[10] CO=$auto$alumacc.cc:484:replace_alu$76268[11] I0=$false I1=int_tmr[11] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=int_tmr[12] I3=$auto$alumacc.cc:484:replace_alu$76268[11] O=$13\int_tmr[19:0][12] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[11] CO=$auto$alumacc.cc:484:replace_alu$76268[12] I0=$false I1=int_tmr[12] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=int_tmr[13] I3=$auto$alumacc.cc:484:replace_alu$76268[12] O=$13\int_tmr[19:0][13] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[12] CO=$auto$alumacc.cc:484:replace_alu$76268[13] I0=$false I1=int_tmr[13] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=int_tmr[14] I3=$auto$alumacc.cc:484:replace_alu$76268[13] O=$13\int_tmr[19:0][14] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[13] CO=$auto$alumacc.cc:484:replace_alu$76268[14] I0=$false I1=int_tmr[14] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=int_tmr[15] I3=$auto$alumacc.cc:484:replace_alu$76268[14] O=$13\int_tmr[19:0][15] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[14] CO=$auto$alumacc.cc:484:replace_alu$76268[15] I0=$false I1=int_tmr[15] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=int_tmr[16] I3=$auto$alumacc.cc:484:replace_alu$76268[15] O=$13\int_tmr[19:0][16] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[15] CO=$auto$alumacc.cc:484:replace_alu$76268[16] I0=$false I1=int_tmr[16] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=int_tmr[17] I3=$auto$alumacc.cc:484:replace_alu$76268[16] O=$13\int_tmr[19:0][17] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[16] CO=$auto$alumacc.cc:484:replace_alu$76268[17] I0=$false I1=int_tmr[17] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=int_tmr[18] I3=$auto$alumacc.cc:484:replace_alu$76268[17] O=$13\int_tmr[19:0][18] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[17] CO=$auto$alumacc.cc:484:replace_alu$76268[18] I0=$false I1=int_tmr[18] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=int_tmr[19] I3=$auto$alumacc.cc:484:replace_alu$76268[18] O=$add$top.v:271$182_Y[19] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=int_tmr[0] CO=$auto$alumacc.cc:484:replace_alu$76268[1] I0=$false I1=int_tmr[1] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=int_tmr[2] I3=$auto$alumacc.cc:484:replace_alu$76268[1] O=$13\int_tmr[19:0][2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[1] CO=$auto$alumacc.cc:484:replace_alu$76268[2] I0=$false I1=int_tmr[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=int_tmr[3] I3=$auto$alumacc.cc:484:replace_alu$76268[2] O=$13\int_tmr[19:0][3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[2] CO=$auto$alumacc.cc:484:replace_alu$76268[3] I0=$false I1=int_tmr[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=int_tmr[4] I3=$auto$alumacc.cc:484:replace_alu$76268[3] O=$13\int_tmr[19:0][4] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[3] CO=$auto$alumacc.cc:484:replace_alu$76268[4] I0=$false I1=int_tmr[4] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=int_tmr[5] I3=$auto$alumacc.cc:484:replace_alu$76268[4] O=$13\int_tmr[19:0][5] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[4] CO=$auto$alumacc.cc:484:replace_alu$76268[5] I0=$false I1=int_tmr[5] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=int_tmr[6] I3=$auto$alumacc.cc:484:replace_alu$76268[5] O=$13\int_tmr[19:0][6] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[5] CO=$auto$alumacc.cc:484:replace_alu$76268[6] I0=$false I1=int_tmr[6] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=int_tmr[7] I3=$auto$alumacc.cc:484:replace_alu$76268[6] O=$13\int_tmr[19:0][7] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[6] CO=$auto$alumacc.cc:484:replace_alu$76268[7] I0=$false I1=int_tmr[7] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=int_tmr[8] I3=$auto$alumacc.cc:484:replace_alu$76268[7] O=$13\int_tmr[19:0][8] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[7] CO=$auto$alumacc.cc:484:replace_alu$76268[8] I0=$false I1=int_tmr[8] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=int_tmr[9] I3=$auto$alumacc.cc:484:replace_alu$76268[8] O=$13\int_tmr[19:0][9] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76268[8] CO=$auto$alumacc.cc:484:replace_alu$76268[9] I0=$false I1=int_tmr[9] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$logic_not$top.v:68$13_Y I2=rststate[0] I3=$false O=$add$top.v:68$14_Y[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$false CO=$auto$alumacc.cc:484:replace_alu$76271[0] I0=$logic_not$top.v:68$13_Y I1=rststate[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=rststate[1] I3=$auto$alumacc.cc:484:replace_alu$76271[0] O=$add$top.v:68$14_Y[1] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76271[0] CO=$auto$alumacc.cc:484:replace_alu$76271[1] I0=$false I1=rststate[1] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=rststate[2] I3=$auto$alumacc.cc:484:replace_alu$76271[1] O=$add$top.v:68$14_Y[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76271[1] CO=$auto$alumacc.cc:484:replace_alu$76271[2] I0=$false I1=rststate[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=rststate[3] I3=$auto$alumacc.cc:484:replace_alu$76271[2] O=$add$top.v:68$14_Y[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=init_ram_cnt[0] I3=$false O=$2\init_ram_cnt[7:0][0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=init_ram_cnt[0] CO=$auto$alumacc.cc:484:replace_alu$76274[1] I0=$false I1=init_ram_cnt[1] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[2] I3=$auto$alumacc.cc:484:replace_alu$76274[1] O=$2\init_ram_cnt[7:0][2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76274[1] CO=$auto$alumacc.cc:484:replace_alu$76274[2] I0=$false I1=init_ram_cnt[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[3] I3=$auto$alumacc.cc:484:replace_alu$76274[2] O=$2\init_ram_cnt[7:0][3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76274[2] CO=$auto$alumacc.cc:484:replace_alu$76274[3] I0=$false I1=init_ram_cnt[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[4] I3=$auto$alumacc.cc:484:replace_alu$76274[3] O=$2\init_ram_cnt[7:0][4] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76274[3] CO=$auto$alumacc.cc:484:replace_alu$76274[4] I0=$false I1=init_ram_cnt[4] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[5] I3=$auto$alumacc.cc:484:replace_alu$76274[4] O=$2\init_ram_cnt[7:0][5] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76274[4] CO=$auto$alumacc.cc:484:replace_alu$76274[5] I0=$false I1=init_ram_cnt[5] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[6] I3=$auto$alumacc.cc:484:replace_alu$76274[5] O=$2\init_ram_cnt[7:0][6] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76274[5] CO=$auto$alumacc.cc:484:replace_alu$76274[6] I0=$false I1=init_ram_cnt[6] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[7] I3=$auto$alumacc.cc:484:replace_alu$76274[6] O=$2\init_ram_cnt[7:0][7] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=ring_wr[0] I3=$false O=$add$top.v:98$41_Y[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=ring_wr[0] CO=$auto$alumacc.cc:484:replace_alu$76277[1] I0=$false I1=ring_wr[1] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=ring_wr[2] I3=$auto$alumacc.cc:484:replace_alu$76277[1] O=$add$top.v:98$41_Y[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76277[1] CO=$auto$alumacc.cc:484:replace_alu$76277[2] I0=$false I1=ring_wr[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=ring_wr[3] I3=$auto$alumacc.cc:484:replace_alu$76277[2] O=$add$top.v:98$41_Y[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76277[2] CO=$auto$alumacc.cc:484:replace_alu$76277[3] I0=$false I1=ring_wr[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$alumacc.cc:484:replace_alu$76277[3] O=$add$top.v:98$41_Y[4] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=wr_cnt[0] I2=$true I3=$true O=$sub$top.v:122$76_Y[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=wr_cnt[0] I2=$false I3=$true O=$sub$top.v:124$78_Y[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=wr_cnt[0] CO=$auto$alumacc.cc:484:replace_alu$76283[1] I0=wr_cnt[1] I1=$false .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=wr_cnt[2] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76283[1] O=$sub$top.v:124$78_Y[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=I2C.byte_counter[0] I2=$false I3=$true O=$2\I2C_INPUT_LEN[7:0][0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=I2C.byte_counter[0] CO=$auto$alumacc.cc:484:replace_alu$76286[1] I0=I2C.byte_counter[1] I1=$true .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=I2C.byte_counter[2] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76286[1] O=$2\I2C_INPUT_LEN[7:0][2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76286[1] CO=$auto$alumacc.cc:484:replace_alu$76286[2] I0=I2C.byte_counter[2] I1=$true .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=I2C.byte_counter[3] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76286[2] O=$2\I2C_INPUT_LEN[7:0][3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76286[2] CO=$auto$alumacc.cc:484:replace_alu$76286[3] I0=I2C.byte_counter[3] I1=$true .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=I2C.byte_counter[4] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76286[3] O=$2\I2C_INPUT_LEN[7:0][4] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76286[3] CO=$auto$alumacc.cc:484:replace_alu$76286[4] I0=I2C.byte_counter[4] I1=$true .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=I2C.byte_counter[5] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76286[4] O=$2\I2C_INPUT_LEN[7:0][5] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76286[4] CO=$auto$alumacc.cc:484:replace_alu$76286[5] I0=I2C.byte_counter[5] I1=$true .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=I2C.byte_counter[6] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76286[5] O=$2\I2C_INPUT_LEN[7:0][6] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76286[5] CO=$auto$alumacc.cc:484:replace_alu$76286[6] I0=I2C.byte_counter[6] I1=$true .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=I2C.byte_counter[7] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76286[6] O=$2\I2C_INPUT_LEN[7:0][7] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=init_ram_cnt[0] I2=$true I3=$true O=$sub$top.v:88$26_Y[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=init_ram_cnt[1] CO=$auto$alumacc.cc:484:replace_alu$76289[2] I0=init_ram_cnt[2] I1=$true .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=init_ram_cnt[3] I2=$false I3=$auto$alumacc.cc:484:replace_alu$76289[2] O=$sub$top.v:88$26_Y[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76289[2] CO=$auto$alumacc.cc:484:replace_alu$76289[3] I0=init_ram_cnt[3] I1=$false .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=init_ram_cnt[4] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76289[3] O=$sub$top.v:88$26_Y[4] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76289[3] CO=$auto$alumacc.cc:484:replace_alu$76289[4] I0=init_ram_cnt[4] I1=$true .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=init_ram_cnt[5] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76289[4] O=$sub$top.v:88$26_Y[5] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76289[4] CO=$auto$alumacc.cc:484:replace_alu$76289[5] I0=init_ram_cnt[5] I1=$true .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=init_ram_cnt[6] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76289[5] O=$sub$top.v:88$26_Y[6] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76289[5] CO=$auto$alumacc.cc:484:replace_alu$76289[6] I0=init_ram_cnt[6] I1=$true .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=init_ram_cnt[7] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76289[6] O=$sub$top.v:88$26_Y[7] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:483:replace_alu$76291[1] CO=$auto$alumacc.cc:484:replace_alu$76292[2] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76291[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76292[2] CO=$auto$alumacc.cc:484:replace_alu$76292[3] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76291[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76292[3] CO=$auto$alumacc.cc:484:replace_alu$76292[4] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76291[4] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76292[4] CO=$auto$alumacc.cc:484:replace_alu$76292[5] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76291[5] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76292[5] CO=$auto$alumacc.cc:484:replace_alu$76292[6] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76291[6] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76292[6] CO=$auto$alumacc.cc:484:replace_alu$76292[7] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76291[7] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$true I2=$auto$alumacc.cc:484:replace_alu$76297[0] I3=$false O=$techmap\I2C.$add$i2c_slave.v:157$270_Y[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76297[0] CO=$auto$alumacc.cc:484:replace_alu$76297[1] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76296[1] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$76296[2] I3=$auto$alumacc.cc:484:replace_alu$76297[1] O=$techmap\I2C.$add$i2c_slave.v:157$270_Y[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76297[1] CO=$auto$alumacc.cc:484:replace_alu$76297[2] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76296[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$76296[3] I3=$auto$alumacc.cc:484:replace_alu$76297[2] O=$techmap\I2C.$add$i2c_slave.v:157$270_Y[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76297[2] CO=$auto$alumacc.cc:484:replace_alu$76297[3] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76296[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$76296[4] I3=$auto$alumacc.cc:484:replace_alu$76297[3] O=$techmap\I2C.$add$i2c_slave.v:157$270_Y[4] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76297[3] CO=$auto$alumacc.cc:484:replace_alu$76297[4] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76296[4] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$76296[5] I3=$auto$alumacc.cc:484:replace_alu$76297[4] O=$techmap\I2C.$add$i2c_slave.v:157$270_Y[5] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76297[4] CO=$auto$alumacc.cc:484:replace_alu$76297[5] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76296[5] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$76296[6] I3=$auto$alumacc.cc:484:replace_alu$76297[5] O=$techmap\I2C.$add$i2c_slave.v:157$270_Y[6] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76297[5] CO=$auto$alumacc.cc:484:replace_alu$76297[6] I0=$false I1=$auto$alumacc.cc:483:replace_alu$76296[6] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$76296[7] I3=$auto$alumacc.cc:484:replace_alu$76297[6] O=$techmap\I2C.$add$i2c_slave.v:157$270_Y[7] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$auto$alumacc.cc:483:replace_alu$76299[0] I2=$false I3=$true O=$auto$simplemap.cc:250:simplemap_eqne$79651[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:483:replace_alu$76299[0] CO=$auto$alumacc.cc:484:replace_alu$76300[1] I0=$techmap\I2C.$procmux$31037_Y[1] I1=$true .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$techmap\I2C.$procmux$31037_Y[2] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76300[1] O=$auto$simplemap.cc:250:simplemap_eqne$79429[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76300[1] CO=$auto$alumacc.cc:484:replace_alu$76300[2] I0=$techmap\I2C.$procmux$31037_Y[2] I1=$true .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$techmap\I2C.$procmux$31037_Y[3] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76300[2] O=$auto$simplemap.cc:250:simplemap_eqne$79726[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76300[2] CO=$auto$simplemap.cc:309:simplemap_lut$88206[1] I0=$techmap\I2C.$procmux$31037_Y[3] I1=$true .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$true I3=$auto$simplemap.cc:309:simplemap_lut$88206[1] O=$auto$simplemap.cc:250:simplemap_eqne$79726[4] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[0] I2=$false I3=$true O=$auto$wreduce.cc:310:run$76137[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=I2C.FLT_SCL.counter[0] CO=$auto$alumacc.cc:484:replace_alu$76303[1] I0=I2C.FLT_SCL.counter[1] I1=$true .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[2] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76303[1] O=$auto$wreduce.cc:310:run$76137[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[0] I2=$false I3=$true O=$auto$wreduce.cc:310:run$76138[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=I2C.FLT_SDA.counter[0] CO=$auto$alumacc.cc:484:replace_alu$76306[1] I0=I2C.FLT_SDA.counter[1] I1=$true .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[2] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76306[1] O=$auto$wreduce.cc:310:run$76138[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$false I2=I2C.byte_counter[0] I3=$false O=$auto$wreduce.cc:310:run$76139[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=I2C.byte_counter[5] CO=$auto$alumacc.cc:484:replace_alu$76309[6] I0=$false I1=I2C.byte_counter[6] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=I2C.byte_counter[7] I3=$auto$alumacc.cc:484:replace_alu$76309[6] O=$auto$wreduce.cc:310:run$76139[7] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$false I2=$false I3=$false O=$auto$wreduce.cc:310:run$76140[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=$false I3=$false O=$techmap\KEYBOARD.$add$matrix_kbd.v:143$412_Y[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=$false I3=$false O=$techmap\KEYBOARD.$add$matrix_kbd.v:143$436_Y[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$false I2=$false I3=$false O=$auto$wreduce.cc:310:run$76141[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=$false I3=$false O=$auto$wreduce.cc:310:run$76142[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$false I2=$false I3=$false O=$auto$wreduce.cc:310:run$76143[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=$false I3=$false O=$auto$wreduce.cc:310:run$76144[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.init_ram_cnt[0] I3=$false O=$auto$wreduce.cc:310:run$76145[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=KEYBOARD.init_ram_cnt[0] CO=$auto$alumacc.cc:484:replace_alu$76333[1] I0=$false I1=KEYBOARD.init_ram_cnt[1] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[2] I3=$auto$alumacc.cc:484:replace_alu$76333[1] O=$auto$wreduce.cc:310:run$76145[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76333[1] CO=$auto$alumacc.cc:484:replace_alu$76333[2] I0=$false I1=KEYBOARD.init_ram_cnt[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[3] I3=$auto$alumacc.cc:484:replace_alu$76333[2] O=$auto$wreduce.cc:310:run$76145[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76333[2] CO=$auto$alumacc.cc:484:replace_alu$76333[3] I0=$false I1=KEYBOARD.init_ram_cnt[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[4] I3=$auto$alumacc.cc:484:replace_alu$76333[3] O=$auto$wreduce.cc:310:run$76145[4] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76333[3] CO=$auto$alumacc.cc:484:replace_alu$76333[4] I0=$false I1=KEYBOARD.init_ram_cnt[4] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[5] I3=$auto$alumacc.cc:484:replace_alu$76333[4] O=$auto$wreduce.cc:310:run$76145[5] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76333[4] CO=$auto$alumacc.cc:484:replace_alu$76333[5] I0=$false I1=KEYBOARD.init_ram_cnt[5] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[6] I3=$auto$alumacc.cc:484:replace_alu$76333[5] O=$auto$wreduce.cc:310:run$76145[6] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76333[5] CO=$auto$alumacc.cc:484:replace_alu$76333[6] I0=$false I1=KEYBOARD.init_ram_cnt[6] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[7] I3=$auto$alumacc.cc:484:replace_alu$76333[6] O=$auto$wreduce.cc:310:run$76145[7] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76333[6] CO=$auto$alumacc.cc:484:replace_alu$76333[7] I0=$false I1=KEYBOARD.init_ram_cnt[7] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[8] I3=$auto$alumacc.cc:484:replace_alu$76333[7] O=$auto$wreduce.cc:310:run$76145[8] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_counter[0] I3=$false O=$auto$wreduce.cc:310:run$76146[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=KEYBOARD.row_counter[0] CO=$auto$alumacc.cc:484:replace_alu$76336[1] I0=$false I1=KEYBOARD.row_counter[1] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[2] I3=$auto$alumacc.cc:484:replace_alu$76336[1] O=$auto$wreduce.cc:310:run$76146[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76336[1] CO=$auto$alumacc.cc:484:replace_alu$76336[2] I0=$false I1=KEYBOARD.row_counter[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[3] I3=$auto$alumacc.cc:484:replace_alu$76336[2] O=$auto$wreduce.cc:310:run$76146[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_time[0] I3=$false O=$auto$wreduce.cc:310:run$76147[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[10] I3=$auto$alumacc.cc:484:replace_alu$76339[9] O=$auto$wreduce.cc:310:run$76147[10] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76339[9] CO=$auto$alumacc.cc:484:replace_alu$76339[10] I0=$false I1=KEYBOARD.row_time[10] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[11] I3=$auto$alumacc.cc:484:replace_alu$76339[10] O=$auto$wreduce.cc:310:run$76147[11] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76339[10] CO=$auto$alumacc.cc:484:replace_alu$76339[11] I0=$false I1=KEYBOARD.row_time[11] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[12] I3=$auto$alumacc.cc:484:replace_alu$76339[11] O=$auto$wreduce.cc:310:run$76147[12] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76339[11] CO=$auto$alumacc.cc:484:replace_alu$76339[12] I0=$false I1=KEYBOARD.row_time[12] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[13] I3=$auto$alumacc.cc:484:replace_alu$76339[12] O=$auto$wreduce.cc:310:run$76147[13] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76339[12] CO=$auto$alumacc.cc:484:replace_alu$76339[13] I0=$false I1=KEYBOARD.row_time[13] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[14] I3=$auto$alumacc.cc:484:replace_alu$76339[13] O=$auto$wreduce.cc:310:run$76147[14] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76339[13] CO=$auto$alumacc.cc:484:replace_alu$76339[14] I0=$false I1=KEYBOARD.row_time[14] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[15] I3=$auto$alumacc.cc:484:replace_alu$76339[14] O=$auto$wreduce.cc:310:run$76147[15] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=KEYBOARD.row_time[0] CO=$auto$alumacc.cc:484:replace_alu$76339[1] I0=$false I1=KEYBOARD.row_time[1] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[2] I3=$auto$alumacc.cc:484:replace_alu$76339[1] O=$auto$wreduce.cc:310:run$76147[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76339[1] CO=$auto$alumacc.cc:484:replace_alu$76339[2] I0=$false I1=KEYBOARD.row_time[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[3] I3=$auto$alumacc.cc:484:replace_alu$76339[2] O=$auto$wreduce.cc:310:run$76147[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76339[2] CO=$auto$alumacc.cc:484:replace_alu$76339[3] I0=$false I1=KEYBOARD.row_time[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[4] I3=$auto$alumacc.cc:484:replace_alu$76339[3] O=$auto$wreduce.cc:310:run$76147[4] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76339[3] CO=$auto$alumacc.cc:484:replace_alu$76339[4] I0=$false I1=KEYBOARD.row_time[4] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[5] I3=$auto$alumacc.cc:484:replace_alu$76339[4] O=$auto$wreduce.cc:310:run$76147[5] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76339[4] CO=$auto$alumacc.cc:484:replace_alu$76339[5] I0=$false I1=KEYBOARD.row_time[5] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[6] I3=$auto$alumacc.cc:484:replace_alu$76339[5] O=$auto$wreduce.cc:310:run$76147[6] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76339[5] CO=$auto$alumacc.cc:484:replace_alu$76339[6] I0=$false I1=KEYBOARD.row_time[6] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[7] I3=$auto$alumacc.cc:484:replace_alu$76339[6] O=$auto$wreduce.cc:310:run$76147[7] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76339[6] CO=$auto$alumacc.cc:484:replace_alu$76339[7] I0=$false I1=KEYBOARD.row_time[7] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[8] I3=$auto$alumacc.cc:484:replace_alu$76339[7] O=$auto$wreduce.cc:310:run$76147[8] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76339[7] CO=$auto$alumacc.cc:484:replace_alu$76339[8] I0=$false I1=KEYBOARD.row_time[8] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[9] I3=$auto$alumacc.cc:484:replace_alu$76339[8] O=$auto$wreduce.cc:310:run$76147[9] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76339[8] CO=$auto$alumacc.cc:484:replace_alu$76339[9] I0=$false I1=KEYBOARD.row_time[9] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[0] I2=$false I3=$true O=$techmap\UART.$sub$uart.v:32$583_Y[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=UART.tx_clk_counter[0] CO=$auto$alumacc.cc:484:replace_alu$76342[1] I0=UART.tx_clk_counter[1] I1=$true .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[2] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76342[1] O=$techmap\UART.$sub$uart.v:32$583_Y[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76342[1] CO=$auto$alumacc.cc:484:replace_alu$76342[2] I0=UART.tx_clk_counter[2] I1=$true .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[3] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76342[2] O=$techmap\UART.$sub$uart.v:32$583_Y[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[0] I2=$false I3=$true O=$auto$simplemap.cc:309:simplemap_lut$87755[7] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=UART.tx_bit_counter[0] CO=$auto$alumacc.cc:484:replace_alu$76345[1] I0=UART.tx_bit_counter[1] I1=$true .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[2] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76345[1] O=$auto$alumacc.cc:470:replace_alu$76169.B_buf[2] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$76345[1] CO=$auto$alumacc.cc:484:replace_alu$76345[2] I0=UART.tx_bit_counter[2] I1=$true .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[3] I2=$true I3=$auto$alumacc.cc:484:replace_alu$76345[2] O=$auto$alumacc.cc:470:replace_alu$76169.B_buf[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$false I2=$false I3=$false O=$mul$top.v:177$125_Y[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$false CO=$auto$maccmap.cc:247:synth$76957[4] I0=$auto$maccmap.cc:111:fulladd$76951[4] I1=$auto$maccmap.cc:112:fulladd$76952[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$2\ring_rd[3:0][2] I2=$auto$maccmap.cc:112:fulladd$76952[4] I3=$auto$maccmap.cc:247:synth$76957[4] O=$mul$top.v:177$125_Y[5] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$maccmap.cc:247:synth$76957[4] CO=$auto$maccmap.cc:247:synth$76957[5] I0=$2\ring_rd[3:0][2] I1=$auto$maccmap.cc:112:fulladd$76952[4] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$2\ring_rd[3:0][3] I2=$false I3=$auto$maccmap.cc:247:synth$76957[5] O=$mul$top.v:177$125_Y[6] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$maccmap.cc:247:synth$76957[5] CO=$auto$maccmap.cc:247:synth$76957[6] I0=$2\ring_rd[3:0][3] I1=$false .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$maccmap.cc:247:synth$76957[6] O=$mul$top.v:177$125_Y[7] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=wr_cnt[0] I2=$false I3=$false O=$6\report_data_wadr[7:0][0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$false CO=$auto$maccmap.cc:247:synth$77139[4] I0=$auto$maccmap.cc:111:fulladd$77133[4] I1=$auto$maccmap.cc:112:fulladd$77134[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$auto$maccmap.cc:111:fulladd$77133[5] I2=$auto$maccmap.cc:112:fulladd$77134[4] I3=$auto$maccmap.cc:247:synth$77139[4] O=$6\report_data_wadr[7:0][5] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$maccmap.cc:247:synth$77139[4] CO=$auto$maccmap.cc:247:synth$77139[5] I0=$auto$maccmap.cc:111:fulladd$77133[5] I1=$auto$maccmap.cc:112:fulladd$77134[4] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=ring_wr[3] I2=$auto$maccmap.cc:112:fulladd$77134[5] I3=$auto$maccmap.cc:247:synth$77139[5] O=$6\report_data_wadr[7:0][6] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$maccmap.cc:247:synth$77139[5] CO=$auto$maccmap.cc:247:synth$77139[6] I0=ring_wr[3] I1=$auto$maccmap.cc:112:fulladd$77134[5] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$maccmap.cc:247:synth$77139[6] O=$6\report_data_wadr[7:0][7] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_LUT4 I0=$false I1=$false I2=$false I3=$false O=$auto$wreduce.cc:310:run$76134[0] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$false CO=$auto$maccmap.cc:247:synth$77158[4] I0=$auto$maccmap.cc:111:fulladd$77152[4] I1=$auto$maccmap.cc:112:fulladd$77153[3] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$2\ring_wr[3:0][2] I2=$auto$maccmap.cc:112:fulladd$77153[4] I3=$auto$maccmap.cc:247:synth$77158[4] O=$auto$wreduce.cc:310:run$76134[5] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$maccmap.cc:247:synth$77158[4] CO=$auto$maccmap.cc:247:synth$77158[5] I0=$2\ring_wr[3:0][2] I1=$auto$maccmap.cc:112:fulladd$77153[4] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$2\ring_wr[3:0][3] I2=$false I3=$auto$maccmap.cc:247:synth$77158[5] O=$auto$wreduce.cc:310:run$76134[6] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_CARRY CI=$auto$maccmap.cc:247:synth$77158[5] CO=$auto$maccmap.cc:247:synth$77158[6] I0=$2\ring_wr[3:0][3] I1=$false .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47" .gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$maccmap.cc:247:synth$77158[6] O=$auto$wreduce.cc:310:run$76134[7] .attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53" .param LUT_INIT 0110100110010110 .gate SB_DFF C=CLK D=$0\rststate[3:0][0] Q=rststate[0] .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$0\rststate[3:0][1] Q=rststate[1] .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$0\rststate[3:0][2] Q=rststate[2] .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$0\rststate[3:0][3] Q=rststate[3] .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFFESR C=CLK D=$2\UART_WR[0:0] E=$auto$dff2dffe.cc:175:make_patterns_logic$90143 Q=UART_WR R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][0] E=$auto$dff2dffe.cc:175:make_patterns_logic$90751 Q=UART_TX_DATA[0] S=$auto$rtlil.cc:1692:NotGate$123580 .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][1] E=$auto$dff2dffe.cc:175:make_patterns_logic$90751 Q=UART_TX_DATA[1] S=$auto$rtlil.cc:1692:NotGate$123580 .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][2] E=$auto$dff2dffe.cc:175:make_patterns_logic$90751 Q=UART_TX_DATA[2] S=$auto$rtlil.cc:1692:NotGate$123580 .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][3] E=$auto$dff2dffe.cc:175:make_patterns_logic$90751 Q=UART_TX_DATA[3] S=$auto$rtlil.cc:1692:NotGate$123580 .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][4] E=$auto$dff2dffe.cc:175:make_patterns_logic$90751 Q=UART_TX_DATA[4] S=$auto$rtlil.cc:1692:NotGate$123580 .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][5] E=$auto$dff2dffe.cc:175:make_patterns_logic$90751 Q=UART_TX_DATA[5] S=$auto$rtlil.cc:1692:NotGate$123580 .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][6] E=$auto$dff2dffe.cc:175:make_patterns_logic$90751 Q=UART_TX_DATA[6] S=$auto$rtlil.cc:1692:NotGate$123580 .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$90751 Q=UART_TX_DATA[7] S=$auto$rtlil.cc:1692:NotGate$123580 .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$2\INT[0:0] E=$auto$dff2dffe.cc:175:make_patterns_logic$92180 Q=INT S=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][0] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[0] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][1] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[1] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][2] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[2] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][3] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[3] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][4] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[4] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][5] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[5] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][6] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[6] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[7] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][8] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[8] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][9] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[9] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][10] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[10] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][11] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[11] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][12] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[12] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][13] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[13] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][14] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[14] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][15] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[15] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][16] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[16] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][17] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[17] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][18] E=$auto$dff2dffe.cc:175:make_patterns_logic$95013 Q=int_tmr[18] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\int_tmr[19:0][19] E=$auto$dff2dffe.cc:175:make_patterns_logic$99225 Q=int_tmr[19] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$false E=$auto$dff2dffe.cc:175:make_patterns_logic$99418 Q=KBD_FREEZE .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][0] E=$auto$dff2dffe.cc:158:make_patterns_logic$99517 Q=init_ram_cnt[0] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][1] E=$auto$dff2dffe.cc:158:make_patterns_logic$99517 Q=init_ram_cnt[1] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][2] E=$auto$dff2dffe.cc:158:make_patterns_logic$99517 Q=init_ram_cnt[2] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][3] E=$auto$dff2dffe.cc:158:make_patterns_logic$99517 Q=init_ram_cnt[3] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][4] E=$auto$dff2dffe.cc:158:make_patterns_logic$99517 Q=init_ram_cnt[4] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][5] E=$auto$dff2dffe.cc:158:make_patterns_logic$99517 Q=init_ram_cnt[5] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][6] E=$auto$dff2dffe.cc:158:make_patterns_logic$99517 Q=init_ram_cnt[6] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][7] E=$auto$dff2dffe.cc:158:make_patterns_logic$99517 Q=init_ram_cnt[7] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][0] E=$auto$dff2dffe.cc:175:make_patterns_logic$99687 Q=ring_wr[0] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][1] E=$auto$dff2dffe.cc:175:make_patterns_logic$99687 Q=ring_wr[1] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][2] E=$auto$dff2dffe.cc:175:make_patterns_logic$99687 Q=ring_wr[2] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][3] E=$auto$dff2dffe.cc:175:make_patterns_logic$99687 Q=ring_wr[3] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][0] E=$auto$dff2dffe.cc:175:make_patterns_logic$100411 Q=ring_rd[0] S=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][1] E=$auto$dff2dffe.cc:175:make_patterns_logic$100411 Q=ring_rd[1] S=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][2] E=$auto$dff2dffe.cc:175:make_patterns_logic$100411 Q=ring_rd[2] S=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][3] E=$auto$dff2dffe.cc:175:make_patterns_logic$100411 Q=ring_rd[3] S=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][0] E=$auto$dff2dffe.cc:175:make_patterns_logic$100674 Q=wr_cnt[0] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][1] E=$auto$dff2dffe.cc:175:make_patterns_logic$100674 Q=wr_cnt[1] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][2] E=$auto$dff2dffe.cc:175:make_patterns_logic$100674 Q=wr_cnt[2] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][3] E=$auto$dff2dffe.cc:175:make_patterns_logic$100674 Q=wr_cnt[3] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$3\report_wr_en[0:0] E=$auto$dff2dffe.cc:175:make_patterns_logic$100744 Q=report_wr_en S=$auto$rtlil.cc:1692:NotGate$123594 .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][0] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wadr[0] .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][1] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wadr[1] .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][2] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wadr[2] .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][3] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wadr[3] .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][4] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wadr[4] .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][5] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wadr[5] .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][6] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wadr[6] .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wadr[7] .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][0] E=$auto$dff2dffe.cc:175:make_patterns_logic$102697 Q=report_data_radr[0] .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][1] E=$auto$dff2dffe.cc:175:make_patterns_logic$102697 Q=report_data_radr[1] .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][2] E=$auto$dff2dffe.cc:175:make_patterns_logic$102697 Q=report_data_radr[2] .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][3] E=$auto$dff2dffe.cc:175:make_patterns_logic$102697 Q=report_data_radr[3] .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][4] E=$auto$dff2dffe.cc:175:make_patterns_logic$102697 Q=report_data_radr[4] .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][5] E=$auto$dff2dffe.cc:175:make_patterns_logic$102697 Q=report_data_radr[5] .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][6] E=$auto$dff2dffe.cc:175:make_patterns_logic$102697 Q=report_data_radr[6] .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$102697 Q=report_data_radr[7] .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][0] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wr[0] R=$auto$rtlil.cc:1692:NotGate$123594 .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][1] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wr[1] R=$auto$rtlil.cc:1692:NotGate$123594 .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][2] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wr[2] R=$auto$rtlil.cc:1692:NotGate$123594 .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][3] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wr[3] R=$auto$rtlil.cc:1692:NotGate$123594 .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][4] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wr[4] R=$auto$rtlil.cc:1692:NotGate$123594 .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][5] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wr[5] R=$auto$rtlil.cc:1692:NotGate$123594 .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][6] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wr[6] R=$auto$rtlil.cc:1692:NotGate$123594 .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$103374 Q=report_data_wr[7] R=$auto$rtlil.cc:1692:NotGate$123594 .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$104178 Q=temp_output_report[0] .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$104178 Q=temp_output_report[1] .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$104178 Q=temp_output_report[2] .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][0] E=$auto$dff2dffe.cc:175:make_patterns_logic$106376 Q=i2c_input_data_type[0] .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][1] E=$auto$dff2dffe.cc:175:make_patterns_logic$106376 Q=i2c_input_data_type[1] .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][2] E=$auto$dff2dffe.cc:175:make_patterns_logic$106376 Q=i2c_input_data_type[2] .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][3] E=$auto$dff2dffe.cc:175:make_patterns_logic$106376 Q=i2c_input_data_type[3] .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][0] E=$auto$dff2dffe.cc:175:make_patterns_logic$106593 Q=I2C_INPUT_LEN[0] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][1] E=$auto$dff2dffe.cc:175:make_patterns_logic$106593 Q=I2C_INPUT_LEN[1] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][2] E=$auto$dff2dffe.cc:175:make_patterns_logic$106593 Q=I2C_INPUT_LEN[2] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][3] E=$auto$dff2dffe.cc:175:make_patterns_logic$106593 Q=I2C_INPUT_LEN[3] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][4] E=$auto$dff2dffe.cc:175:make_patterns_logic$106593 Q=I2C_INPUT_LEN[4] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][5] E=$auto$dff2dffe.cc:175:make_patterns_logic$106593 Q=I2C_INPUT_LEN[5] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][6] E=$auto$dff2dffe.cc:175:make_patterns_logic$106593 Q=I2C_INPUT_LEN[6] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$106593 Q=I2C_INPUT_LEN[7] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][0] E=$auto$dff2dffe.cc:175:make_patterns_logic$107370 Q=I2C_OUTPUT_TYPE[0] S=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][1] E=$auto$dff2dffe.cc:175:make_patterns_logic$107370 Q=I2C_OUTPUT_TYPE[1] S=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][2] E=$auto$dff2dffe.cc:175:make_patterns_logic$107370 Q=I2C_OUTPUT_TYPE[2] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$107370 Q=I2C_OUT_DESC_MASK[0] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$107370 Q=I2C_OUT_DESC_MASK[1] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$107370 Q=I2C_OUT_DESC_MASK[2] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$107370 Q=I2C_OUT_DESC_MASK[3] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$107370 Q=I2C_OUT_DESC_MASK[4] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$107370 Q=I2C_OUT_DESC_MASK[5] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$107370 Q=I2C_OUT_DESC_MASK[6] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$107370 Q=I2C_OUT_DESC_MASK[7] R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=temp_output_report[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$109317 Q=LED2 S=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=temp_output_report[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$109317 Q=LED3 R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=temp_output_report[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$109317 Q=LED4 S=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=I2C.wr E=$auto$dff2dffe.cc:175:make_patterns_logic$109998 Q=last_wr .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=I2C_TRANS E=$auto$dff2dffe.cc:175:make_patterns_logic$110152 Q=last_trans R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=UART.tx_activity E=$auto$dff2dffe.cc:175:make_patterns_logic$110365 Q=last_uart_active R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.isr E=$auto$dff2dffe.cc:175:make_patterns_logic$110418 Q=last_isr R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$simplemap.cc:250:simplemap_eqne$98030[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$110597 Q=uart_double_ff R=$logic_not$top.v:68$13_Y .attr src "top.v:65|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFN C=CLK D=SCL Q=I2C.SCLF .attr src "i2c_slave.v:48|/usr/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFN C=CLK D=I2C.SDA_IN Q=I2C.SDAF .attr src "i2c_slave.v:48|/usr/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31862_Y Q=I2C.wr .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31816_Y Q=I2C.is_ack .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31770_Y[0] Q=I2C.byte_counter[0] .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31770_Y[1] Q=I2C.byte_counter[1] .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31770_Y[2] Q=I2C.byte_counter[2] .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31770_Y[3] Q=I2C.byte_counter[3] .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31770_Y[4] Q=I2C.byte_counter[4] .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31770_Y[5] Q=I2C.byte_counter[5] .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31770_Y[6] Q=I2C.byte_counter[6] .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31770_Y[7] Q=I2C.byte_counter[7] .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$110669 Q=I2C.received_byte[0] .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$110736 Q=I2C.received_byte[1] .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$110803 Q=I2C.received_byte[2] .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$110870 Q=I2C.received_byte[3] .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$110937 Q=I2C.received_byte[4] .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$111004 Q=I2C.received_byte[5] .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$111071 Q=I2C.received_byte[6] .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$111138 Q=I2C.received_byte[7] .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31678_Y[0] Q=I2C.i2c_bit_counter[0] .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31678_Y[1] Q=I2C.i2c_bit_counter[1] .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31678_Y[2] Q=I2C.i2c_bit_counter[2] .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31678_Y[3] Q=I2C.i2c_bit_counter[3] .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31632_Y Q=I2C.is_read .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFFE C=CLK D=$techmap\I2C.$procmux$30991_Y E=$auto$dff2dffe.cc:158:make_patterns_logic$111147 Q=I2C.i2c_start_latency .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFF C=CLK D=I2C.FLT_SDA.out Q=I2C.SDA_LAST .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=I2C.FLT_SCL.out Q=I2C.SCL_LAST .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFF C=CLK D=$techmap\I2C.$procmux$31540_Y Q=I2C.SDA_DIR .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFFE C=CLK D=$techmap\UART.$procmux$826_Y E=RESET Q=UART.tx_activity .attr src "uart.v:25|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\UART.$procmux$965_Y E=$auto$dff2dffe.cc:175:make_patterns_logic$111206 Q=UART.tx_line R=$eq$top.v:256$174_Y .attr src "uart.v:25|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\UART.$procmux$812_Y[0] E=$auto$dff2dffe.cc:158:make_patterns_logic$111225 Q=UART.tx_clk_counter[0] R=$logic_not$top.v:68$13_Y .attr src "uart.v:25|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\UART.$procmux$812_Y[1] E=$auto$dff2dffe.cc:158:make_patterns_logic$111225 Q=UART.tx_clk_counter[1] R=$logic_not$top.v:68$13_Y .attr src "uart.v:25|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\UART.$procmux$812_Y[2] E=$auto$dff2dffe.cc:158:make_patterns_logic$111225 Q=UART.tx_clk_counter[2] R=$logic_not$top.v:68$13_Y .attr src "uart.v:25|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\UART.$procmux$812_Y[3] E=$auto$dff2dffe.cc:158:make_patterns_logic$111225 Q=UART.tx_clk_counter[3] R=$logic_not$top.v:68$13_Y .attr src "uart.v:25|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$auto$simplemap.cc:309:simplemap_lut$87755[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$111206 Q=UART.tx_bit_counter[0] S=$eq$top.v:256$174_Y .attr src "uart.v:25|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$alumacc.cc:470:replace_alu$76169.B_buf[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$111206 Q=UART.tx_bit_counter[1] R=$eq$top.v:256$174_Y .attr src "uart.v:25|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$alumacc.cc:470:replace_alu$76169.B_buf[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$111206 Q=UART.tx_bit_counter[2] R=$eq$top.v:256$174_Y .attr src "uart.v:25|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$auto$alumacc.cc:470:replace_alu$76169.B_buf[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$111206 Q=UART.tx_bit_counter[3] S=$eq$top.v:256$174_Y .attr src "uart.v:25|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=UART_WR E=RESET Q=UART.TX_sig_last .attr src "uart.v:25|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$111710 Q=KEYBOARD.report[6][0] R=$auto$rtlil.cc:1692:NotGate$123446 .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$111710 Q=KEYBOARD.report[6][1] R=$auto$rtlil.cc:1692:NotGate$123446 .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$111710 Q=KEYBOARD.report[6][2] R=$auto$rtlil.cc:1692:NotGate$123446 .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$111710 Q=KEYBOARD.report[6][3] R=$auto$rtlil.cc:1692:NotGate$123446 .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$111710 Q=KEYBOARD.report[6][4] R=$auto$rtlil.cc:1692:NotGate$123446 .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$111710 Q=KEYBOARD.report[6][5] R=$auto$rtlil.cc:1692:NotGate$123446 .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$111710 Q=KEYBOARD.report[6][6] R=$auto$rtlil.cc:1692:NotGate$123446 .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$111710 Q=KEYBOARD.report[6][7] R=$auto$rtlil.cc:1692:NotGate$123446 .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFN C=CLK D=KBD_COLUMNS[0] Q=KEYBOARD.COLS_SHADOW[0] .attr src "matrix_kbd.v:36|/usr/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFN C=CLK D=KBD_COLUMNS[1] Q=KEYBOARD.COLS_SHADOW[1] .attr src "matrix_kbd.v:36|/usr/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFN C=CLK D=KBD_COLUMNS[2] Q=KEYBOARD.COLS_SHADOW[2] .attr src "matrix_kbd.v:36|/usr/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFN C=CLK D=KBD_COLUMNS[3] Q=KEYBOARD.COLS_SHADOW[3] .attr src "matrix_kbd.v:36|/usr/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFN C=CLK D=KBD_COLUMNS[4] Q=KEYBOARD.COLS_SHADOW[4] .attr src "matrix_kbd.v:36|/usr/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFN C=CLK D=KBD_COLUMNS[5] Q=KEYBOARD.COLS_SHADOW[5] .attr src "matrix_kbd.v:36|/usr/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFN C=CLK D=KBD_COLUMNS[6] Q=KEYBOARD.COLS_SHADOW[6] .attr src "matrix_kbd.v:36|/usr/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFN C=CLK D=KBD_COLUMNS[7] Q=KEYBOARD.COLS_SHADOW[7] .attr src "matrix_kbd.v:36|/usr/bin/../share/yosys/ice40/cells_map.v:1" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$113720 Q=KEYBOARD.report[5][0] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$113720 Q=KEYBOARD.report[5][1] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$113720 Q=KEYBOARD.report[5][2] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$113720 Q=KEYBOARD.report[5][3] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$113720 Q=KEYBOARD.report[5][4] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$113720 Q=KEYBOARD.report[5][5] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$113720 Q=KEYBOARD.report[5][6] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$113720 Q=KEYBOARD.report[5][7] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$115418 Q=KEYBOARD.report[4][0] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$115418 Q=KEYBOARD.report[4][1] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$115418 Q=KEYBOARD.report[4][2] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$115418 Q=KEYBOARD.report[4][3] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$115418 Q=KEYBOARD.report[4][4] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$115418 Q=KEYBOARD.report[4][5] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$115418 Q=KEYBOARD.report[4][6] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$115418 Q=KEYBOARD.report[4][7] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$116870 Q=KEYBOARD.report[3][0] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$116870 Q=KEYBOARD.report[3][1] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$116870 Q=KEYBOARD.report[3][2] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$116870 Q=KEYBOARD.report[3][3] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$116870 Q=KEYBOARD.report[3][4] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$116870 Q=KEYBOARD.report[3][5] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$116870 Q=KEYBOARD.report[3][6] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$116870 Q=KEYBOARD.report[3][7] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$118084 Q=KEYBOARD.report[2][0] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$118084 Q=KEYBOARD.report[2][1] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$118084 Q=KEYBOARD.report[2][2] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$118084 Q=KEYBOARD.report[2][3] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$118084 Q=KEYBOARD.report[2][4] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$118084 Q=KEYBOARD.report[2][5] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$118084 Q=KEYBOARD.report[2][6] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$118084 Q=KEYBOARD.report[2][7] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$119085 Q=KEYBOARD.report[1][0] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$119085 Q=KEYBOARD.report[1][1] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$119085 Q=KEYBOARD.report[1][2] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$119085 Q=KEYBOARD.report[1][3] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$119085 Q=KEYBOARD.report[1][4] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$119085 Q=KEYBOARD.report[1][5] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$119085 Q=KEYBOARD.report[1][6] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27890_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$119085 Q=KEYBOARD.report[1][7] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27215_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$119875 Q=KEYBOARD.report[0][0] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27215_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$119875 Q=KEYBOARD.report[0][1] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27215_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$119875 Q=KEYBOARD.report[0][2] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27215_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$119875 Q=KEYBOARD.report[0][3] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27215_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$119875 Q=KEYBOARD.report[0][4] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27215_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$119875 Q=KEYBOARD.report[0][5] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27215_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$119875 Q=KEYBOARD.report[0][6] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$27215_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$119875 Q=KEYBOARD.report[0][7] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$10950_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$120327 Q=KEYBOARD.ram_adr[0] .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$10950_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$120327 Q=KEYBOARD.ram_adr[1] .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$10950_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$120327 Q=KEYBOARD.ram_adr[2] .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$10950_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$120327 Q=KEYBOARD.ram_adr[3] .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$120327 Q=KEYBOARD.ram_adr[4] R=KEYBOARD.init_ram_cnt[8] .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$120327 Q=KEYBOARD.ram_adr[5] R=KEYBOARD.init_ram_cnt[8] .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$120327 Q=KEYBOARD.ram_adr[6] R=KEYBOARD.init_ram_cnt[8] .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$120327 Q=KEYBOARD.ram_adr[7] R=KEYBOARD.init_ram_cnt[8] .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$13598_Y E=$auto$dff2dffe.cc:175:make_patterns_logic$120622 Q=KEYBOARD.ram_wr S=$auto$rtlil.cc:1692:NotGate$123578 .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$29977_CMP E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.is_pressed .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$15309_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.kbd_code[0] .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$15309_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.kbd_code[1] .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$15309_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.kbd_code[2] .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$15309_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.kbd_code[3] .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$15309_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.kbd_code[4] .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$15309_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.kbd_code[5] .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$15309_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.kbd_code[6] .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$techmap\KEYBOARD.$procmux$15309_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.kbd_code[7] .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[8] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[0] R=$auto$wreduce.cc:310:run$76146[3] .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[9] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[1] R=$auto$wreduce.cc:310:run$76146[3] .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[10] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[2] R=$auto$wreduce.cc:310:run$76146[3] .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[11] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[3] R=$auto$wreduce.cc:310:run$76146[3] .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[12] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[4] R=$auto$wreduce.cc:310:run$76146[3] .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[13] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[5] R=$auto$wreduce.cc:310:run$76146[3] .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[14] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[6] R=$auto$wreduce.cc:310:run$76146[3] .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[15] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[7] R=$auto$wreduce.cc:310:run$76146[3] .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[8] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[8] R=$auto$rtlil.cc:1692:NotGate$123560 .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[9] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[9] R=$auto$rtlil.cc:1692:NotGate$123560 .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[10] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[10] R=$auto$rtlil.cc:1692:NotGate$123560 .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[11] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[11] R=$auto$rtlil.cc:1692:NotGate$123560 .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[12] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[12] R=$auto$rtlil.cc:1692:NotGate$123560 .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[13] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[13] R=$auto$rtlil.cc:1692:NotGate$123560 .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[14] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[14] R=$auto$rtlil.cc:1692:NotGate$123560 .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap$techmap\KEYBOARD.$shl$matrix_kbd.v:66$344.$procmux$85437_Y[15] E=$auto$dff2dffe.cc:175:make_patterns_logic$120964 Q=KEYBOARD.ROWS_EN[15] R=$auto$rtlil.cc:1692:NotGate$123560 .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$25493_Y E=$auto$dff2dffe.cc:175:make_patterns_logic$121761 Q=KEYBOARD.isr R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$15523_Y[0] E=$auto$simplemap.cc:127:simplemap_reduce$120655 Q=KEYBOARD.temp[0] S=$auto$rtlil.cc:1692:NotGate$123578 .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$15523_Y[1] E=$auto$simplemap.cc:127:simplemap_reduce$120655 Q=KEYBOARD.temp[1] S=$auto$rtlil.cc:1692:NotGate$123578 .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$15523_Y[2] E=$auto$simplemap.cc:127:simplemap_reduce$120655 Q=KEYBOARD.temp[2] S=$auto$rtlil.cc:1692:NotGate$123578 .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$15523_Y[3] E=$auto$simplemap.cc:127:simplemap_reduce$120655 Q=KEYBOARD.temp[3] S=$auto$rtlil.cc:1692:NotGate$123578 .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$15523_Y[4] E=$auto$simplemap.cc:127:simplemap_reduce$120655 Q=KEYBOARD.temp[4] S=$auto$rtlil.cc:1692:NotGate$123578 .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$15523_Y[5] E=$auto$simplemap.cc:127:simplemap_reduce$120655 Q=KEYBOARD.temp[5] S=$auto$rtlil.cc:1692:NotGate$123578 .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$15523_Y[6] E=$auto$simplemap.cc:127:simplemap_reduce$120655 Q=KEYBOARD.temp[6] S=$auto$rtlil.cc:1692:NotGate$123578 .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$15523_Y[7] E=$auto$simplemap.cc:127:simplemap_reduce$120655 Q=KEYBOARD.temp[7] S=$auto$rtlil.cc:1692:NotGate$123578 .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$auto$simplemap.cc:309:simplemap_lut$88852[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_counter[0] .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$auto$wreduce.cc:310:run$76140[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_counter[1] .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$auto$wreduce.cc:310:run$76140[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_counter[2] .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFE C=CLK D=$auto$wreduce.cc:310:run$76140[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_counter[3] .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[0] R=$auto$rtlil.cc:1692:NotGate$123596 .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[1] R=$auto$rtlil.cc:1692:NotGate$123596 .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[2] R=$auto$rtlil.cc:1692:NotGate$123596 .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[3] R=$auto$rtlil.cc:1692:NotGate$123596 .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[4] R=$auto$rtlil.cc:1692:NotGate$123596 .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[5] R=$auto$rtlil.cc:1692:NotGate$123596 .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[6] R=$auto$rtlil.cc:1692:NotGate$123596 .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[7] R=$auto$rtlil.cc:1692:NotGate$123596 .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[8] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[8] R=$auto$rtlil.cc:1692:NotGate$123596 .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[9] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[9] R=$auto$rtlil.cc:1692:NotGate$123596 .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[10] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[10] R=$auto$rtlil.cc:1692:NotGate$123596 .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[11] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[11] R=$auto$rtlil.cc:1692:NotGate$123596 .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[12] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[12] R=$auto$rtlil.cc:1692:NotGate$123596 .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[13] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[13] R=$auto$rtlil.cc:1692:NotGate$123596 .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[14] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[14] R=$auto$rtlil.cc:1692:NotGate$123596 .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76147[15] E=$auto$dff2dffe.cc:175:make_patterns_logic$120653 Q=KEYBOARD.row_time[15] R=$auto$rtlil.cc:1692:NotGate$123596 .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76145[0] E=$auto$dff2dffe.cc:158:make_patterns_logic$122616 Q=KEYBOARD.init_ram_cnt[0] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76145[1] E=$auto$dff2dffe.cc:158:make_patterns_logic$122616 Q=KEYBOARD.init_ram_cnt[1] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76145[2] E=$auto$dff2dffe.cc:158:make_patterns_logic$122616 Q=KEYBOARD.init_ram_cnt[2] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76145[3] E=$auto$dff2dffe.cc:158:make_patterns_logic$122616 Q=KEYBOARD.init_ram_cnt[3] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76145[4] E=$auto$dff2dffe.cc:158:make_patterns_logic$122616 Q=KEYBOARD.init_ram_cnt[4] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76145[5] E=$auto$dff2dffe.cc:158:make_patterns_logic$122616 Q=KEYBOARD.init_ram_cnt[5] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76145[6] E=$auto$dff2dffe.cc:158:make_patterns_logic$122616 Q=KEYBOARD.init_ram_cnt[6] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76145[7] E=$auto$dff2dffe.cc:158:make_patterns_logic$122616 Q=KEYBOARD.init_ram_cnt[7] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$auto$wreduce.cc:310:run$76145[8] E=$auto$dff2dffe.cc:158:make_patterns_logic$122616 Q=KEYBOARD.init_ram_cnt[8] R=$logic_not$top.v:68$13_Y .attr src "matrix_kbd.v:42|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\I2C_HID_DESC.$procmux$659_Y[0] E=$auto$dff2dffe.cc:158:make_patterns_logic$122712 Q=I2C_HID_DESC.real_adress[0] R=$logic_not$top.v:68$13_Y .attr src "descriptors.v:15|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=I2C.byte_counter[1] E=$auto$dff2dffe.cc:158:make_patterns_logic$122712 Q=I2C_HID_DESC.real_adress[1] R=$logic_not$top.v:68$13_Y .attr src "descriptors.v:15|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=I2C.byte_counter[2] E=$auto$dff2dffe.cc:158:make_patterns_logic$122712 Q=I2C_HID_DESC.real_adress[2] R=$logic_not$top.v:68$13_Y .attr src "descriptors.v:15|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=I2C.byte_counter[3] E=$auto$dff2dffe.cc:158:make_patterns_logic$122712 Q=I2C_HID_DESC.real_adress[3] R=$logic_not$top.v:68$13_Y .attr src "descriptors.v:15|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=I2C.byte_counter[4] E=$auto$dff2dffe.cc:158:make_patterns_logic$122712 Q=I2C_HID_DESC.real_adress[4] R=$logic_not$top.v:68$13_Y .attr src "descriptors.v:15|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\I2C_HID_DESC.$procmux$659_Y[5] E=$auto$dff2dffe.cc:158:make_patterns_logic$122712 Q=I2C_HID_DESC.real_adress[5] R=$logic_not$top.v:68$13_Y .attr src "descriptors.v:15|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\I2C_HID_DESC.$procmux$659_Y[6] E=$auto$dff2dffe.cc:158:make_patterns_logic$122712 Q=I2C_HID_DESC.real_adress[6] R=$logic_not$top.v:68$13_Y .attr src "descriptors.v:15|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFESR C=CLK D=$techmap\I2C_HID_DESC.$procmux$659_Y[7] E=$auto$dff2dffe.cc:158:make_patterns_logic$122712 Q=I2C_HID_DESC.real_adress[7] R=$logic_not$top.v:68$13_Y .attr src "descriptors.v:15|/usr/bin/../share/yosys/ice40/cells_map.v:8" .gate SB_DFFSR C=CLK D=I2C.wr Q=I2C_HID_DESC.last_rd_request R=$logic_not$top.v:68$13_Y .attr src "descriptors.v:15|/usr/bin/../share/yosys/ice40/cells_map.v:2" .gate SB_DFFR C=CLK D=$techmap\I2C.$procmux$31586_Y Q=I2C.i2c_state_machine R=$logic_not$top.v:68$13_Y .attr src "i2c_slave.v:53|/usr/bin/../share/yosys/ice40/cells_map.v:12" .gate SB_DFFES C=CLK D=I2C.SDAF E=$auto$dff2dffe.cc:175:make_patterns_logic$122811 Q=I2C.FLT_SDA.out S=$logic_not$top.v:68$13_Y .attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:23" .gate SB_DFFER C=CLK D=$techmap\I2C.FLT_SDA.$procmux$1066_Y[0] E=$auto$dff2dffe.cc:158:make_patterns_logic$122826 Q=I2C.FLT_SDA.counter[0] R=$logic_not$top.v:68$13_Y .attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:22" .gate SB_DFFER C=CLK D=$techmap\I2C.FLT_SDA.$procmux$1066_Y[1] E=$auto$dff2dffe.cc:158:make_patterns_logic$122826 Q=I2C.FLT_SDA.counter[1] R=$logic_not$top.v:68$13_Y .attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:22" .gate SB_DFFER C=CLK D=$techmap\I2C.FLT_SDA.$procmux$1066_Y[2] E=$auto$dff2dffe.cc:158:make_patterns_logic$122826 Q=I2C.FLT_SDA.counter[2] R=$logic_not$top.v:68$13_Y .attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:22" .gate SB_DFFES C=CLK D=I2C.SCLF E=$auto$dff2dffe.cc:175:make_patterns_logic$122871 Q=I2C.FLT_SCL.out S=$logic_not$top.v:68$13_Y .attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:23" .gate SB_DFFER C=CLK D=$techmap\I2C.FLT_SCL.$procmux$1066_Y[0] E=$auto$dff2dffe.cc:158:make_patterns_logic$122886 Q=I2C.FLT_SCL.counter[0] R=$logic_not$top.v:68$13_Y .attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:22" .gate SB_DFFER C=CLK D=$techmap\I2C.FLT_SCL.$procmux$1066_Y[1] E=$auto$dff2dffe.cc:158:make_patterns_logic$122886 Q=I2C.FLT_SCL.counter[1] R=$logic_not$top.v:68$13_Y .attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:22" .gate SB_DFFER C=CLK D=$techmap\I2C.FLT_SCL.$procmux$1066_Y[2] E=$auto$dff2dffe.cc:158:make_patterns_logic$122886 Q=I2C.FLT_SCL.counter[2] R=$logic_not$top.v:68$13_Y .attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:22" .gate SB_IO D_IN_0=I2C.SDA_IN D_OUT_0=$false OUTPUT_ENABLE=I2C.SDA_DIR PACKAGE_PIN=SDA .attr src "i2c_slave.v:178" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_RAM40_4K RADDR[0]=I2C_HID_DESC.real_adress[0] RADDR[1]=I2C_HID_DESC.real_adress[1] RADDR[2]=I2C_HID_DESC.real_adress[2] RADDR[3]=I2C_HID_DESC.real_adress[3] RADDR[4]=I2C_HID_DESC.real_adress[4] RADDR[5]=I2C_HID_DESC.real_adress[5] RADDR[6]=I2C_HID_DESC.real_adress[6] RADDR[7]=I2C_HID_DESC.real_adress[7] RCLK=CLK RCLKE=$true RDATA[0]=I2C_TX_DESC[0] RDATA[1]=I2C_TX_DESC[1] RDATA[2]=I2C_TX_DESC[2] RDATA[3]=I2C_TX_DESC[3] RDATA[4]=I2C_TX_DESC[4] RDATA[5]=I2C_TX_DESC[5] RDATA[6]=I2C_TX_DESC[6] RDATA[7]=I2C_TX_DESC[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WE=$false .attr src "descriptors.v:143" .param INIT_0 0000000000000000000000000000010000000000000000000000000000001010000000000000000000000000000000110000000000000000000000000000001000000000000000000000000000111111000000000000000100000000000000000000000000000000000000000001111000000000000000000000000000000000 .param INIT_1 0000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000001000000000000000100000000000001000000000010011111000000000000000000000000000001100000000000000000000000000000010100000000000000000000000000000011 .param INIT_2 0000000000000000000000000001010100000000111001110000000000101001000000001110000000000000000110010000000000000111000000000000010100000000000000010000000010100001000000000000011000000000000010010000000000000001000000000000010100000000000000000000000000000000 .param INIT_3 0000000000000101000000001001010100000000000000010000000010000001000000000000100000000000011101010000000000000001000000001001010100000000000000100000000010000001000000000000100000000000100101010000000000000001000000000111010100000000000000010000000000100101 .param INIT_4 0000000000000011000000001001000100000000000000110000000001110101000000000000000100000000100101010000000000000010000000001001000100000000000001010000000000101001000000000000000100000000000110010000000000001000000000000000010100000000000000010000000001110101 .param INIT_5 0000000000000000000000001000000100000000011001010000000000101001000000000000000000000000000110010000000000000111000000000000010100000000011001010000000000100101000000000000000000000000000101010000000000001000000000000111010100000000000001100000000010010101 .param INIT_6 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000 .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 .gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=KEYBOARD.ram_adr[0] RADDR[1]=KEYBOARD.ram_adr[1] RADDR[2]=KEYBOARD.ram_adr[2] RADDR[3]=KEYBOARD.ram_adr[3] RADDR[4]=KEYBOARD.ram_adr[4] RADDR[5]=KEYBOARD.ram_adr[5] RADDR[6]=KEYBOARD.ram_adr[6] RADDR[7]=KEYBOARD.ram_adr[7] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.RAM.r_data[0] RDATA[1]=$techmap76357\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[1] RDATA[2]=KEYBOARD.RAM.r_data[1] RDATA[3]=$techmap76357\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[3] RDATA[4]=KEYBOARD.RAM.r_data[2] RDATA[5]=$techmap76357\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[5] RDATA[6]=KEYBOARD.RAM.r_data[3] RDATA[7]=$techmap76357\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[7] RDATA[8]=KEYBOARD.RAM.r_data[4] RDATA[9]=$techmap76357\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[9] RDATA[10]=KEYBOARD.RAM.r_data[5] RDATA[11]=$techmap76357\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[11] RDATA[12]=KEYBOARD.RAM.r_data[6] RDATA[13]=$techmap76357\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[13] RDATA[14]=KEYBOARD.RAM.r_data[7] RDATA[15]=$techmap76357\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=KEYBOARD.ram_adr[0] WADDR[1]=KEYBOARD.ram_adr[1] WADDR[2]=KEYBOARD.ram_adr[2] WADDR[3]=KEYBOARD.ram_adr[3] WADDR[4]=KEYBOARD.ram_adr[4] WADDR[5]=KEYBOARD.ram_adr[5] WADDR[6]=KEYBOARD.ram_adr[6] WADDR[7]=KEYBOARD.ram_adr[7] WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=$true WDATA[0]=KEYBOARD.temp[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.temp[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.temp[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.temp[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.temp[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.temp[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.temp[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.temp[7] WDATA[15]=$undef WE=KEYBOARD.ram_wr .attr src "/usr/bin/../share/yosys/ice40/brams_map.v:277|/usr/bin/../share/yosys/ice40/brams_map.v:35" .param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1 .param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_2 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_3 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_4 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_5 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_6 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_7 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_8 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_9 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_A xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_B xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_C xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_D xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_E xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 .gate SB_RAM40_4K RADDR[0]=KEYBOARD.kbd_code[0] RADDR[1]=KEYBOARD.kbd_code[1] RADDR[2]=KEYBOARD.kbd_code[2] RADDR[3]=KEYBOARD.kbd_code[3] RADDR[4]=KEYBOARD.kbd_code[4] RADDR[5]=KEYBOARD.kbd_code[5] RADDR[6]=KEYBOARD.kbd_code[6] RADDR[7]=KEYBOARD.kbd_code[7] RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.kbd_code_hid[0] RDATA[1]=KEYBOARD.kbd_code_hid[1] RDATA[2]=KEYBOARD.kbd_code_hid[2] RDATA[3]=KEYBOARD.kbd_code_hid[3] RDATA[4]=KEYBOARD.kbd_code_hid[4] RDATA[5]=KEYBOARD.kbd_code_hid[5] RDATA[6]=KEYBOARD.kbd_code_hid[6] RDATA[7]=KEYBOARD.kbd_code_hid[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WE=$false .attr src "matrix_kbd.v:161" .param INIT_0 0000000000000000000000000000000100000000000000010000000000000001000000001110011100000000010110000000000001001100000000000101001100000000000000010000000000000001000000000000000100000000000000010000000011100000000000000011100100000000111000010000000000101001 .param INIT_1 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 .param INIT_2 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 .param INIT_3 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 .param INIT_4 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 .param INIT_5 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 .param INIT_6 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 .param INIT_7 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 .param INIT_8 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 .param INIT_9 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010 .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[0] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[0] PACKAGE_PIN=KBD_ROWS[0] .attr src "matrix_kbd.v:187" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[10] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[10] PACKAGE_PIN=KBD_ROWS[10] .attr src "matrix_kbd.v:187" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[11] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[11] PACKAGE_PIN=KBD_ROWS[11] .attr src "matrix_kbd.v:187" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[12] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[12] PACKAGE_PIN=KBD_ROWS[12] .attr src "matrix_kbd.v:187" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[13] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[13] PACKAGE_PIN=KBD_ROWS[13] .attr src "matrix_kbd.v:187" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[14] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[14] PACKAGE_PIN=KBD_ROWS[14] .attr src "matrix_kbd.v:187" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[15] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[15] PACKAGE_PIN=KBD_ROWS[15] .attr src "matrix_kbd.v:187" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[1] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[1] PACKAGE_PIN=KBD_ROWS[1] .attr src "matrix_kbd.v:187" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[2] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[2] PACKAGE_PIN=KBD_ROWS[2] .attr src "matrix_kbd.v:187" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[3] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[3] PACKAGE_PIN=KBD_ROWS[3] .attr src "matrix_kbd.v:187" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[4] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[4] PACKAGE_PIN=KBD_ROWS[4] .attr src "matrix_kbd.v:187" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[5] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[5] PACKAGE_PIN=KBD_ROWS[5] .attr src "matrix_kbd.v:187" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[6] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[6] PACKAGE_PIN=KBD_ROWS[6] .attr src "matrix_kbd.v:187" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[7] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[7] PACKAGE_PIN=KBD_ROWS[7] .attr src "matrix_kbd.v:187" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[8] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[8] PACKAGE_PIN=KBD_ROWS[8] .attr src "matrix_kbd.v:187" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[9] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[9] PACKAGE_PIN=KBD_ROWS[9] .attr src "matrix_kbd.v:187" .param PIN_TYPE 101001 .param PULLUP 0 .gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=report_data_radr[0] RADDR[1]=report_data_radr[1] RADDR[2]=report_data_radr[2] RADDR[3]=report_data_radr[3] RADDR[4]=report_data_radr[4] RADDR[5]=report_data_radr[5] RADDR[6]=report_data_radr[6] RADDR[7]=report_data_radr[7] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=REPORT_DATA.r_data[0] RDATA[1]=$techmap76356\REPORT_DATA.mem.0.0.0.A1DATA_16[1] RDATA[2]=REPORT_DATA.r_data[1] RDATA[3]=$techmap76356\REPORT_DATA.mem.0.0.0.A1DATA_16[3] RDATA[4]=REPORT_DATA.r_data[2] RDATA[5]=$techmap76356\REPORT_DATA.mem.0.0.0.A1DATA_16[5] RDATA[6]=REPORT_DATA.r_data[3] RDATA[7]=$techmap76356\REPORT_DATA.mem.0.0.0.A1DATA_16[7] RDATA[8]=REPORT_DATA.r_data[4] RDATA[9]=$techmap76356\REPORT_DATA.mem.0.0.0.A1DATA_16[9] RDATA[10]=REPORT_DATA.r_data[5] RDATA[11]=$techmap76356\REPORT_DATA.mem.0.0.0.A1DATA_16[11] RDATA[12]=REPORT_DATA.r_data[6] RDATA[13]=$techmap76356\REPORT_DATA.mem.0.0.0.A1DATA_16[13] RDATA[14]=REPORT_DATA.r_data[7] RDATA[15]=$techmap76356\REPORT_DATA.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=report_data_wadr[0] WADDR[1]=report_data_wadr[1] WADDR[2]=report_data_wadr[2] WADDR[3]=report_data_wadr[3] WADDR[4]=report_data_wadr[4] WADDR[5]=report_data_wadr[5] WADDR[6]=report_data_wadr[6] WADDR[7]=report_data_wadr[7] WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=$true WDATA[0]=report_data_wr[0] WDATA[1]=$undef WDATA[2]=report_data_wr[1] WDATA[3]=$undef WDATA[4]=report_data_wr[2] WDATA[5]=$undef WDATA[6]=report_data_wr[3] WDATA[7]=$undef WDATA[8]=report_data_wr[4] WDATA[9]=$undef WDATA[10]=report_data_wr[5] WDATA[11]=$undef WDATA[12]=report_data_wr[6] WDATA[13]=$undef WDATA[14]=report_data_wr[7] WDATA[15]=$undef WE=report_wr_en .attr src "/usr/bin/../share/yosys/ice40/brams_map.v:277|/usr/bin/../share/yosys/ice40/brams_map.v:35" .param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1 .param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_2 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_3 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_4 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_5 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_6 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_7 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_8 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_9 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_A xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_B xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_C xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_D xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_E xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx .param READ_MODE 00000000000000000000000000000001 .param WRITE_MODE 00000000000000000000000000000001 .names INT COM_DCD 1 1 .names KBD_FREEZE COM_DSR 1 1 .names I2C.is_read COM_RTS 1 1 .names UART.tx_line COM_TX 1 1 .names CLK I2C.CLK 1 1 .names I2C.byte_counter[0] I2C.COUNTER[0] 1 1 .names I2C.byte_counter[1] I2C.COUNTER[1] 1 1 .names I2C.byte_counter[2] I2C.COUNTER[2] 1 1 .names I2C.byte_counter[3] I2C.COUNTER[3] 1 1 .names I2C.byte_counter[4] I2C.COUNTER[4] 1 1 .names I2C.byte_counter[5] I2C.COUNTER[5] 1 1 .names I2C.byte_counter[6] I2C.COUNTER[6] 1 1 .names I2C.byte_counter[7] I2C.COUNTER[7] 1 1 .names CLK I2C.FLT_SCL.CLK 1 1 .names I2C.SCLF I2C.FLT_SCL.IN 1 1 .names I2C.FLT_SCL.out I2C.FLT_SCL.OUT 1 1 .names RESET I2C.FLT_SCL.RESET 1 1 .names CLK I2C.FLT_SDA.CLK 1 1 .names I2C.SDAF I2C.FLT_SDA.IN 1 1 .names I2C.FLT_SDA.out I2C.FLT_SDA.OUT 1 1 .names RESET I2C.FLT_SDA.RESET 1 1 .names I2C.is_ack I2C.IS_ACK 1 1 .names I2C.is_read I2C.IS_READ 1 1 .names I2C_TRANS I2C.IS_TRANSMISSION 1 1 .names I2C.received_byte[0] I2C.RECEIVED_BYTE[0] 1 1 .names I2C.received_byte[1] I2C.RECEIVED_BYTE[1] 1 1 .names I2C.received_byte[2] I2C.RECEIVED_BYTE[2] 1 1 .names I2C.received_byte[3] I2C.RECEIVED_BYTE[3] 1 1 .names I2C.received_byte[4] I2C.RECEIVED_BYTE[4] 1 1 .names I2C.received_byte[5] I2C.RECEIVED_BYTE[5] 1 1 .names I2C.received_byte[6] I2C.RECEIVED_BYTE[6] 1 1 .names I2C.received_byte[7] I2C.RECEIVED_BYTE[7] 1 1 .names RESET I2C.RESET 1 1 .names SCL I2C.SCL 1 1 .names I2C.FLT_SCL.out I2C.SCLD 1 1 .names SDA I2C.SDA 1 1 .names I2C.FLT_SDA.out I2C.SDAD 1 1 .names I2C.wr I2C.WR 1 1 .names I2C.is_ack I2C_ACK 1 1 .names I2C.byte_counter[0] I2C_COUNTER[0] 1 1 .names I2C.byte_counter[1] I2C_COUNTER[1] 1 1 .names I2C.byte_counter[2] I2C_COUNTER[2] 1 1 .names I2C.byte_counter[3] I2C_COUNTER[3] 1 1 .names I2C.byte_counter[4] I2C_COUNTER[4] 1 1 .names I2C.byte_counter[5] I2C_COUNTER[5] 1 1 .names I2C.byte_counter[6] I2C_COUNTER[6] 1 1 .names I2C.byte_counter[7] I2C_COUNTER[7] 1 1 .names I2C.byte_counter[0] I2C_HID_DESC.ADR[0] 1 1 .names I2C.byte_counter[1] I2C_HID_DESC.ADR[1] 1 1 .names I2C.byte_counter[2] I2C_HID_DESC.ADR[2] 1 1 .names I2C.byte_counter[3] I2C_HID_DESC.ADR[3] 1 1 .names I2C.byte_counter[4] I2C_HID_DESC.ADR[4] 1 1 .names I2C.byte_counter[5] I2C_HID_DESC.ADR[5] 1 1 .names I2C.byte_counter[6] I2C_HID_DESC.ADR[6] 1 1 .names I2C.byte_counter[7] I2C_HID_DESC.ADR[7] 1 1 .names CLK I2C_HID_DESC.CLK 1 1 .names I2C_OUTPUT_TYPE[0] I2C_HID_DESC.DESC_TYPE[0] 1 1 .names I2C_OUTPUT_TYPE[1] I2C_HID_DESC.DESC_TYPE[1] 1 1 .names I2C.wr I2C_HID_DESC.RD_REQUEST 1 1 .names RESET I2C_HID_DESC.RESET 1 1 .names I2C_TX_DESC[0] I2C_HID_DESC.VAL[0] 1 1 .names I2C_TX_DESC[1] I2C_HID_DESC.VAL[1] 1 1 .names I2C_TX_DESC[2] I2C_HID_DESC.VAL[2] 1 1 .names I2C_TX_DESC[3] I2C_HID_DESC.VAL[3] 1 1 .names I2C_TX_DESC[4] I2C_HID_DESC.VAL[4] 1 1 .names I2C_TX_DESC[5] I2C_HID_DESC.VAL[5] 1 1 .names I2C_TX_DESC[6] I2C_HID_DESC.VAL[6] 1 1 .names I2C_TX_DESC[7] I2C_HID_DESC.VAL[7] 1 1 .names I2C.is_read I2C_READ 1 1 .names I2C.received_byte[0] I2C_RX[0] 1 1 .names I2C.received_byte[1] I2C_RX[1] 1 1 .names I2C.received_byte[2] I2C_RX[2] 1 1 .names I2C.received_byte[3] I2C_RX[3] 1 1 .names I2C.received_byte[4] I2C_RX[4] 1 1 .names I2C.received_byte[5] I2C_RX[5] 1 1 .names I2C.received_byte[6] I2C_RX[6] 1 1 .names I2C.received_byte[7] I2C_RX[7] 1 1 .names I2C.wr I2C_WR 1 1 .names INT INTERRUPT 1 1 .names KEYBOARD.isr ISR 1 1 .names LED2 KBD_LED_STATUS[0] 1 1 .names LED3 KBD_LED_STATUS[1] 1 1 .names LED4 KBD_LED_STATUS[2] 1 1 .names $undef KBD_LED_STATUS[3] 1 1 .names $undef KBD_LED_STATUS[4] 1 1 .names $undef KBD_LED_STATUS[5] 1 1 .names $undef KBD_LED_STATUS[6] 1 1 .names $undef KBD_LED_STATUS[7] 1 1 .names CLK KEYBOARD.CLK 1 1 .names KBD_COLUMNS[0] KEYBOARD.COLUMNS[0] 1 1 .names KBD_COLUMNS[1] KEYBOARD.COLUMNS[1] 1 1 .names KBD_COLUMNS[2] KEYBOARD.COLUMNS[2] 1 1 .names KBD_COLUMNS[3] KEYBOARD.COLUMNS[3] 1 1 .names KBD_COLUMNS[4] KEYBOARD.COLUMNS[4] 1 1 .names KBD_COLUMNS[5] KEYBOARD.COLUMNS[5] 1 1 .names KBD_COLUMNS[6] KEYBOARD.COLUMNS[6] 1 1 .names KBD_COLUMNS[7] KEYBOARD.COLUMNS[7] 1 1 .names KEYBOARD.isr KEYBOARD.INT 1 1 .names CLK KEYBOARD.RAM.clk 1 1 .names KEYBOARD.ram_adr[0] KEYBOARD.RAM.raddr[0] 1 1 .names KEYBOARD.ram_adr[1] KEYBOARD.RAM.raddr[1] 1 1 .names KEYBOARD.ram_adr[2] KEYBOARD.RAM.raddr[2] 1 1 .names KEYBOARD.ram_adr[3] KEYBOARD.RAM.raddr[3] 1 1 .names KEYBOARD.ram_adr[4] KEYBOARD.RAM.raddr[4] 1 1 .names KEYBOARD.ram_adr[5] KEYBOARD.RAM.raddr[5] 1 1 .names KEYBOARD.ram_adr[6] KEYBOARD.RAM.raddr[6] 1 1 .names KEYBOARD.ram_adr[7] KEYBOARD.RAM.raddr[7] 1 1 .names $undef KEYBOARD.RAM.raddr[8] 1 1 .names KEYBOARD.RAM.r_data[0] KEYBOARD.RAM.rdata[0] 1 1 .names KEYBOARD.RAM.r_data[1] KEYBOARD.RAM.rdata[1] 1 1 .names KEYBOARD.RAM.r_data[2] KEYBOARD.RAM.rdata[2] 1 1 .names KEYBOARD.RAM.r_data[3] KEYBOARD.RAM.rdata[3] 1 1 .names KEYBOARD.RAM.r_data[4] KEYBOARD.RAM.rdata[4] 1 1 .names KEYBOARD.RAM.r_data[5] KEYBOARD.RAM.rdata[5] 1 1 .names KEYBOARD.RAM.r_data[6] KEYBOARD.RAM.rdata[6] 1 1 .names KEYBOARD.RAM.r_data[7] KEYBOARD.RAM.rdata[7] 1 1 .names KEYBOARD.ram_adr[0] KEYBOARD.RAM.waddr[0] 1 1 .names KEYBOARD.ram_adr[1] KEYBOARD.RAM.waddr[1] 1 1 .names KEYBOARD.ram_adr[2] KEYBOARD.RAM.waddr[2] 1 1 .names KEYBOARD.ram_adr[3] KEYBOARD.RAM.waddr[3] 1 1 .names KEYBOARD.ram_adr[4] KEYBOARD.RAM.waddr[4] 1 1 .names KEYBOARD.ram_adr[5] KEYBOARD.RAM.waddr[5] 1 1 .names KEYBOARD.ram_adr[6] KEYBOARD.RAM.waddr[6] 1 1 .names KEYBOARD.ram_adr[7] KEYBOARD.RAM.waddr[7] 1 1 .names $undef KEYBOARD.RAM.waddr[8] 1 1 .names KEYBOARD.temp[0] KEYBOARD.RAM.wdata[0] 1 1 .names KEYBOARD.temp[1] KEYBOARD.RAM.wdata[1] 1 1 .names KEYBOARD.temp[2] KEYBOARD.RAM.wdata[2] 1 1 .names KEYBOARD.temp[3] KEYBOARD.RAM.wdata[3] 1 1 .names KEYBOARD.temp[4] KEYBOARD.RAM.wdata[4] 1 1 .names KEYBOARD.temp[5] KEYBOARD.RAM.wdata[5] 1 1 .names KEYBOARD.temp[6] KEYBOARD.RAM.wdata[6] 1 1 .names KEYBOARD.temp[7] KEYBOARD.RAM.wdata[7] 1 1 .names KEYBOARD.ram_wr KEYBOARD.RAM.wen 1 1 .names RESET KEYBOARD.RESET 1 1 .names KBD_ROWS[0] KEYBOARD.ROWS[0] 1 1 .names KBD_ROWS[1] KEYBOARD.ROWS[1] 1 1 .names KBD_ROWS[2] KEYBOARD.ROWS[2] 1 1 .names KBD_ROWS[3] KEYBOARD.ROWS[3] 1 1 .names KBD_ROWS[4] KEYBOARD.ROWS[4] 1 1 .names KBD_ROWS[5] KEYBOARD.ROWS[5] 1 1 .names KBD_ROWS[6] KEYBOARD.ROWS[6] 1 1 .names KBD_ROWS[7] KEYBOARD.ROWS[7] 1 1 .names KBD_ROWS[8] KEYBOARD.ROWS[8] 1 1 .names KBD_ROWS[9] KEYBOARD.ROWS[9] 1 1 .names KBD_ROWS[10] KEYBOARD.ROWS[10] 1 1 .names KBD_ROWS[11] KEYBOARD.ROWS[11] 1 1 .names KBD_ROWS[12] KEYBOARD.ROWS[12] 1 1 .names KBD_ROWS[13] KEYBOARD.ROWS[13] 1 1 .names KBD_ROWS[14] KEYBOARD.ROWS[14] 1 1 .names KBD_ROWS[15] KEYBOARD.ROWS[15] 1 1 .names KEYBOARD.report[0][0] KEYBOARD.kbd_r0[0] 1 1 .names KEYBOARD.report[0][1] KEYBOARD.kbd_r0[1] 1 1 .names KEYBOARD.report[0][2] KEYBOARD.kbd_r0[2] 1 1 .names KEYBOARD.report[0][3] KEYBOARD.kbd_r0[3] 1 1 .names KEYBOARD.report[0][4] KEYBOARD.kbd_r0[4] 1 1 .names KEYBOARD.report[0][5] KEYBOARD.kbd_r0[5] 1 1 .names KEYBOARD.report[0][6] KEYBOARD.kbd_r0[6] 1 1 .names KEYBOARD.report[0][7] KEYBOARD.kbd_r0[7] 1 1 .names KEYBOARD.report[1][0] KEYBOARD.kbd_r2[0] 1 1 .names KEYBOARD.report[1][1] KEYBOARD.kbd_r2[1] 1 1 .names KEYBOARD.report[1][2] KEYBOARD.kbd_r2[2] 1 1 .names KEYBOARD.report[1][3] KEYBOARD.kbd_r2[3] 1 1 .names KEYBOARD.report[1][4] KEYBOARD.kbd_r2[4] 1 1 .names KEYBOARD.report[1][5] KEYBOARD.kbd_r2[5] 1 1 .names KEYBOARD.report[1][6] KEYBOARD.kbd_r2[6] 1 1 .names KEYBOARD.report[1][7] KEYBOARD.kbd_r2[7] 1 1 .names KEYBOARD.report[2][0] KEYBOARD.kbd_r3[0] 1 1 .names KEYBOARD.report[2][1] KEYBOARD.kbd_r3[1] 1 1 .names KEYBOARD.report[2][2] KEYBOARD.kbd_r3[2] 1 1 .names KEYBOARD.report[2][3] KEYBOARD.kbd_r3[3] 1 1 .names KEYBOARD.report[2][4] KEYBOARD.kbd_r3[4] 1 1 .names KEYBOARD.report[2][5] KEYBOARD.kbd_r3[5] 1 1 .names KEYBOARD.report[2][6] KEYBOARD.kbd_r3[6] 1 1 .names KEYBOARD.report[2][7] KEYBOARD.kbd_r3[7] 1 1 .names KEYBOARD.report[3][0] KEYBOARD.kbd_r4[0] 1 1 .names KEYBOARD.report[3][1] KEYBOARD.kbd_r4[1] 1 1 .names KEYBOARD.report[3][2] KEYBOARD.kbd_r4[2] 1 1 .names KEYBOARD.report[3][3] KEYBOARD.kbd_r4[3] 1 1 .names KEYBOARD.report[3][4] KEYBOARD.kbd_r4[4] 1 1 .names KEYBOARD.report[3][5] KEYBOARD.kbd_r4[5] 1 1 .names KEYBOARD.report[3][6] KEYBOARD.kbd_r4[6] 1 1 .names KEYBOARD.report[3][7] KEYBOARD.kbd_r4[7] 1 1 .names KEYBOARD.report[4][0] KEYBOARD.kbd_r5[0] 1 1 .names KEYBOARD.report[4][1] KEYBOARD.kbd_r5[1] 1 1 .names KEYBOARD.report[4][2] KEYBOARD.kbd_r5[2] 1 1 .names KEYBOARD.report[4][3] KEYBOARD.kbd_r5[3] 1 1 .names KEYBOARD.report[4][4] KEYBOARD.kbd_r5[4] 1 1 .names KEYBOARD.report[4][5] KEYBOARD.kbd_r5[5] 1 1 .names KEYBOARD.report[4][6] KEYBOARD.kbd_r5[6] 1 1 .names KEYBOARD.report[4][7] KEYBOARD.kbd_r5[7] 1 1 .names KEYBOARD.report[5][0] KEYBOARD.kbd_r6[0] 1 1 .names KEYBOARD.report[5][1] KEYBOARD.kbd_r6[1] 1 1 .names KEYBOARD.report[5][2] KEYBOARD.kbd_r6[2] 1 1 .names KEYBOARD.report[5][3] KEYBOARD.kbd_r6[3] 1 1 .names KEYBOARD.report[5][4] KEYBOARD.kbd_r6[4] 1 1 .names KEYBOARD.report[5][5] KEYBOARD.kbd_r6[5] 1 1 .names KEYBOARD.report[5][6] KEYBOARD.kbd_r6[6] 1 1 .names KEYBOARD.report[5][7] KEYBOARD.kbd_r6[7] 1 1 .names KEYBOARD.report[6][0] KEYBOARD.kbd_r7[0] 1 1 .names KEYBOARD.report[6][1] KEYBOARD.kbd_r7[1] 1 1 .names KEYBOARD.report[6][2] KEYBOARD.kbd_r7[2] 1 1 .names KEYBOARD.report[6][3] KEYBOARD.kbd_r7[3] 1 1 .names KEYBOARD.report[6][4] KEYBOARD.kbd_r7[4] 1 1 .names KEYBOARD.report[6][5] KEYBOARD.kbd_r7[5] 1 1 .names KEYBOARD.report[6][6] KEYBOARD.kbd_r7[6] 1 1 .names KEYBOARD.report[6][7] KEYBOARD.kbd_r7[7] 1 1 .names $undef KEYBOARD.ram_adr[8] 1 1 .names KEYBOARD.RAM.r_data[0] KEYBOARD.ram_rd[0] 1 1 .names KEYBOARD.RAM.r_data[1] KEYBOARD.ram_rd[1] 1 1 .names KEYBOARD.RAM.r_data[2] KEYBOARD.ram_rd[2] 1 1 .names KEYBOARD.RAM.r_data[3] KEYBOARD.ram_rd[3] 1 1 .names KEYBOARD.RAM.r_data[4] KEYBOARD.ram_rd[4] 1 1 .names KEYBOARD.RAM.r_data[5] KEYBOARD.ram_rd[5] 1 1 .names KEYBOARD.RAM.r_data[6] KEYBOARD.ram_rd[6] 1 1 .names KEYBOARD.RAM.r_data[7] KEYBOARD.ram_rd[7] 1 1 .names I2C_TRANS LED5 1 1 .names CLK REPORT_DATA.clk 1 1 .names report_data_radr[0] REPORT_DATA.raddr[0] 1 1 .names report_data_radr[1] REPORT_DATA.raddr[1] 1 1 .names report_data_radr[2] REPORT_DATA.raddr[2] 1 1 .names report_data_radr[3] REPORT_DATA.raddr[3] 1 1 .names report_data_radr[4] REPORT_DATA.raddr[4] 1 1 .names report_data_radr[5] REPORT_DATA.raddr[5] 1 1 .names report_data_radr[6] REPORT_DATA.raddr[6] 1 1 .names report_data_radr[7] REPORT_DATA.raddr[7] 1 1 .names $false REPORT_DATA.raddr[8] 1 1 .names REPORT_DATA.r_data[0] REPORT_DATA.rdata[0] 1 1 .names REPORT_DATA.r_data[1] REPORT_DATA.rdata[1] 1 1 .names REPORT_DATA.r_data[2] REPORT_DATA.rdata[2] 1 1 .names REPORT_DATA.r_data[3] REPORT_DATA.rdata[3] 1 1 .names REPORT_DATA.r_data[4] REPORT_DATA.rdata[4] 1 1 .names REPORT_DATA.r_data[5] REPORT_DATA.rdata[5] 1 1 .names REPORT_DATA.r_data[6] REPORT_DATA.rdata[6] 1 1 .names REPORT_DATA.r_data[7] REPORT_DATA.rdata[7] 1 1 .names report_data_wadr[0] REPORT_DATA.waddr[0] 1 1 .names report_data_wadr[1] REPORT_DATA.waddr[1] 1 1 .names report_data_wadr[2] REPORT_DATA.waddr[2] 1 1 .names report_data_wadr[3] REPORT_DATA.waddr[3] 1 1 .names report_data_wadr[4] REPORT_DATA.waddr[4] 1 1 .names report_data_wadr[5] REPORT_DATA.waddr[5] 1 1 .names report_data_wadr[6] REPORT_DATA.waddr[6] 1 1 .names report_data_wadr[7] REPORT_DATA.waddr[7] 1 1 .names $false REPORT_DATA.waddr[8] 1 1 .names report_data_wr[0] REPORT_DATA.wdata[0] 1 1 .names report_data_wr[1] REPORT_DATA.wdata[1] 1 1 .names report_data_wr[2] REPORT_DATA.wdata[2] 1 1 .names report_data_wr[3] REPORT_DATA.wdata[3] 1 1 .names report_data_wr[4] REPORT_DATA.wdata[4] 1 1 .names report_data_wr[5] REPORT_DATA.wdata[5] 1 1 .names report_data_wr[6] REPORT_DATA.wdata[6] 1 1 .names report_data_wr[7] REPORT_DATA.wdata[7] 1 1 .names report_wr_en REPORT_DATA.wen 1 1 .names CLK UART.CLK 1 1 .names RESET UART.RESET 1 1 .names UART.tx_activity UART.TX_ACTIVITY 1 1 .names UART_TX_DATA[0] UART.TX_BYTE[0] 1 1 .names UART_TX_DATA[1] UART.TX_BYTE[1] 1 1 .names UART_TX_DATA[2] UART.TX_BYTE[2] 1 1 .names UART_TX_DATA[3] UART.TX_BYTE[3] 1 1 .names UART_TX_DATA[4] UART.TX_BYTE[4] 1 1 .names UART_TX_DATA[5] UART.TX_BYTE[5] 1 1 .names UART_TX_DATA[6] UART.TX_BYTE[6] 1 1 .names UART_TX_DATA[7] UART.TX_BYTE[7] 1 1 .names UART.tx_line UART.TX_LINE 1 1 .names UART_WR UART.TX_SIGNAL 1 1 .names UART.tx_activity UART_ACTIVE 1 1 .names UART.tx_line UART_TX_LINE 1 1 .names KEYBOARD.report[0][0] kbd_report[0][0] 1 1 .names KEYBOARD.report[0][1] kbd_report[0][1] 1 1 .names KEYBOARD.report[0][2] kbd_report[0][2] 1 1 .names KEYBOARD.report[0][3] kbd_report[0][3] 1 1 .names KEYBOARD.report[0][4] kbd_report[0][4] 1 1 .names KEYBOARD.report[0][5] kbd_report[0][5] 1 1 .names KEYBOARD.report[0][6] kbd_report[0][6] 1 1 .names KEYBOARD.report[0][7] kbd_report[0][7] 1 1 .names KEYBOARD.report[1][0] kbd_report[1][0] 1 1 .names KEYBOARD.report[1][1] kbd_report[1][1] 1 1 .names KEYBOARD.report[1][2] kbd_report[1][2] 1 1 .names KEYBOARD.report[1][3] kbd_report[1][3] 1 1 .names KEYBOARD.report[1][4] kbd_report[1][4] 1 1 .names KEYBOARD.report[1][5] kbd_report[1][5] 1 1 .names KEYBOARD.report[1][6] kbd_report[1][6] 1 1 .names KEYBOARD.report[1][7] kbd_report[1][7] 1 1 .names KEYBOARD.report[2][0] kbd_report[2][0] 1 1 .names KEYBOARD.report[2][1] kbd_report[2][1] 1 1 .names KEYBOARD.report[2][2] kbd_report[2][2] 1 1 .names KEYBOARD.report[2][3] kbd_report[2][3] 1 1 .names KEYBOARD.report[2][4] kbd_report[2][4] 1 1 .names KEYBOARD.report[2][5] kbd_report[2][5] 1 1 .names KEYBOARD.report[2][6] kbd_report[2][6] 1 1 .names KEYBOARD.report[2][7] kbd_report[2][7] 1 1 .names KEYBOARD.report[3][0] kbd_report[3][0] 1 1 .names KEYBOARD.report[3][1] kbd_report[3][1] 1 1 .names KEYBOARD.report[3][2] kbd_report[3][2] 1 1 .names KEYBOARD.report[3][3] kbd_report[3][3] 1 1 .names KEYBOARD.report[3][4] kbd_report[3][4] 1 1 .names KEYBOARD.report[3][5] kbd_report[3][5] 1 1 .names KEYBOARD.report[3][6] kbd_report[3][6] 1 1 .names KEYBOARD.report[3][7] kbd_report[3][7] 1 1 .names KEYBOARD.report[4][0] kbd_report[4][0] 1 1 .names KEYBOARD.report[4][1] kbd_report[4][1] 1 1 .names KEYBOARD.report[4][2] kbd_report[4][2] 1 1 .names KEYBOARD.report[4][3] kbd_report[4][3] 1 1 .names KEYBOARD.report[4][4] kbd_report[4][4] 1 1 .names KEYBOARD.report[4][5] kbd_report[4][5] 1 1 .names KEYBOARD.report[4][6] kbd_report[4][6] 1 1 .names KEYBOARD.report[4][7] kbd_report[4][7] 1 1 .names KEYBOARD.report[5][0] kbd_report[5][0] 1 1 .names KEYBOARD.report[5][1] kbd_report[5][1] 1 1 .names KEYBOARD.report[5][2] kbd_report[5][2] 1 1 .names KEYBOARD.report[5][3] kbd_report[5][3] 1 1 .names KEYBOARD.report[5][4] kbd_report[5][4] 1 1 .names KEYBOARD.report[5][5] kbd_report[5][5] 1 1 .names KEYBOARD.report[5][6] kbd_report[5][6] 1 1 .names KEYBOARD.report[5][7] kbd_report[5][7] 1 1 .names KEYBOARD.report[6][0] kbd_report[6][0] 1 1 .names KEYBOARD.report[6][1] kbd_report[6][1] 1 1 .names KEYBOARD.report[6][2] kbd_report[6][2] 1 1 .names KEYBOARD.report[6][3] kbd_report[6][3] 1 1 .names KEYBOARD.report[6][4] kbd_report[6][4] 1 1 .names KEYBOARD.report[6][5] kbd_report[6][5] 1 1 .names KEYBOARD.report[6][6] kbd_report[6][6] 1 1 .names KEYBOARD.report[6][7] kbd_report[6][7] 1 1 .names REPORT_DATA.r_data[0] report_data_rd[0] 1 1 .names REPORT_DATA.r_data[1] report_data_rd[1] 1 1 .names REPORT_DATA.r_data[2] report_data_rd[2] 1 1 .names REPORT_DATA.r_data[3] report_data_rd[3] 1 1 .names REPORT_DATA.r_data[4] report_data_rd[4] 1 1 .names REPORT_DATA.r_data[5] report_data_rd[5] 1 1 .names REPORT_DATA.r_data[6] report_data_rd[6] 1 1 .names REPORT_DATA.r_data[7] report_data_rd[7] 1 1 .names $undef temp_output_report[3] 1 1 .names $undef temp_output_report[4] 1 1 .names $undef temp_output_report[5] 1 1 .names $undef temp_output_report[6] 1 1 .names $undef temp_output_report[7] 1 1 .end