You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

4691 lines
313 KiB

# Generated by Yosys 0.8+53 (git sha1 ab97edd, clang 3.8.0-2ubuntu4 -fPIC -Os)
.model top
.inputs CLK SCL SDA COM_RX KBD_COLUMNS[0] KBD_COLUMNS[1] KBD_COLUMNS[2] KBD_COLUMNS[3] KBD_COLUMNS[4] KBD_COLUMNS[5] KBD_COLUMNS[6] KBD_COLUMNS[7] KBD_ROWS[0] KBD_ROWS[1] KBD_ROWS[2] KBD_ROWS[3] KBD_ROWS[4] KBD_ROWS[5] KBD_ROWS[6] KBD_ROWS[7] KBD_ROWS[8] KBD_ROWS[9] KBD_ROWS[10] KBD_ROWS[11] KBD_ROWS[12] KBD_ROWS[13] KBD_ROWS[14] KBD_ROWS[15]
.outputs LED1 LED2 LED3 LED4 LED5 SDA INTERRUPT COM_TX COM_DCD COM_DSR COM_RTS KBD_ROWS[0] KBD_ROWS[1] KBD_ROWS[2] KBD_ROWS[3] KBD_ROWS[4] KBD_ROWS[5] KBD_ROWS[6] KBD_ROWS[7] KBD_ROWS[8] KBD_ROWS[9] KBD_ROWS[10] KBD_ROWS[11] KBD_ROWS[12] KBD_ROWS[13] KBD_ROWS[14] KBD_ROWS[15]
.names $false
.names $true
1
.names $undef
.gate SB_LUT4 I0=$abc$24606$n1639 I1=$abc$24606$n589 I2=$abc$24606$n584 I3=UART.tx_activity O=$abc$24606$n5
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000111111111
.gate SB_LUT4 I0=$abc$24606$n588 I1=$abc$24606$n585 I2=$abc$24606$n1117 I3=$abc$24606$n1103 O=$abc$24606$n584
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000101000000011
.gate SB_LUT4 I0=UART_TX_DATA[2] I1=UART_TX_DATA[0] I2=$abc$24606$n586 I3=$false O=$abc$24606$n585
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=$abc$24606$n1941 I1=$abc$24606$n1942 I2=$false I3=$false O=$abc$24606$n586
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0110
.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=$false I3=$false O=$abc$24606$n1941
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0110
.gate SB_LUT4 I0=UART_TX_DATA[6] I1=UART_TX_DATA[4] I2=$abc$24606$n586 I3=$false O=$abc$24606$n588
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$24606$n591 I1=$abc$24606$n590 I2=$abc$24606$n1103 I3=$abc$24606$n1117 O=$abc$24606$n589
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100101000000000
.gate SB_LUT4 I0=UART_TX_DATA[7] I1=UART_TX_DATA[5] I2=$abc$24606$n586 I3=$false O=$abc$24606$n590
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=UART_TX_DATA[3] I1=UART_TX_DATA[1] I2=$abc$24606$n586 I3=$false O=$abc$24606$n591
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$24606$n709_1 I1=$abc$24606$n593 I2=$abc$24606$n717 I3=I2C.FLT_SCL.RESET O=$abc$24606$n7
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111100000000000
.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=$abc$24606$n594 I2=$abc$24606$n707 I3=$abc$24606$n683 O=$abc$24606$n593
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0011101000000000
.gate SB_LUT4 I0=$abc$24606$n678 I1=$abc$24606$n595_1 I2=$abc$24606$n681 I3=I2C.is_read O=$abc$24606$n594
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000001110
.gate SB_LUT4 I0=$abc$24606$n596 I1=$abc$24606$n677 I2=$false I3=$false O=$abc$24606$n595_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n822 I1=$abc$24606$n823 I2=$abc$24606$n597 I3=$false O=$abc$24606$n596
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10001111
.gate SB_LUT4 I0=$abc$24606$n823 I1=$abc$24606$n1254 I2=$abc$24606$n630 I3=$abc$24606$n671 O=$abc$24606$n597
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000001001111
.gate SB_LUT4 I0=$abc$24606$n625 I1=$abc$24606$n599 I2=i2c_input_data_type[0] I3=$abc$24606$n628 O=$abc$24606$n823
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1110111000001111
.gate SB_LUT4 I0=$abc$24606$n621 I1=$abc$24606$n600 I2=i2c_input_data_type[0] I3=$abc$24606$n623 O=$abc$24606$n599
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000011101111
.gate SB_LUT4 I0=$abc$24606$n601 I1=$abc$24606$n609 I2=$abc$24606$n617_1 I3=$false O=$abc$24606$n600
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=$abc$24606$n602 I1=$abc$24606$n606 I2=I2C_COUNTER[1] I3=$false O=$abc$24606$n601
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=I2C.received_byte[1] I1=$abc$24606$n604_1 I2=$abc$24606$n605_1 I3=$abc$24606$n603 O=$abc$24606$n602
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111111100000000
.gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[1] I2=i2c_input_data_type[2] I3=$false O=$abc$24606$n603
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[5] I2=I2C.received_byte[7] I3=I2C.received_byte[6] O=$abc$24606$n604_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=I2C.received_byte[3] I1=I2C.received_byte[2] I2=i2c_input_data_type[0] I3=I2C.received_byte[0] O=$abc$24606$n605_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000001
.gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$24606$n607 I2=$abc$24606$n604_1 I3=$abc$24606$n608 O=$abc$24606$n606
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111111100000000
.gate SB_LUT4 I0=I2C.received_byte[3] I1=I2C.received_byte[1] I2=I2C.received_byte[2] I3=$false O=$abc$24606$n607
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=i2c_input_data_type[1] I1=i2c_input_data_type[3] I2=i2c_input_data_type[0] I3=i2c_input_data_type[2] O=$abc$24606$n608
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$24606$n612 I1=$abc$24606$n614_1 I2=$abc$24606$n616_1 I3=$abc$24606$n610 O=$abc$24606$n609
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000010001111
.gate SB_LUT4 I0=I2C_COUNTER[3] I1=I2C_COUNTER[0] I2=$abc$24606$n611 I3=$false O=$abc$24606$n610
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=I2C_COUNTER[2] I1=I2C_COUNTER[4] I2=I2C_COUNTER[5] I3=I2C_COUNTER[6] O=$abc$24606$n611
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=I2C_COUNTER[0] I1=I2C_COUNTER[3] I2=I2C_COUNTER[1] I3=$abc$24606$n613 O=$abc$24606$n612
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=I2C_COUNTER[4] I1=I2C_COUNTER[5] I2=I2C_COUNTER[6] I3=I2C_COUNTER[2] O=$abc$24606$n613
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$abc$24606$n604_1 I1=$abc$24606$n615_1 I2=I2C.received_byte[1] I3=$false O=$abc$24606$n614_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[3] I2=I2C.received_byte[2] I3=$false O=$abc$24606$n615_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=I2C_COUNTER[3] I1=I2C_COUNTER[1] I2=$abc$24606$n603 I3=$abc$24606$n613 O=$abc$24606$n616_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$24606$n618 I1=$abc$24606$n620_1 I2=$false I3=$false O=$abc$24606$n617_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$24606$n607 I1=$abc$24606$n619_1 I2=$false I3=$false O=$abc$24606$n618
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[7] I2=I2C.received_byte[6] I3=$false O=$abc$24606$n619_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=I2C_COUNTER[1] I1=I2C.received_byte[4] I2=I2C.received_byte[5] I3=I2C_COUNTER[0] O=$abc$24606$n620_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=I2C.received_byte[4] I1=$abc$24606$n618 I2=$abc$24606$n608 I3=$abc$24606$n622 O=$abc$24606$n621
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1011000000000000
.gate SB_LUT4 I0=I2C_COUNTER[0] I1=I2C_COUNTER[3] I2=$abc$24606$n611 I3=I2C_COUNTER[1] O=$abc$24606$n622
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=I2C_COUNTER[0] I1=I2C_COUNTER[1] I2=$abc$24606$n624 I3=$false O=$abc$24606$n623
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=I2C_COUNTER[3] I1=$abc$24606$n611 I2=$false I3=$false O=$abc$24606$n624
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$24606$n626 I2=$abc$24606$n623 I3=$false O=$abc$24606$n625
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=$abc$24606$n607 I1=$abc$24606$n604_1 I2=$abc$24606$n2003 I3=$abc$24606$n1994 O=$abc$24606$n626
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000111100000000
.gate SB_LUT4 I0=I2C.is_read I1=$abc$24606$n629_1 I2=$false I3=$false O=$abc$24606$n628
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=$false I3=$false O=$abc$24606$n629_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n1959 I1=$abc$24606$n819 I2=$false I3=$false O=$abc$24606$n630
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n601 I1=$abc$24606$n609 I2=$abc$24606$n617_1 I3=$abc$24606$n633 O=$abc$24606$n632_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111000000000
.gate SB_LUT4 I0=$abc$24606$n634_1 I1=$abc$24606$n608 I2=$abc$24606$n622 I3=i2c_input_data_type[2] O=$abc$24606$n633
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1011111100000000
.gate SB_LUT4 I0=I2C.received_byte[5] I1=I2C.received_byte[4] I2=$abc$24606$n607 I3=$abc$24606$n619_1 O=$abc$24606$n634_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111000000000000
.gate SB_LUT4 I0=$abc$24606$n644 I1=$abc$24606$n645 I2=$abc$24606$n648_1 I3=$abc$24606$n649 O=$abc$24606$n822
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000001001111
.gate SB_LUT4 I0=$abc$24606$n601 I1=$abc$24606$n609 I2=$abc$24606$n617_1 I3=i2c_input_data_type[1] O=$abc$24606$n644
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111000000000
.gate SB_LUT4 I0=$abc$24606$n646 I1=$abc$24606$n623 I2=$abc$24606$n622 I3=$false O=$abc$24606$n645
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[5] I2=$abc$24606$n618 I3=$abc$24606$n647 O=$abc$24606$n646
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0110000000000000
.gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[0] I2=i2c_input_data_type[2] I3=$false O=$abc$24606$n647
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$24606$n626 I1=I2C.received_byte[1] I2=$abc$24606$n623 I3=$abc$24606$n628 O=$abc$24606$n648_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000111100000000
.gate SB_LUT4 I0=$abc$24606$n628 I1=i2c_input_data_type[1] I2=$false I3=$false O=$abc$24606$n649
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n1965 I1=$abc$24606$n656 I2=$false I3=$false O=$abc$24606$n651
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C_COUNTER[0] I1=$abc$24606$n653 I2=I2C_COUNTER[1] I3=$false O=$abc$24606$n1965
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000111
.gate SB_LUT4 I0=$abc$24606$n655 I1=$abc$24606$n654_1 I2=$abc$24606$n629_1 I3=$false O=$abc$24606$n653
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=I2C_COUNTER[0] I1=I2C_COUNTER[2] I2=I2C_COUNTER[1] I3=$false O=$abc$24606$n654_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=I2C_COUNTER[3] I1=I2C_COUNTER[4] I2=I2C_COUNTER[5] I3=I2C_COUNTER[6] O=$abc$24606$n655
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=I2C_COUNTER[0] I1=$abc$24606$n1326 I2=$abc$24606$n653 I3=$false O=$abc$24606$n656
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=I2C_COUNTER[3] I1=$abc$24606$n1332 I2=$abc$24606$n653 I3=$false O=$abc$24606$n1967
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=$abc$24606$n1970 I1=$abc$24606$n1968 I2=$abc$24606$n1969 I3=$false O=$abc$24606$n658_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$24606$n655 I1=$abc$24606$n654_1 I2=$abc$24606$n660 I3=$abc$24606$n661 O=$abc$24606$n1970
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111101110000
.gate SB_LUT4 I0=last_wr I1=$abc$24606$n1338 I2=I2C.wr I3=$false O=$abc$24606$n660
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=I2C_COUNTER[6] I3=$false O=$abc$24606$n661
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00001011
.gate SB_LUT4 I0=$abc$24606$n655 I1=$abc$24606$n654_1 I2=$abc$24606$n663_1 I3=$abc$24606$n664 O=$abc$24606$n1968
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111101110000
.gate SB_LUT4 I0=last_wr I1=$abc$24606$n1334 I2=I2C.wr I3=$false O=$abc$24606$n663_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=I2C_COUNTER[4] I3=$false O=$abc$24606$n664
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00001011
.gate SB_LUT4 I0=$abc$24606$n655 I1=$abc$24606$n654_1 I2=$abc$24606$n666 I3=$abc$24606$n667 O=$abc$24606$n1969
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111101110000
.gate SB_LUT4 I0=last_wr I1=$abc$24606$n1336 I2=I2C.wr I3=$false O=$abc$24606$n666
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=I2C_COUNTER[5] I3=$false O=$abc$24606$n667
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00001011
.gate SB_LUT4 I0=I2C_COUNTER[2] I1=$abc$24606$n1330 I2=$abc$24606$n653 I3=$false O=$abc$24606$n1966
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=$abc$24606$n1967 I1=$abc$24606$n658_1 I2=$false I3=$false O=$abc$24606$n670
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$24606$n670 I1=$abc$24606$n672 I2=$abc$24606$n1966 I3=$abc$24606$n2000 O=$abc$24606$n671
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111111100000000
.gate SB_LUT4 I0=$abc$24606$n1965 I1=$abc$24606$n656 I2=$false I3=$false O=$abc$24606$n672
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$24606$n630 I1=$abc$24606$n676 I2=$abc$24606$n674 I3=$abc$24606$n823 O=$abc$24606$n673
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011111111111
.gate SB_LUT4 I0=$abc$24606$n822 I1=$abc$24606$n2000 I2=$abc$24606$n672 I3=$abc$24606$n675 O=$abc$24606$n674
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$24606$n1966 I1=$abc$24606$n670 I2=$false I3=$false O=$abc$24606$n675
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n822 I1=$abc$24606$n675 I2=$abc$24606$n651 I3=$false O=$abc$24606$n676
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$24606$n1959 I1=$abc$24606$n819 I2=$false I3=$false O=$abc$24606$n677
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$24606$n679 I1=I2C_OUTPUT_TYPE[1] I2=$false I3=$false O=$abc$24606$n678
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$24606$n673 I1=$abc$24606$n597 I2=$abc$24606$n822 I3=$false O=$abc$24606$n679
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=$abc$24606$n822 I1=$abc$24606$n677 I2=$22\i2c_input_data_type[3:0][0] I3=$false O=$abc$24606$n681
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$24606$n823 I1=$abc$24606$n597 I2=$false I3=$false O=$22\i2c_input_data_type[3:0][0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n701_1 I1=$abc$24606$n684 I2=I2C_TRANS I3=$3\int_tmr[14:0][14] O=$abc$24606$n683
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011100000000
.gate SB_LUT4 I0=$abc$24606$n685_1 I1=$2\ring_rd[3:0][3] I2=$2\ring_wr[3:0][3] I3=$abc$24606$n688 O=$abc$24606$n684
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000110000010
.gate SB_LUT4 I0=$abc$24606$n686 I1=ring_rd[0] I2=$false I3=$false O=$abc$24606$n685_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$24606$n687_1 I1=$abc$24606$n623 I2=$abc$24606$n698 I3=$false O=$abc$24606$n686
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$2\ring_wr[3:0][3] I1=ring_rd[3] I2=$abc$24606$n688 I3=$abc$24606$n694_1 O=$abc$24606$n687_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000000000
.gate SB_LUT4 I0=$2\ring_wr[3:0][1] I1=ring_rd[1] I2=$false I3=$false O=$abc$24606$n688
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1001
.gate SB_LUT4 I0=$abc$24606$n690 I1=ring_wr[0] I2=ring_wr[1] I3=$false O=$2\ring_wr[3:0][1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01111000
.gate SB_LUT4 I0=$abc$24606$n693 I1=$abc$24606$n691 I2=KEYBOARD.isr I3=last_isr O=$abc$24606$n690
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011100000000
.gate SB_LUT4 I0=$abc$24606$n629 I1=ring_rd[2] I2=$abc$24606$n1460 I3=$abc$24606$n692 O=$abc$24606$n691
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000100000000
.gate SB_LUT4 I0=ring_rd[0] I1=$abc$24606$n1454 I2=ring_rd[3] I3=$abc$24606$n1463 O=$abc$24606$n692
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=ring_rd[1] I1=ring_wr[0] I2=ring_wr[1] I3=$false O=$abc$24606$n693
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01101001
.gate SB_LUT4 I0=$2\ring_wr[3:0][0] I1=ring_rd[0] I2=$2\ring_wr[3:0][2] I3=ring_rd[2] O=$abc$24606$n694_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=ring_wr[0] I1=$abc$24606$n1454 I2=$abc$24606$n690 I3=$false O=$2\ring_wr[3:0][0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=ring_wr[2] I1=$abc$24606$n1460 I2=$abc$24606$n690 I3=$false O=$2\ring_wr[3:0][2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=ring_wr[3] I1=$abc$24606$n1463 I2=$abc$24606$n690 I3=$false O=$2\ring_wr[3:0][3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$24606$n699 I1=$abc$24606$n629_1 I2=I2C.is_read I3=$false O=$abc$24606$n698
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=I2C_HID_DESC.DESC_TYPE I1=I2C_OUTPUT_TYPE[2] I2=I2C_OUTPUT_TYPE[1] I3=$false O=$abc$24606$n699
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=ring_rd[3] I1=$abc$24606$n1350 I2=$abc$24606$n686 I3=$false O=$2\ring_rd[3:0][3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$2\ring_rd[3:0][0] I1=$2\ring_wr[3:0][0] I2=$2\ring_rd[3:0][2] I3=$2\ring_wr[3:0][2] O=$abc$24606$n701_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=ring_rd[0] I1=$abc$24606$n1341 I2=$abc$24606$n686 I3=$false O=$2\ring_rd[3:0][0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=ring_rd[2] I1=$abc$24606$n1347 I2=$abc$24606$n686 I3=$false O=$2\ring_rd[3:0][2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$24606$n705 I1=int_tmr[14] I2=$false I3=$false O=$3\int_tmr[14:0][14]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n1995 I1=$abc$24606$n699 I2=$abc$24606$n706_1 I3=$false O=$abc$24606$n705
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$24606$n707 I1=I2C.is_read I2=$false I3=$false O=$abc$24606$n706_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=$false I3=$false O=$abc$24606$n707
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=I2C.i2c_state_machine I1=I2C.i2c_start_latency I2=$false I3=$false O=I2C_TRANS
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$24606$n714 I1=$abc$24606$n710 I2=$abc$24606$n716 I3=$2\I2C_OUTPUT_TYPE[2:0][0] O=$abc$24606$n709_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000111
.gate SB_LUT4 I0=$abc$24606$n679 I1=I2C_OUTPUT_TYPE[2] I2=$abc$24606$n1258 I3=$abc$24606$n595_1 O=$abc$24606$n710
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111000001000100
.gate SB_LUT4 I0=$abc$24606$n713 I1=$abc$24606$n712 I2=$abc$24606$n714 I3=$abc$24606$n715 O=$2\I2C_OUTPUT_TYPE[2:0][0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111100010000
.gate SB_LUT4 I0=$abc$24606$n596 I1=$abc$24606$n1256 I2=$abc$24606$n677 I3=$false O=$abc$24606$n712
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$24606$n679 I1=I2C_HID_DESC.DESC_TYPE I2=$abc$24606$n596 I3=$abc$24606$n677 O=$abc$24606$n713
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1011000010111011
.gate SB_LUT4 I0=I2C.is_read I1=$abc$24606$n707 I2=$false I3=$false O=$abc$24606$n714
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n707 I1=I2C_HID_DESC.DESC_TYPE I2=$false I3=$false O=$abc$24606$n715
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n707 I1=I2C_OUTPUT_TYPE[2] I2=$false I3=$false O=$abc$24606$n716
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n705 I1=LED1 I2=$false I3=$false O=$abc$24606$n717
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=rststate[3] I1=rststate[2] I2=rststate[1] I3=rststate[0] O=I2C.FLT_SCL.RESET
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$abc$24606$n720 I1=$abc$24606$n1708 I2=$false I3=$false O=$abc$24606$n71
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n721 I1=$abc$24606$n725 I2=$false I3=$false O=$abc$24606$n720
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$24606$n722 I1=KEYBOARD.row_time[5] I2=KEYBOARD.row_time[9] I3=KEYBOARD.row_time[11] O=$abc$24606$n721
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$abc$24606$n723 I1=$abc$24606$n724 I2=$false I3=$false O=$abc$24606$n722
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=KEYBOARD.row_time[12] I1=KEYBOARD.row_time[14] I2=KEYBOARD.row_time[2] I3=KEYBOARD.row_time[3] O=$abc$24606$n723
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[1] I2=KEYBOARD.row_time[4] I3=KEYBOARD.row_time[8] O=$abc$24606$n724
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=KEYBOARD.row_time[6] I1=KEYBOARD.row_time[7] I2=KEYBOARD.row_time[10] I3=KEYBOARD.row_time[13] O=$abc$24606$n725
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[1] I2=$false I3=$false O=$abc$24606$n72
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0110
.gate SB_LUT4 I0=$abc$24606$n720 I1=$abc$24606$n1710 I2=$false I3=$false O=$abc$24606$n93
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n720 I1=$abc$24606$n1711 I2=$false I3=$false O=$abc$24606$n97
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n720 I1=$abc$24606$n1714 I2=$false I3=$false O=$abc$24606$n99
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n720 I1=$abc$24606$n1715 I2=$false I3=$false O=$abc$24606$n100
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=IS_RAM_INIT I2=$false I3=$false O=$abc$24606$n205
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0111
.gate SB_LUT4 I0=$abc$24606$n211 I1=$abc$24606$n733 I2=IS_RAM_INIT I3=I2C.FLT_SCL.RESET O=$abc$24606$n206
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000101111111111
.gate SB_LUT4 I0=$abc$24606$n707 I1=I2C.wr I2=last_wr I3=$false O=$abc$24606$n733
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000001
.gate SB_LUT4 I0=last_trans I1=I2C_TRANS I2=$false I3=$false O=$abc$24606$n211
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=IS_RAM_INIT I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$24606$n220
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$abc$24606$n211 I3=$abc$24606$n220 O=$abc$24606$n221
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111010000000000
.gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$abc$24606$n220 I3=$abc$24606$n738 O=$abc$24606$n225
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100111111111111
.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=wr_cnt[2] I3=wr_cnt[3] O=$abc$24606$n738
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$24606$n742 I1=$abc$24606$n205 I2=$abc$24606$n740 I3=$false O=$abc$24606$n239
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00001110
.gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$abc$24606$n741 I3=$abc$24606$n220 O=$abc$24606$n740
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000101100000000
.gate SB_LUT4 I0=wr_cnt[2] I1=wr_cnt[1] I2=wr_cnt[0] I3=wr_cnt[3] O=$abc$24606$n741
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=$abc$24606$n743 I3=$false O=$abc$24606$n742
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10010000
.gate SB_LUT4 I0=$abc$24606$n982 I1=$abc$24606$n985 I2=$abc$24606$n986 I3=$false O=$abc$24606$n743
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$24606$n707 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$24606$n243
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01001111
.gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$24606$n706_1 I2=$abc$24606$n746 I3=I2C.FLT_SCL.RESET O=$abc$24606$n270
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000011111111
.gate SB_LUT4 I0=$abc$24606$n747 I1=$abc$24606$n707 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$24606$n746
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10001111
.gate SB_LUT4 I0=$abc$24606$n748 I1=$22\i2c_input_data_type[3:0][0] I2=$abc$24606$n822 I3=$abc$24606$n630 O=$abc$24606$n747
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001010000000000
.gate SB_LUT4 I0=$abc$24606$n597 I1=$abc$24606$n673 I2=$false I3=$false O=$abc$24606$n748
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n751 I1=$abc$24606$n756 I2=$abc$24606$n758 I3=$false O=$abc$24606$n283
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$24606$n752 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$24606$n751
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$24606$n271 I1=I2C.FLT_SCL.out I2=$abc$24606$n10 I3=$abc$24606$n753 O=$abc$24606$n752
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=I2C.is_read I1=$abc$24606$n1522 I2=$abc$24606$n755 I3=$false O=$abc$24606$n753
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$24606$n8 I2=I2C.FLT_SCL.out I3=$false O=$abc$24606$n1522
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11101111
.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$24606$n8 I2=I2C.FLT_SCL.out I3=I2C.i2c_state_machine O=$abc$24606$n755
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111111100010000
.gate SB_LUT4 I0=$abc$24606$n878 I1=$abc$24606$n757 I2=$false I3=$false O=$abc$24606$n756
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=I2C.i2c_bit_counter[0] I1=I2C.i2c_bit_counter[1] I2=$abc$24606$n1522 I3=$false O=$abc$24606$n757
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01100000
.gate SB_LUT4 I0=$abc$24606$n880 I1=$abc$24606$n881 I2=$abc$24606$n892 I3=$abc$24606$n1926 O=$abc$24606$n758
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$abc$24606$n751 I1=$abc$24606$n760 I2=$abc$24606$n758 I3=$false O=$abc$24606$n290
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$24606$n757 I1=$abc$24606$n878 I2=$false I3=$false O=$abc$24606$n760
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$24606$n757 I1=$abc$24606$n878 I2=$abc$24606$n758 I3=$abc$24606$n751 O=$abc$24606$n297
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$24606$n751 I1=$abc$24606$n763 I2=$abc$24606$n758 I3=$false O=$abc$24606$n304
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$24606$n757 I1=$abc$24606$n878 I2=$false I3=$false O=$abc$24606$n763
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n751 I1=$abc$24606$n756 I2=$abc$24606$n765 I3=$false O=$abc$24606$n311
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$24606$n881 I1=$abc$24606$n892 I2=$abc$24606$n880 I3=$abc$24606$n1926 O=$abc$24606$n765
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$24606$n751 I1=$abc$24606$n760 I2=$abc$24606$n765 I3=$false O=$abc$24606$n317
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$24606$n757 I1=$abc$24606$n878 I2=$abc$24606$n765 I3=$abc$24606$n751 O=$abc$24606$n326
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$24606$n751 I1=$abc$24606$n763 I2=$abc$24606$n765 I3=$false O=$abc$24606$n346
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=I2C.i2c_start_latency I1=I2C.i2c_state_machine I2=$abc$24606$n1522 I3=I2C.FLT_SCL.RESET O=$abc$24606$n351
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100111100000000
.gate SB_LUT4 I0=$abc$24606$n771 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$24606$n365
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=UART.TX_sig_last I1=UART_WR I2=UART.tx_activity I3=$false O=$abc$24606$n771
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00001011
.gate SB_LUT4 I0=UART.tx_activity I1=$abc$24606$n773_1 I2=$abc$24606$n775 I3=$abc$24606$n365 O=$abc$24606$n366
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000110100000000
.gate SB_LUT4 I0=$abc$24606$n1636 I1=$abc$24606$n1008 I2=$abc$24606$n1011 I3=$abc$24606$n1012 O=$abc$24606$n773_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=UART.tx_clk_counter[1] I1=UART.tx_clk_counter[0] I2=$false I3=$false O=$abc$24606$n1636
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1001
.gate SB_LUT4 I0=UART.tx_bit_counter[3] I1=$abc$24606$n776 I2=$false I3=$false O=$abc$24606$n775
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=UART.tx_bit_counter[2] I3=UART.tx_activity O=$abc$24606$n776
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$abc$24606$n780 I1=$abc$24606$n793_1 I2=$abc$24606$n825 I3=$false O=$abc$24606$n779
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$24606$n781 I1=$abc$24606$n790 I2=$abc$24606$n792 I3=$false O=$abc$24606$n780
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=$abc$24606$n782 I1=$abc$24606$n789 I2=$abc$24606$n93 I3=$abc$24606$n1711 O=$abc$24606$n781
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$abc$24606$n783 I1=$abc$24606$n99 I2=$abc$24606$n788 I3=$false O=$abc$24606$n782
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$24606$n939 I1=$abc$24606$n940 I2=$abc$24606$n942 I3=$abc$24606$n943 O=$abc$24606$n783
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$24606$n720 I1=$abc$24606$n1719 I2=$false I3=$false O=$abc$24606$n939
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n720 I1=$abc$24606$n1720 I2=$false I3=$false O=$abc$24606$n940
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n720 I1=$abc$24606$n1721 I2=$false I3=$false O=$abc$24606$n942
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n720 I1=$abc$24606$n1722 I2=$false I3=$false O=$abc$24606$n943
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n1712 I1=$abc$24606$n1713 I2=$abc$24606$n1715 I3=$false O=$abc$24606$n788
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$24606$n71 I1=$abc$24606$n72 I2=$false I3=$false O=$abc$24606$n789
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$24606$n782 I1=$abc$24606$n791 I2=$false I3=$false O=$abc$24606$n790
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$24606$n71 I1=$abc$24606$n1710 I2=$abc$24606$n72 I3=$abc$24606$n97 O=$abc$24606$n791
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$24606$n1998 I1=$abc$24606$n783 I2=$false I3=$false O=$abc$24606$n792
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n1332_1 I1=$abc$24606$n823_1 I2=$false I3=$false O=$abc$24606$n793_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[0] I1=KEYBOARD.is_pressed I2=$abc$24606$n798 I3=$false O=$abc$24606$n797
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=$abc$24606$n799 I2=$false I3=$false O=$abc$24606$n798
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=$false I3=$false O=$abc$24606$n799
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=$false I3=$false O=$abc$24606$n801
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.kbd_code_hid[2] I2=$false I3=$false O=$abc$24606$n805_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=$false I3=$false O=$abc$24606$n806_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.REPORT.r_data[7] I2=$abc$24606$n801 I3=KEYBOARD.kbd_code_hid[2] O=$abc$24606$n808_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0101001100110011
.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.REPORT.r_data[3] I2=KEYBOARD.kbd_code_hid[2] I3=$abc$24606$n801 O=$abc$24606$n810
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100101011001100
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=$abc$24606$n806_1 I2=$false I3=$false O=$abc$24606$n811_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[1] I1=KEYBOARD.is_pressed I2=$false I3=$false O=$abc$24606$n812
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=$false I3=$false O=$abc$24606$n816
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.REPORT.r_data[6] I2=$abc$24606$n816 I3=KEYBOARD.kbd_code_hid[2] O=$abc$24606$n818
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0101001100110011
.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.REPORT.r_data[5] I2=$abc$24606$n806_1 I3=KEYBOARD.kbd_code_hid[2] O=$abc$24606$n819_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0101001100110011
.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.REPORT.r_data[4] I2=$abc$24606$n799 I3=KEYBOARD.kbd_code_hid[2] O=$abc$24606$n820
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0101001100110011
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[4] I2=$abc$24606$n824 I3=$false O=$abc$24606$n823_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.kbd_code_hid[7] I3=$false O=$abc$24606$n824
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$24606$n826_1 I1=KEYBOARD.IS_RAM_INIT I2=$abc$24606$n828 I3=$abc$24606$n220 O=$abc$24606$n825
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[6] I1=$abc$24606$n827_1 I2=$abc$24606$n798 I3=$false O=$abc$24606$n826_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[4] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.kbd_code_hid[7] O=$abc$24606$n827_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=KEYBOARD.report_wr_en I1=KEYBOARD.isr_internal I2=$false I3=$false O=$abc$24606$n828
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n100 I1=$abc$24606$n1786 I2=$false I3=$false O=$abc$24606$n829_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n720 I1=$abc$24606$n1717 I2=$false I3=$false O=$abc$24606$n835_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n835_1 I1=$abc$24606$n1716 I2=$abc$24606$n1718 I3=$false O=$abc$24606$n836
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$24606$n839 I1=KEYBOARD.COLUMN_SHADOW[4] I2=$abc$24606$n838 I3=KEYBOARD.COLUMN_SHADOW[0] O=$abc$24606$n837
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=$abc$24606$n1718 I1=$abc$24606$n1716 I2=$abc$24606$n1717 I3=$abc$24606$n720 O=$abc$24606$n838
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111100000001
.gate SB_LUT4 I0=$abc$24606$n720 I1=$abc$24606$n1716 I2=$abc$24606$n1717 I3=$abc$24606$n1718 O=$abc$24606$n839
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=KEYBOARD.COLUMN_SHADOW[6] I1=KEYBOARD.COLUMN_SHADOW[2] I2=$abc$24606$n1718 I3=$abc$24606$n841_1 O=$abc$24606$n840
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0101001111111111
.gate SB_LUT4 I0=$abc$24606$n720 I1=$abc$24606$n1716 I2=$abc$24606$n1717 I3=$false O=$abc$24606$n841_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$24606$n220 I2=$abc$24606$n792 I3=$false O=$abc$24606$n842
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$24606$n846 I1=$abc$24606$n1786 I2=$abc$24606$n100 I3=$false O=$abc$24606$n845
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$24606$n847 I1=$abc$24606$n848 I2=$false I3=$false O=$abc$24606$n846
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$24606$n71 I1=$abc$24606$n93 I2=$abc$24606$n72 I3=$false O=$abc$24606$n847
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=$abc$24606$n97 I1=$abc$24606$n99 I2=$abc$24606$n936 I3=$abc$24606$n935 O=$abc$24606$n848
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$24606$n720 I1=$abc$24606$n1713 I2=$false I3=$false O=$abc$24606$n936
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n720 I1=$abc$24606$n1712 I2=$false I3=$false O=$abc$24606$n935
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n780 I1=$abc$24606$n826_1 I2=$abc$24606$n517 I3=$abc$24606$n828 O=$abc$24606$n851
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$24606$n220 I2=$false I3=$false O=$abc$24606$n517
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=KEYBOARD.isr_internal I1=$abc$24606$n781 I2=$abc$24606$n517 I3=$abc$24606$n854 O=$abc$24606$n853
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0010111111111111
.gate SB_LUT4 I0=$abc$24606$n790 I1=$abc$24606$n792 I2=$false I3=$false O=$abc$24606$n854
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$24606$n1710 I1=$abc$24606$n856 I2=$abc$24606$n848 I3=$false O=$abc$24606$n855
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$24606$n72 I1=$abc$24606$n71 I2=$false I3=$false O=$abc$24606$n856
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n823_1 I1=$abc$24606$n825 I2=$abc$24606$n780 I3=$false O=$abc$24606$n857
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$24606$n846 I1=$abc$24606$n100 I2=$false I3=$false O=$abc$24606$n860
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$24606$n790 I1=$abc$24606$n517 I2=$abc$24606$n1998 I3=$false O=$abc$24606$n861
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$24606$n792 I1=$abc$24606$n780 I2=KEYBOARD.IS_RAM_INIT I3=$abc$24606$n220 O=$abc$24606$n435
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111000100000000
.gate SB_LUT4 I0=$abc$24606$n903 I1=$abc$24606$n867 I2=$abc$24606$n885 I3=$abc$24606$n864 O=$abc$24606$n484
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=$abc$24606$n865 I1=$abc$24606$n857 I2=$abc$24606$n851 I3=$false O=$abc$24606$n864
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000111
.gate SB_LUT4 I0=KEYBOARD.report_adress_rd[2] I1=$abc$24606$n866 I2=KEYBOARD.report_adress_rd[3] I3=$false O=$abc$24606$n865
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=KEYBOARD.report_adress_rd[0] I1=KEYBOARD.report_adress_rd[1] I2=$false I3=$false O=$abc$24606$n866
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n884 I1=$abc$24606$n882 I2=$abc$24606$n869 I3=$abc$24606$n868 O=$abc$24606$n867
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000101100000000
.gate SB_LUT4 I0=$abc$24606$n861 I1=$abc$24606$n205 I2=$false I3=$false O=$abc$24606$n868
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n823_1 I1=$abc$24606$n870 I2=$abc$24606$n825 I3=$abc$24606$n780 O=$abc$24606$n869
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1110000000000000
.gate SB_LUT4 I0=$abc$24606$n871 I1=$abc$24606$n880_1 I2=$false I3=$false O=$abc$24606$n870
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[2] I1=KEYBOARD.REPORT.r_data[3] I2=$abc$24606$n878_1 I3=$abc$24606$n872 O=$abc$24606$n871
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000011101111
.gate SB_LUT4 I0=$abc$24606$n873 I1=$abc$24606$n875 I2=$abc$24606$n876 I3=$abc$24606$n877 O=$abc$24606$n872
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.REPORT.r_data[6] I2=KEYBOARD.kbd_code_hid[6] I3=$abc$24606$n874 O=$abc$24606$n873
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000100000000
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.REPORT.r_data[2] I2=KEYBOARD.REPORT.r_data[7] I3=KEYBOARD.kbd_code_hid[7] O=$abc$24606$n874
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1011000000001011
.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[0] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.REPORT.r_data[5] I3=KEYBOARD.kbd_code_hid[5] O=$abc$24606$n875
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[2] I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.kbd_code_hid[3] I3=KEYBOARD.REPORT.r_data[3] O=$abc$24606$n876
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1011000000001011
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.REPORT.r_data[4] I2=KEYBOARD.REPORT.r_data[1] I3=KEYBOARD.kbd_code_hid[1] O=$abc$24606$n877
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[0] I1=KEYBOARD.REPORT.r_data[4] I2=$abc$24606$n812 I3=$abc$24606$n879 O=$abc$24606$n878_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[5] I1=KEYBOARD.REPORT.r_data[6] I2=KEYBOARD.REPORT.r_data[7] I3=$false O=$abc$24606$n879
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=$abc$24606$n823_1 I1=$abc$24606$n865 I2=$abc$24606$n881_1 I3=$false O=$abc$24606$n880_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=KEYBOARD.report_adress_rd[2] I1=KEYBOARD.report_adress_rd[3] I2=$abc$24606$n866 I3=$false O=$abc$24606$n881_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$24606$n1786 I1=$abc$24606$n883 I2=$false I3=$false O=$abc$24606$n882
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n792 I1=$abc$24606$n517 I2=$false I3=$false O=$abc$24606$n883
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$24606$n1715 I1=$abc$24606$n855 I2=$false I3=$false O=$abc$24606$n884
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n886 I1=$abc$24606$n888 I2=$abc$24606$n893 I3=$abc$24606$n909_1 O=$abc$24606$n885
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$24606$n517 I1=$abc$24606$n781 I2=$false I3=$false O=$abc$24606$n886
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$24606$n845 I1=$abc$24606$n889 I2=$abc$24606$n883 I3=$false O=$abc$24606$n888
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[1] I1=$abc$24606$n891 I2=$abc$24606$n890 I3=$false O=$abc$24606$n889
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10110000
.gate SB_LUT4 I0=KEYBOARD.is_ghost I1=$abc$24606$n855 I2=$false I3=$false O=$abc$24606$n890
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[3] I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[0] I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[2] I3=$abc$24606$n892_1 O=$abc$24606$n891
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[4] I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[5] I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[6] I3=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[7] O=$abc$24606$n892_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$24606$n860 I1=$abc$24606$n895 I2=$abc$24606$n1786 I3=$abc$24606$n883 O=$abc$24606$n893
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0101110011111111
.gate SB_LUT4 I0=$abc$24606$n899_1 I1=$abc$24606$n1716 I2=$abc$24606$n896 I3=$false O=$abc$24606$n895
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10110000
.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[4] I1=$abc$24606$n839 I2=$abc$24606$n898_1 I3=$abc$24606$n897 O=$abc$24606$n896
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011100000000
.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[6] I1=KEYBOARD.RAM.r_data[2] I2=$abc$24606$n1718 I3=$abc$24606$n841_1 O=$abc$24606$n897
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0101001111111111
.gate SB_LUT4 I0=$abc$24606$n838 I1=KEYBOARD.RAM.r_data[0] I2=$false I3=$false O=$abc$24606$n898_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$24606$n901 I1=$abc$24606$n900 I2=$abc$24606$n835_1 I3=$false O=$abc$24606$n899_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[3] I1=KEYBOARD.RAM.r_data[7] I2=$abc$24606$n1718 I3=$false O=$abc$24606$n900
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[5] I1=KEYBOARD.RAM.r_data[1] I2=$abc$24606$n720 I3=$abc$24606$n1718 O=$abc$24606$n901
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0011010100110011
.gate SB_LUT4 I0=$abc$24606$n780 I1=$abc$24606$n517 I2=KEYBOARD.report_wr_en I3=KEYBOARD.isr_internal O=$abc$24606$n903
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$abc$24606$n905 I1=$abc$24606$n205 I2=$false I3=$false O=$abc$24606$n516
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=KEYBOARD.IS_RAM_INIT I2=$false I3=$false O=$abc$24606$n905
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$24606$n842 I1=$abc$24606$n917 I2=$abc$24606$n885 I3=$false O=$abc$24606$n519
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$24606$n890 I1=$abc$24606$n845 I2=$abc$24606$n829_1 I3=$abc$24606$n842 O=$abc$24606$n909_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000101111111111
.gate SB_LUT4 I0=$abc$24606$n517 I1=$abc$24606$n720 I2=$abc$24606$n205 I3=$false O=$abc$24606$n522
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11010000
.gate SB_LUT4 I0=$abc$24606$n517 I1=$abc$24606$n913_1 I2=$false I3=$false O=$abc$24606$n530
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$24606$n722 I1=$abc$24606$n914 I2=$false I3=$false O=$abc$24606$n913_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=KEYBOARD.row_time[10] I1=KEYBOARD.row_time[11] I2=KEYBOARD.row_time[13] I3=$abc$24606$n915_1 O=$abc$24606$n914
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=KEYBOARD.row_time[5] I1=KEYBOARD.row_time[6] I2=KEYBOARD.row_time[7] I3=KEYBOARD.row_time[9] O=$abc$24606$n915_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$24606$n885 I1=$abc$24606$n917 I2=$false I3=$false O=$abc$24606$n539
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$24606$n884 I1=$abc$24606$n882 I2=$abc$24606$n905 I3=$abc$24606$n868 O=$abc$24606$n917
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000101100000000
.gate SB_LUT4 I0=$abc$24606$n854 I1=$abc$24606$n517 I2=$false I3=$false O=$abc$24606$n921
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n921 I1=$abc$24606$n853 I2=$abc$24606$n205 I3=$false O=$abc$24606$n595
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$24606$n1095 I1=$abc$24606$n930_1 I2=$abc$24606$n1096 I3=$abc$24606$n977 O=$abc$24606$n604
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=I2C.FLT_SDA.counter[2] I3=$abc$24606$n974 O=$abc$24606$n1095
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111100000001
.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=I2C.SDAF I2=$false I3=$false O=$abc$24606$n930_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1001
.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=$false I3=$false O=$abc$24606$n1096
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1001
.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=I2C.FLT_SDA.counter[2] I3=$abc$24606$n930_1 O=$abc$24606$n605
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111011111111
.gate SB_LUT4 I0=$abc$24606$n1100 I1=$abc$24606$n936_1 I2=$false I3=$false O=$abc$24606$n614
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n935_1 I1=$abc$24606$n981 I2=$false I3=$false O=$abc$24606$n1100
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$false O=$abc$24606$n935_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=$abc$24606$n1099 I1=$abc$24606$n978 I2=I2C.FLT_SCL.out I3=I2C.SCLF O=$abc$24606$n936_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100010000
.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=$false I3=$false O=$abc$24606$n1099
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1001
.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.SCLF I2=$abc$24606$n935_1 I3=$false O=$abc$24606$n615
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01101111
.gate SB_LUT4 I0=$abc$24606$n748 I1=$abc$24606$n1959 I2=$false I3=$false O=$22\i2c_input_data_type[3:0][2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$24606$n748 I1=$abc$24606$n822 I2=$false I3=$false O=$22\i2c_input_data_type[3:0][1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$24606$n710 I1=$abc$24606$n714 I2=$abc$24606$n716 I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11111000
.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=I2C.FLT_SDA.counter[2] I3=$abc$24606$n977 O=$abc$24606$n1097
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111100000001
.gate SB_LUT4 I0=$abc$24606$n935_1 I1=$abc$24606$n978 I2=$false I3=$false O=$abc$24606$n1098
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=$abc$24606$n705 I1=int_tmr[0] I2=$false I3=$false O=$3\int_tmr[14:0][0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n1172 I1=int_tmr[0] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$24606$n705 I1=int_tmr[1] I2=$false I3=$false O=$3\int_tmr[14:0][1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=int_tmr[14] I1=int_tmr[0] I2=$abc$24606$n705 I3=int_tmr[1] O=$2\int_tmr[14:0][1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000101100000100
.gate SB_LUT4 I0=$abc$24606$n705 I1=int_tmr[2] I2=$false I3=$false O=$3\int_tmr[14:0][2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n1179 I1=int_tmr[2] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$24606$n705 I1=int_tmr[3] I2=$false I3=$false O=$3\int_tmr[14:0][3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n1182 I1=int_tmr[3] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$24606$n705 I1=int_tmr[4] I2=$false I3=$false O=$3\int_tmr[14:0][4]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n1185 I1=int_tmr[4] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][4]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$24606$n705 I1=int_tmr[5] I2=$false I3=$false O=$3\int_tmr[14:0][5]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n1188 I1=int_tmr[5] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][5]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$24606$n705 I1=int_tmr[6] I2=$false I3=$false O=$3\int_tmr[14:0][6]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n1191 I1=int_tmr[6] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][6]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$24606$n705 I1=int_tmr[7] I2=$false I3=$false O=$3\int_tmr[14:0][7]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n1194 I1=int_tmr[7] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][7]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$24606$n705 I1=int_tmr[8] I2=$false I3=$false O=$3\int_tmr[14:0][8]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n1197 I1=int_tmr[8] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][8]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$24606$n705 I1=int_tmr[9] I2=$false I3=$false O=$3\int_tmr[14:0][9]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n1200 I1=int_tmr[9] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][9]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$24606$n705 I1=int_tmr[10] I2=$false I3=$false O=$3\int_tmr[14:0][10]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n1203 I1=int_tmr[10] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][10]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$24606$n705 I1=int_tmr[11] I2=$false I3=$false O=$3\int_tmr[14:0][11]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n1206 I1=int_tmr[11] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][11]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$24606$n705 I1=int_tmr[12] I2=$false I3=$false O=$3\int_tmr[14:0][12]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n1209 I1=int_tmr[12] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][12]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$24606$n705 I1=int_tmr[13] I2=$false I3=$false O=$3\int_tmr[14:0][13]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n1212 I1=int_tmr[13] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][13]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$3\int_tmr[14:0][14] I1=$abc$24606$n1215 I2=$false I3=$false O=$2\int_tmr[14:0][14]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=$abc$24606$n710 I1=$abc$24606$n594 I2=$false I3=$false O=$2\I2C_OUT_DESC_MASK[7:0][7]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n706_1 I1=$abc$24606$n977_1 I2=$abc$24606$n822 I3=$false O=$20\i2c_input_data_type[3:0][1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00001110
.gate SB_LUT4 I0=$abc$24606$n748 I1=$abc$24606$n707 I2=$false I3=$false O=$abc$24606$n977_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0111
.gate SB_LUT4 I0=$abc$24606$n706_1 I1=$abc$24606$n977_1 I2=$abc$24606$n1959 I3=$false O=$20\i2c_input_data_type[3:0][2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00001110
.gate SB_LUT4 I0=$abc$24606$n706_1 I1=$abc$24606$n977_1 I2=$abc$24606$n819 I3=$false O=$20\i2c_input_data_type[3:0][3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00001110
.gate SB_LUT4 I0=$abc$24606$n211 I1=$abc$24606$n656 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n1965 I1=$abc$24606$n211 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$24606$n1966 I1=$abc$24606$n211 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$24606$n1967 I1=$abc$24606$n211 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$24606$n1968 I1=$abc$24606$n211 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][4]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$24606$n1969 I1=$abc$24606$n211 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][5]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$24606$n1970 I1=$abc$24606$n211 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][6]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=I2C_TRANS I1=$abc$24606$n629_1 I2=last_trans I3=$false O=$2\UART_WR[0:0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00101011
.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=$abc$24606$n594 I2=$abc$24606$n707 I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$24606$n990 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=REPORT_DATA.r_data[0] I1=I2C_HID_DESC.VAL[0] I2=I2C_OUT_DESC_MASK[0] I3=$false O=$abc$24606$n990
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=I2C.received_byte[1] I1=$abc$24606$n992 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=REPORT_DATA.r_data[1] I1=I2C_HID_DESC.VAL[1] I2=I2C_OUT_DESC_MASK[1] I3=$false O=$abc$24606$n992
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=I2C.received_byte[2] I1=$abc$24606$n994 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=REPORT_DATA.r_data[2] I1=I2C_HID_DESC.VAL[2] I2=I2C_OUT_DESC_MASK[2] I3=$false O=$abc$24606$n994
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=I2C.received_byte[3] I1=$abc$24606$n996_1 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=REPORT_DATA.r_data[3] I1=I2C_HID_DESC.VAL[3] I2=I2C_OUT_DESC_MASK[3] I3=$false O=$abc$24606$n996_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=I2C.received_byte[4] I1=$abc$24606$n998 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][4]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=REPORT_DATA.r_data[4] I1=I2C_HID_DESC.VAL[4] I2=I2C_OUT_DESC_MASK[4] I3=$false O=$abc$24606$n998
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=I2C.received_byte[5] I1=$abc$24606$n1000 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][5]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=REPORT_DATA.r_data[5] I1=I2C_HID_DESC.VAL[5] I2=I2C_OUT_DESC_MASK[5] I3=$false O=$abc$24606$n1000
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=I2C.received_byte[6] I1=$abc$24606$n1002_1 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][6]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=REPORT_DATA.r_data[6] I1=I2C_HID_DESC.VAL[6] I2=I2C_OUT_DESC_MASK[6] I3=$false O=$abc$24606$n1002_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=I2C.received_byte[7] I1=$abc$24606$n1004 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][7]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=REPORT_DATA.r_data[7] I1=I2C_HID_DESC.VAL[7] I2=I2C_OUT_DESC_MASK[7] I3=$false O=$abc$24606$n1004
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=temp_output_report[1] I1=I2C.received_byte[1] I2=$abc$24606$n1276 I3=$false O=$0\temp_output_report[2:0][1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=temp_output_report[2] I1=I2C.received_byte[2] I2=$abc$24606$n1276 I3=$false O=$0\temp_output_report[2:0][2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$24606$n685_1 I1=ring_rd[1] I2=$false I3=$false O=$2\ring_rd[3:0][1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0110
.gate SB_LUT4 I0=report_wr_en I1=$abc$24606$n742 I2=IS_RAM_INIT I3=$false O=$2\IS_RAM_INIT[0:0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=report_wr_en I1=$abc$24606$n1014 I2=$abc$24606$n742 I3=IS_RAM_INIT O=$2\report_wr_en[0:0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1101000011001100
.gate SB_LUT4 I0=IS_RAM_INIT I1=KEYBOARD.isr I2=last_isr I3=$false O=$abc$24606$n1014
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$24606$n741 I2=$abc$24606$n982 I3=$abc$24606$n1014 O=$2\wr_cnt[3:0][0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111110110000
.gate SB_LUT4 I0=$abc$24606$n1014 I1=wr_cnt[0] I2=wr_cnt[1] I3=$false O=$2\wr_cnt[3:0][1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010100
.gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$24606$n741 I2=$abc$24606$n1014 I3=$abc$24606$n985 O=$2\wr_cnt[3:0][2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000101100000000
.gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$24606$n741 I2=$abc$24606$n1014 I3=$abc$24606$n986 O=$2\wr_cnt[3:0][3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000101100000000
.gate SB_LUT4 I0=$abc$24606$n1020 I1=$abc$24606$n1481 I2=$false I3=$false O=$0\rststate[3:0][0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$22\i2c_input_data_type[3:0][0] I1=$abc$24606$n822 I2=$abc$24606$n1022 I3=$abc$24606$n630 O=$abc$24606$n1020
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$abc$24606$n714 I1=$abc$24606$n220 I2=$false I3=$false O=$abc$24606$n1022
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$24606$n1020 I1=$abc$24606$n1483 I2=$false I3=$false O=$0\rststate[3:0][1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n1020 I1=$abc$24606$n1485 I2=$false I3=$false O=$0\rststate[3:0][2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n1020 I1=$abc$24606$n1487 I2=$false I3=$false O=$0\rststate[3:0][3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n1522 I1=I2C.i2c_bit_counter[0] I2=$false I3=$false O=$abc$24606$n1524
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$24606$n1522 I1=I2C.i2c_bit_counter[1] I2=$false I3=$false O=$abc$24606$n1526
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$24606$n1522 I1=I2C.i2c_bit_counter[2] I2=$false I3=$false O=$abc$24606$n1528
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C.i2c_bit_counter[3] I1=$abc$24606$n1522 I2=$false I3=$false O=$abc$24606$n1962
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n1046 I1=$abc$24606$n1051 I2=$abc$24606$n753 I3=$abc$24606$n1031 O=$abc$24606$n1540
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1110000011111111
.gate SB_LUT4 I0=I2C.i2c_state_machine I1=$abc$24606$n1041 I2=$abc$24606$n1522 I3=$abc$24606$n1032 O=$abc$24606$n1031
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000010111111
.gate SB_LUT4 I0=$abc$24606$n1043_1 I1=$abc$24606$n1040 I2=$abc$24606$n1280 I3=$abc$24606$n1045 O=$abc$24606$n1032
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111100000000000
.gate SB_LUT4 I0=$abc$24606$n1004 I1=$abc$24606$n996_1 I2=$abc$24606$n880 I3=$abc$24606$n763 O=$abc$24606$n1036
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0101001100000000
.gate SB_LUT4 I0=$abc$24606$n1000 I1=$abc$24606$n992 I2=$abc$24606$n880 I3=$abc$24606$n760 O=$abc$24606$n1039
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0101001100000000
.gate SB_LUT4 I0=$abc$24606$n1522 I1=$abc$24606$n1041 I2=$false I3=$false O=$abc$24606$n1040
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=I2C.FLT_SCL.out I2=$abc$24606$n8 I3=I2C.SDA_DIR O=$abc$24606$n1041
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111111100000000
.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$abc$24606$n10 I2=$false I3=$false O=$abc$24606$n1042
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$24606$n1042 I1=$abc$24606$n1044_1 I2=$abc$24606$n271 I3=$false O=$abc$24606$n1043_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11010000
.gate SB_LUT4 I0=$abc$24606$n1522 I1=I2C.is_ack I2=$false I3=$false O=$abc$24606$n1044_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$24606$n1522 I1=$abc$24606$n755 I2=I2C.is_read I3=$false O=$abc$24606$n1045
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$24606$n1047_1 I1=$abc$24606$n1050 I2=$false I3=$false O=$abc$24606$n1046
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n1048 I1=I2C.is_adress I2=$false I3=$false O=$abc$24606$n1047_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=I2C.received_byte[2] I1=I2C.received_byte[7] I2=$abc$24606$n1049 I3=I2C.received_byte[6] O=$abc$24606$n1048
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[1] I2=I2C.received_byte[5] I3=I2C.received_byte[3] O=$abc$24606$n1049
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$24606$n1044_1 I1=$abc$24606$n1042 I2=$abc$24606$n271 I3=$false O=$abc$24606$n1050
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$24606$n271 I1=$abc$24606$n1042 I2=$abc$24606$n1040 I3=$false O=$abc$24606$n1051
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=$abc$24606$n1054 I1=$abc$24606$n1045 I2=$abc$24606$n1053 I3=$false O=$abc$24606$n1542
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11110100
.gate SB_LUT4 I0=$abc$24606$n1050 I1=$abc$24606$n1047_1 I2=$abc$24606$n753 I3=$false O=$abc$24606$n1053
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=$abc$24606$n1041 I1=$abc$24606$n1055 I2=I2C.FLT_SDA.out I3=$false O=$abc$24606$n1054
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$24606$n1044_1 I1=I2C.FLT_SCL.out I2=$abc$24606$n10 I3=$abc$24606$n271 O=$abc$24606$n1055
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=I2C.is_read I1=I2C.is_adress I2=$abc$24606$n1522 I3=$abc$24606$n1057 O=$abc$24606$n1544
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1110111110100000
.gate SB_LUT4 I0=$abc$24606$n1050 I1=$abc$24606$n755 I2=I2C.received_byte[0] I3=$false O=$abc$24606$n1057
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$24606$n1060_1 I1=$abc$24606$n878 I2=$abc$24606$n1059 I3=$abc$24606$n1524 O=$abc$24606$n1546
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111010001000100
.gate SB_LUT4 I0=$abc$24606$n1045 I1=$abc$24606$n1055 I2=$abc$24606$n1061 I3=$abc$24606$n1060_1 O=$abc$24606$n1059
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011100000000
.gate SB_LUT4 I0=$abc$24606$n271 I1=$abc$24606$n1042 I2=$abc$24606$n1045 I3=$abc$24606$n752 O=$abc$24606$n1060_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000010111111
.gate SB_LUT4 I0=I2C.is_read I1=$abc$24606$n1062 I2=$false I3=$false O=$abc$24606$n1061
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n1044_1 I1=$abc$24606$n755 I2=$abc$24606$n1042 I3=$abc$24606$n271 O=$abc$24606$n1062
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$abc$24606$n1060_1 I1=$abc$24606$n757 I2=$abc$24606$n1059 I3=$abc$24606$n1526 O=$abc$24606$n1548
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111000100010001
.gate SB_LUT4 I0=$abc$24606$n1060_1 I1=$abc$24606$n880 I2=$abc$24606$n1059 I3=$abc$24606$n1528 O=$abc$24606$n1550
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111010001000100
.gate SB_LUT4 I0=$abc$24606$n1066 I1=$abc$24606$n881 I2=$abc$24606$n1060_1 I3=$false O=$abc$24606$n1552
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10101100
.gate SB_LUT4 I0=$abc$24606$n1062 I1=$abc$24606$n1962 I2=$abc$24606$n1067 I3=$abc$24606$n1045 O=$abc$24606$n1066
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000111110111011
.gate SB_LUT4 I0=$abc$24606$n271 I1=$abc$24606$n1042 I2=I2C.i2c_bit_counter[3] I3=$abc$24606$n1055 O=$abc$24606$n1067
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000001001111
.gate SB_LUT4 I0=I2C.is_adress I1=$abc$24606$n1522 I2=$abc$24606$n1055 I3=$abc$24606$n753 O=$abc$24606$n1554
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000101110111011
.gate SB_LUT4 I0=$abc$24606$n755 I1=$abc$24606$n1044_1 I2=$abc$24606$n1070 I3=$false O=$abc$24606$n1556
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01001111
.gate SB_LUT4 I0=$abc$24606$n1071 I1=$abc$24606$n1046 I2=$abc$24606$n753 I3=$abc$24606$n1072 O=$abc$24606$n1070
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000011111
.gate SB_LUT4 I0=$abc$24606$n271 I1=$abc$24606$n1042 I2=$abc$24606$n1044_1 I3=$false O=$abc$24606$n1071
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=$abc$24606$n271 I1=$abc$24606$n1044_1 I2=$abc$24606$n1042 I3=$abc$24606$n1045 O=$abc$24606$n1072
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1010110000000000
.gate SB_LUT4 I0=$abc$24606$n271 I1=$abc$24606$n1042 I2=$abc$24606$n1054 I3=$abc$24606$n1045 O=$abc$24606$n1074
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111010000000000
.gate SB_LUT4 I0=$abc$24606$n775 I1=$abc$24606$n773_1 I2=$abc$24606$n771 I3=$false O=$abc$24606$n1633
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000111
.gate SB_LUT4 I0=$abc$24606$n773_1 I1=$abc$24606$n1008 I2=$false I3=$false O=$abc$24606$n1635
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=$abc$24606$n773_1 I1=$abc$24606$n1011 I2=$false I3=$false O=$abc$24606$n1637
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=$abc$24606$n773_1 I1=$abc$24606$n1012 I2=$false I3=$false O=$abc$24606$n1638
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=$abc$24606$n1088 I1=$abc$24606$n1083 I2=$abc$24606$n169 I3=KEYBOARD.IS_RAM_INIT O=$abc$24606$n1641
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111000000010001
.gate SB_LUT4 I0=$abc$24606$n829_1 I1=$abc$24606$n1087 I2=$abc$24606$n1084 I3=$abc$24606$n792 O=$abc$24606$n1083
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111100000000000
.gate SB_LUT4 I0=$abc$24606$n1085 I1=$abc$24606$n1086 I2=$false I3=$false O=$abc$24606$n1084
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$24606$n860 I1=$abc$24606$n1786 I2=$false I3=$false O=$abc$24606$n1085
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=$abc$24606$n1723 I2=$abc$24606$n720 I3=$false O=$abc$24606$n1086
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.last_adr[0] I1=$abc$24606$n1711 I2=$abc$24606$n720 I3=$abc$24606$n847 O=$abc$24606$n1087
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111001111110101
.gate SB_LUT4 I0=KEYBOARD.last_adr[0] I1=$abc$24606$n720 I2=$abc$24606$n1089_1 I3=$false O=$abc$24606$n1088
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00001101
.gate SB_LUT4 I0=$abc$24606$n845 I1=$abc$24606$n792 I2=$false I3=$false O=$abc$24606$n1089_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n1095_1 I1=$abc$24606$n1091 I2=$abc$24606$n1096_1 I3=KEYBOARD.IS_RAM_INIT O=$abc$24606$n1644
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000111100010001
.gate SB_LUT4 I0=$abc$24606$n1094 I1=$abc$24606$n829_1 I2=$abc$24606$n1092_1 I3=$abc$24606$n792 O=$abc$24606$n1091
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111010000000000
.gate SB_LUT4 I0=$abc$24606$n1085 I1=$abc$24606$n1093 I2=$false I3=$false O=$abc$24606$n1092_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n720 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$24606$n1093
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000111
.gate SB_LUT4 I0=KEYBOARD.last_adr[1] I1=$abc$24606$n1712 I2=$abc$24606$n720 I3=$abc$24606$n847 O=$abc$24606$n1094
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000110000001010
.gate SB_LUT4 I0=KEYBOARD.last_adr[1] I1=$abc$24606$n720 I2=$abc$24606$n1089_1 I3=$false O=$abc$24606$n1095_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00001101
.gate SB_LUT4 I0=KEYBOARD.last_adr[0] I1=KEYBOARD.last_adr[1] I2=$false I3=$false O=$abc$24606$n1096_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1001
.gate SB_LUT4 I0=$abc$24606$n1102_1 I1=$abc$24606$n1098_1 I2=$abc$24606$n172 I3=KEYBOARD.IS_RAM_INIT O=$abc$24606$n1646
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111000000010001
.gate SB_LUT4 I0=$abc$24606$n1101_1 I1=$abc$24606$n829_1 I2=$abc$24606$n1099_1 I3=$abc$24606$n792 O=$abc$24606$n1098_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111010000000000
.gate SB_LUT4 I0=$abc$24606$n1085 I1=$abc$24606$n1100_1 I2=$false I3=$false O=$abc$24606$n1099_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=KEYBOARD.row_counter[2] I1=$abc$24606$n1727 I2=$abc$24606$n720 I3=$false O=$abc$24606$n1100_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=KEYBOARD.last_adr[2] I1=$abc$24606$n1713 I2=$abc$24606$n720 I3=$abc$24606$n847 O=$abc$24606$n1101_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000110000001010
.gate SB_LUT4 I0=KEYBOARD.last_adr[2] I1=$abc$24606$n720 I2=$abc$24606$n1089_1 I3=$false O=$abc$24606$n1102_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00001101
.gate SB_LUT4 I0=$abc$24606$n1108_1 I1=$abc$24606$n1104 I2=$abc$24606$n173 I3=KEYBOARD.IS_RAM_INIT O=$abc$24606$n1648
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111000000010001
.gate SB_LUT4 I0=$abc$24606$n1085 I1=$abc$24606$n1107 I2=$abc$24606$n1105_1 I3=$abc$24606$n792 O=$abc$24606$n1104
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111000100000000
.gate SB_LUT4 I0=$abc$24606$n1106_1 I1=$abc$24606$n99 I2=$abc$24606$n847 I3=$abc$24606$n829_1 O=$abc$24606$n1105_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0011010100000000
.gate SB_LUT4 I0=$abc$24606$n720 I1=KEYBOARD.last_adr[3] I2=$false I3=$false O=$abc$24606$n1106_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=KEYBOARD.row_counter[3] I1=$abc$24606$n18 I2=$abc$24606$n720 I3=$false O=$abc$24606$n1107
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$24606$n1089_1 I1=$abc$24606$n1106_1 I2=$false I3=$false O=$abc$24606$n1108_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$24606$n1110 I1=$abc$24606$n1111_1 I2=$abc$24606$n175 I3=KEYBOARD.IS_RAM_INIT O=$abc$24606$n1650
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111000001000100
.gate SB_LUT4 I0=$abc$24606$n847 I1=$abc$24606$n829_1 I2=$abc$24606$n1089_1 I3=$false O=$abc$24606$n1110
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10110000
.gate SB_LUT4 I0=$abc$24606$n720 I1=KEYBOARD.last_adr[4] I2=$false I3=$false O=$abc$24606$n1111_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n1110 I1=$abc$24606$n1113 I2=$abc$24606$n176 I3=KEYBOARD.IS_RAM_INIT O=$abc$24606$n1652
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111000001000100
.gate SB_LUT4 I0=$abc$24606$n720 I1=KEYBOARD.last_adr[5] I2=$false I3=$false O=$abc$24606$n1113
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n1110 I1=$abc$24606$n1115 I2=$abc$24606$n178 I3=KEYBOARD.IS_RAM_INIT O=$abc$24606$n1654
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111000001000100
.gate SB_LUT4 I0=$abc$24606$n720 I1=KEYBOARD.last_adr[6] I2=$false I3=$false O=$abc$24606$n1115
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n1110 I1=$abc$24606$n1117_1 I2=$abc$24606$n1656 I3=KEYBOARD.IS_RAM_INIT O=$abc$24606$n1657
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111000001000100
.gate SB_LUT4 I0=$abc$24606$n720 I1=KEYBOARD.last_adr[7] I2=$false I3=$false O=$abc$24606$n1117_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$24606$n1110 I1=$abc$24606$n1119 I2=$abc$24606$n184 I3=KEYBOARD.IS_RAM_INIT O=$abc$24606$n1659
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111000001000100
.gate SB_LUT4 I0=$abc$24606$n720 I1=KEYBOARD.last_adr[8] I2=$false I3=$false O=$abc$24606$n1119
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[0] I2=$false I3=$false O=$abc$24606$n1661
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[1] I2=$false I3=$false O=$abc$24606$n1663
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[2] I2=$false I3=$false O=$abc$24606$n1665
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[3] I2=$false I3=$false O=$abc$24606$n1667
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[4] I2=$false I3=$false O=$abc$24606$n1669
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[5] I2=$false I3=$false O=$abc$24606$n1671
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[6] I2=$false I3=$false O=$abc$24606$n1673
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=KBD_COLUMNS[7] I2=$false I3=$false O=$abc$24606$n1675
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=$abc$24606$n173 I1=$abc$24606$n1086 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$24606$n1677
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10101100
.gate SB_LUT4 I0=$abc$24606$n175 I1=$abc$24606$n1093 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$24606$n1679
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10100011
.gate SB_LUT4 I0=$abc$24606$n176 I1=$abc$24606$n1100_1 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$24606$n1681
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10100011
.gate SB_LUT4 I0=$abc$24606$n178 I1=$abc$24606$n1107 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$24606$n1683
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10101100
.gate SB_LUT4 I0=$abc$24606$n720 I1=$abc$24606$n1716 I2=$abc$24606$n169 I3=KEYBOARD.IS_RAM_INIT O=$abc$24606$n1684
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111000001000100
.gate SB_LUT4 I0=$abc$24606$n1096_1 I1=$abc$24606$n835_1 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$24606$n1685
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01011100
.gate SB_LUT4 I0=$abc$24606$n720 I1=$abc$24606$n1718 I2=$abc$24606$n172 I3=KEYBOARD.IS_RAM_INIT O=$abc$24606$n1686
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111000001000100
.gate SB_LUT4 I0=$abc$24606$n1136_1 I1=$abc$24606$n792 I2=$abc$24606$n967 I3=KEYBOARD.IS_RAM_INIT O=$abc$24606$n1688
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111000000010001
.gate SB_LUT4 I0=$abc$24606$n881_1 I1=$abc$24606$n967 I2=wr_cnt[0] I3=KEYBOARD.isr_internal O=$abc$24606$n1136_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1011101100001111
.gate SB_LUT4 I0=$abc$24606$n1138_1 I1=$abc$24606$n1139 I2=KEYBOARD.report_adress_rd[0] I3=KEYBOARD.report_adress_rd[1] O=$abc$24606$n1690
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1010101110111010
.gate SB_LUT4 I0=KEYBOARD.isr_internal I1=wr_cnt[1] I2=$abc$24606$n792 I3=KEYBOARD.IS_RAM_INIT O=$abc$24606$n1138_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000011110100
.gate SB_LUT4 I0=KEYBOARD.isr_internal I1=$abc$24606$n881_1 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$24606$n1139
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00001101
.gate SB_LUT4 I0=$abc$24606$n792 I1=$abc$24606$n1141_1 I2=$abc$24606$n970 I3=KEYBOARD.IS_RAM_INIT O=$abc$24606$n1692
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111000001000100
.gate SB_LUT4 I0=$abc$24606$n881_1 I1=$abc$24606$n970 I2=wr_cnt[2] I3=KEYBOARD.isr_internal O=$abc$24606$n1141_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1110111011110000
.gate SB_LUT4 I0=$abc$24606$n1143_1 I1=$abc$24606$n792 I2=$abc$24606$n971 I3=KEYBOARD.IS_RAM_INIT O=$abc$24606$n1694
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111000000010001
.gate SB_LUT4 I0=$abc$24606$n881_1 I1=$abc$24606$n971 I2=wr_cnt[3] I3=KEYBOARD.isr_internal O=$abc$24606$n1143_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1011101100001111
.gate SB_LUT4 I0=$abc$24606$n1145 I1=KEYBOARD.IS_RAM_INIT I2=$abc$24606$n1147_1 I3=$false O=$abc$24606$n1696
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11110001
.gate SB_LUT4 I0=$abc$24606$n823_1 I1=KEYBOARD.is_pressed I2=KEYBOARD.kbd_code_hid[1] I3=$abc$24606$n1146_1 O=$abc$24606$n1145
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000010111111
.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[1] I1=KEYBOARD.is_pressed I2=$abc$24606$n811_1 I3=$abc$24606$n823_1 O=$abc$24606$n1146_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100101000000000
.gate SB_LUT4 I0=KEYBOARD.report_adress_rd[0] I1=KEYBOARD.report_adress_rd[1] I2=$abc$24606$n1148 I3=$false O=$abc$24606$n1147_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10010000
.gate SB_LUT4 I0=$abc$24606$n967 I1=$abc$24606$n970 I2=$abc$24606$n971 I3=KEYBOARD.IS_RAM_INIT O=$abc$24606$n1148
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$abc$24606$n1150 I1=KEYBOARD.IS_RAM_INIT I2=$abc$24606$n1147_1 I3=$false O=$abc$24606$n1698
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11110001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.is_pressed I2=$abc$24606$n823_1 I3=$abc$24606$n810 O=$abc$24606$n1150
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=$abc$24606$n870 I1=$abc$24606$n793_1 I2=$abc$24606$n1152 I3=$abc$24606$n1703 O=$abc$24606$n1700
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111111100000
.gate SB_LUT4 I0=$abc$24606$n826_1 I1=KEYBOARD.IS_RAM_INIT I2=KEYBOARD.report_wr_en I3=$false O=$abc$24606$n1152
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=$abc$24606$n1096_1 I1=$abc$24606$n1155 I2=$abc$24606$n1154 I3=KEYBOARD.IS_RAM_INIT O=$abc$24606$n1703
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1011111100000000
.gate SB_LUT4 I0=$abc$24606$n176 I1=$abc$24606$n178 I2=$abc$24606$n184 I3=$abc$24606$n1656 O=$abc$24606$n1154
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$abc$24606$n169 I1=$abc$24606$n172 I2=$abc$24606$n173 I3=$abc$24606$n175 O=$abc$24606$n1155
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$24606$n1157 I1=$abc$24606$n1703 I2=$false I3=$false O=$abc$24606$n1702
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=$abc$24606$n781 I1=$abc$24606$n854 I2=$abc$24606$n1158 I3=KEYBOARD.IS_RAM_INIT O=$abc$24606$n1157
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000111
.gate SB_LUT4 I0=KEYBOARD.last_wr I1=$abc$24606$n913_1 I2=$abc$24606$n1159 I3=$false O=$abc$24606$n1158
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00001101
.gate SB_LUT4 I0=$abc$24606$n790 I1=$abc$24606$n836 I2=$abc$24606$n1998 I3=$false O=$abc$24606$n1159
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$24606$n790 I2=$abc$24606$n1703 I3=$false O=$abc$24606$n1704
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11110100
.gate SB_LUT4 I0=$abc$24606$n1162 I1=$abc$24606$n826_1 I2=$abc$24606$n828 I3=$abc$24606$n792 O=$abc$24606$n1746
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111100010000
.gate SB_LUT4 I0=$abc$24606$n865 I1=$abc$24606$n1332_1 I2=$abc$24606$n823_1 I3=$false O=$abc$24606$n1162
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$24606$n1164 I1=$abc$24606$n1167 I2=$false I3=$false O=$abc$24606$n1762
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[0] I1=$abc$24606$n1843 I2=$abc$24606$n1165 I3=$abc$24606$n1166 O=$abc$24606$n1164
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100101000000000
.gate SB_LUT4 I0=$abc$24606$n889 I1=$abc$24606$n1996 I2=$false I3=$false O=$abc$24606$n1165
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$24606$n1786 I1=$abc$24606$n792 I2=$false I3=$false O=$abc$24606$n1166
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=KEYBOARD.tmr_to_ram[0] I1=$abc$24606$n1903 I2=$abc$24606$n792 I3=KEYBOARD.is_pressed O=$abc$24606$n1167
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000110000001010
.gate SB_LUT4 I0=$abc$24606$n1169 I1=$abc$24606$n1170 I2=$false I3=$false O=$abc$24606$n1765
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=$abc$24606$n1165 I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[0] I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[1] I3=$abc$24606$n1166 O=$abc$24606$n1169
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111100000000000
.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.tmr_to_ram[0] I2=$abc$24606$n792 I3=KEYBOARD.tmr_to_ram[1] O=$abc$24606$n1170
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011100001000
.gate SB_LUT4 I0=$abc$24606$n1172_1 I1=$abc$24606$n1173_1 I2=$false I3=$false O=$abc$24606$n1768
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[2] I1=$abc$24606$n1845 I2=$abc$24606$n1165 I3=$abc$24606$n1166 O=$abc$24606$n1172_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100101000000000
.gate SB_LUT4 I0=KEYBOARD.tmr_to_ram[2] I1=$abc$24606$n1907 I2=$abc$24606$n792 I3=KEYBOARD.is_pressed O=$abc$24606$n1173_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000110000001010
.gate SB_LUT4 I0=$abc$24606$n1175_1 I1=$abc$24606$n1176 I2=$false I3=$false O=$abc$24606$n1771
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[3] I1=$abc$24606$n1846 I2=$abc$24606$n1165 I3=$abc$24606$n1166 O=$abc$24606$n1175_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100101000000000
.gate SB_LUT4 I0=KEYBOARD.tmr_to_ram[3] I1=$abc$24606$n1909 I2=$abc$24606$n792 I3=KEYBOARD.is_pressed O=$abc$24606$n1176
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000110000001010
.gate SB_LUT4 I0=$abc$24606$n1178_1 I1=$abc$24606$n1179_1 I2=$false I3=$false O=$abc$24606$n1774
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[4] I1=$abc$24606$n1847 I2=$abc$24606$n1165 I3=$abc$24606$n1166 O=$abc$24606$n1178_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100101000000000
.gate SB_LUT4 I0=KEYBOARD.tmr_to_ram[4] I1=$abc$24606$n1911 I2=$abc$24606$n792 I3=KEYBOARD.is_pressed O=$abc$24606$n1179_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000110000001010
.gate SB_LUT4 I0=$abc$24606$n1181_1 I1=$abc$24606$n1182_1 I2=$false I3=$false O=$abc$24606$n1777
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[5] I1=$abc$24606$n1848 I2=$abc$24606$n1165 I3=$abc$24606$n1166 O=$abc$24606$n1181_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100101000000000
.gate SB_LUT4 I0=KEYBOARD.tmr_to_ram[5] I1=$abc$24606$n1913 I2=$abc$24606$n792 I3=KEYBOARD.is_pressed O=$abc$24606$n1182_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000110000001010
.gate SB_LUT4 I0=$abc$24606$n1184_1 I1=$abc$24606$n1185_1 I2=$false I3=$false O=$abc$24606$n1780
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[6] I1=$abc$24606$n1849 I2=$abc$24606$n1165 I3=$abc$24606$n1166 O=$abc$24606$n1184_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100101000000000
.gate SB_LUT4 I0=KEYBOARD.tmr_to_ram[6] I1=$abc$24606$n1915 I2=$abc$24606$n792 I3=KEYBOARD.is_pressed O=$abc$24606$n1185_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000110000001010
.gate SB_LUT4 I0=$abc$24606$n1187_1 I1=$abc$24606$n1188_1 I2=$false I3=$false O=$abc$24606$n1783
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[7] I1=$abc$24606$n1850 I2=$abc$24606$n1165 I3=$abc$24606$n1166 O=$abc$24606$n1187_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100101000000000
.gate SB_LUT4 I0=KEYBOARD.tmr_to_ram[7] I1=$abc$24606$n1917 I2=$abc$24606$n792 I3=KEYBOARD.is_pressed O=$abc$24606$n1188_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000110000001010
.gate SB_LUT4 I0=$abc$24606$n1111_1 I1=$abc$24606$n1113 I2=$abc$24606$n1115 I3=$abc$24606$n1117_1 O=$abc$24606$n1196_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.kbd_code_hid[0] I2=$abc$24606$n797 I3=$abc$24606$n823_1 O=$abc$24606$n1864
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111000010001000
.gate SB_LUT4 I0=$abc$24606$n805_1 I1=$abc$24606$n1204_1 I2=$abc$24606$n823_1 I3=$false O=$abc$24606$n1869
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.REPORT.r_data[2] I2=KEYBOARD.kbd_code_hid[2] I3=$abc$24606$n816 O=$abc$24606$n1204_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100101011001100
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.is_pressed I2=$abc$24606$n820 I3=$abc$24606$n823_1 O=$abc$24606$n1874
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000111110001000
.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.kbd_code_hid[5] I2=$abc$24606$n819_1 I3=$abc$24606$n823_1 O=$abc$24606$n1877
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000111110001000
.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.kbd_code_hid[6] I2=$abc$24606$n818 I3=$abc$24606$n823_1 O=$abc$24606$n1880
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000111110001000
.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.kbd_code_hid[7] I2=$abc$24606$n808_1 I3=$abc$24606$n823_1 O=$abc$24606$n1883
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000111110001000
.gate SB_LUT4 I0=$abc$24606$n1723 I1=$abc$24606$n1727 I2=KEYBOARD.row_counter[1] I3=KEYBOARD.row_counter[0] O=$abc$24606$n2058
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000001
.gate SB_LUT4 I0=$abc$24606$n1727 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$24606$n1723 O=$abc$24606$n2059
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000100000000
.gate SB_LUT4 I0=$abc$24606$n1723 I1=$abc$24606$n1727 I2=KEYBOARD.row_counter[0] I3=KEYBOARD.row_counter[1] O=$abc$24606$n2060
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100010000
.gate SB_LUT4 I0=$abc$24606$n1727 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$24606$n1723 O=$abc$24606$n2061
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001010000000000
.gate SB_LUT4 I0=$abc$24606$n1723 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$24606$n1727 O=$abc$24606$n2062
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000100000000
.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=KEYBOARD.row_counter[1] I2=$abc$24606$n1723 I3=$abc$24606$n1727 O=$abc$24606$n2063
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000000000
.gate SB_LUT4 I0=$abc$24606$n1723 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$24606$n1727 O=$abc$24606$n2064
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001010000000000
.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=KEYBOARD.row_counter[1] I2=$abc$24606$n1723 I3=$abc$24606$n1727 O=$abc$24606$n2065
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0110000000000000
.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$false I2=$false I3=$false O=$abc$24606$n1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$false I2=$false I3=$false O=$abc$24606$n3
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$24606$n12 I1=$false I2=$false I3=$false O=COM_TX
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=LED1 I1=$false I2=$false I3=$false O=COM_DCD
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=UART.tx_activity I1=$false I2=$false I3=$false O=$abc$24606$n17
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$24606$n18 I1=$false I2=$false I3=$false O=$abc$24606$n19
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$false I2=$false I3=$false O=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[7] I1=$false I2=$false I3=$false O=$abc$24606$n805
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[6] I1=$false I2=$false I3=$false O=$abc$24606$n806
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[5] I1=$false I2=$false I3=$false O=$abc$24606$n808
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[4] I1=$false I2=$false I3=$false O=$abc$24606$n809
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[3] I1=$false I2=$false I3=$false O=$abc$24606$n811
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[1] I1=$false I2=$false I3=$false O=$abc$24606$n814
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.received_byte[7] I1=$false I2=$false I3=$false O=$abc$24606$n826
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.received_byte[6] I1=$false I2=$false I3=$false O=$abc$24606$n827
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.received_byte[5] I1=$false I2=$false I3=$false O=$abc$24606$n829
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.received_byte[4] I1=$false I2=$false I3=$false O=$abc$24606$n830
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.received_byte[3] I1=$false I2=$false I3=$false O=$abc$24606$n832
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.received_byte[2] I1=$false I2=$false I3=$false O=$abc$24606$n833
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.received_byte[1] I1=$false I2=$false I3=$false O=$abc$24606$n835
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$24606$n1962 I1=$false I2=$false I3=$false O=$abc$24606$n1530
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$24606$n1526 I1=$false I2=$false I3=$false O=$abc$24606$n1927
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$24606$n1941 I1=$false I2=$false I3=$false O=$abc$24606$n1940
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$24606$n1524 I1=$false I2=$false I3=$false O=$abc$24606$n1960
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$24606$n1528 I1=$false I2=$false I3=$false O=$abc$24606$n1961
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[2] I1=$false I2=$false I3=$false O=$abc$24606$n1964
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$24606$n1942 I1=$false I2=$false I3=$false O=$abc$24606$n1972
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$24606$n1973 I1=$false I2=$false I3=$false O=$abc$24606$n1974
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$24606$n1975 I1=$false I2=$false I3=$false O=$abc$24606$n1976
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=CLK I1=$false I2=$false I3=$false O=I2C_HID_DESC.CLK
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.received_byte[2] I1=$abc$24606$n626 I2=i2c_input_data_type[2] I3=$abc$24606$n628 O=$abc$24606$n1248
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000100000001111
.gate SB_LUT4 I0=$abc$24606$n632_1 I1=$abc$24606$n1248 I2=$abc$24606$n623 I3=$abc$24606$n628 O=$abc$24606$n1959
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0011010111001100
.gate SB_LUT4 I0=I2C.is_read I1=I2C_COUNTER[1] I2=$abc$24606$n624 I3=$abc$24606$n629_1 O=$abc$24606$n1250_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=I2C_COUNTER[0] I1=I2C.received_byte[3] I2=$abc$24606$n626 I3=$false O=$abc$24606$n1251
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$24606$n617_1 I1=i2c_input_data_type[3] I2=$abc$24606$n1251 I3=$abc$24606$n1250_1 O=$abc$24606$n819
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011100110011
.gate SB_LUT4 I0=$abc$24606$n656 I1=$abc$24606$n1966 I2=$abc$24606$n1967 I3=$abc$24606$n658_1 O=$abc$24606$n1253
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001100000000000
.gate SB_LUT4 I0=$abc$24606$n822 I1=$abc$24606$n656 I2=$abc$24606$n1965 I3=$abc$24606$n1253 O=$abc$24606$n1254
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0110000000000000
.gate SB_LUT4 I0=KEYBOARD.COLUMN_SHADOW[3] I1=KEYBOARD.COLUMN_SHADOW[7] I2=$abc$24606$n1717 I3=$abc$24606$n1718 O=$abc$24606$n1264
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100111110100000
.gate SB_LUT4 I0=KEYBOARD.COLUMN_SHADOW[1] I1=KEYBOARD.COLUMN_SHADOW[5] I2=$abc$24606$n1717 I3=$abc$24606$n1264 O=$abc$24606$n1265
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111110000001010
.gate SB_LUT4 I0=$abc$24606$n720 I1=$abc$24606$n1716 I2=$abc$24606$n1265 I3=$abc$24606$n840 O=$abc$24606$n1266
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1011111100000000
.gate SB_LUT4 I0=$abc$24606$n1266 I1=$abc$24606$n837 I2=$false I3=$false O=$abc$24606$n1786
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$24606$n828 I1=$abc$24606$n781 I2=$abc$24606$n517 I3=$abc$24606$n905 O=$abc$24606$n1268
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000011111
.gate SB_LUT4 I0=$abc$24606$n921 I1=$abc$24606$n1268 I2=$abc$24606$n205 I3=$false O=$abc$24606$n546
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$24606$n903 I1=$abc$24606$n921 I2=$abc$24606$n220 I3=$abc$24606$n853 O=$abc$24606$n1270
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$24606$n880_1 I1=$abc$24606$n871 I2=$abc$24606$n823_1 I3=$abc$24606$n881_1 O=$abc$24606$n1271
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000111110001000
.gate SB_LUT4 I0=$abc$24606$n825 I1=$abc$24606$n1271 I2=$abc$24606$n1270 I3=$abc$24606$n864 O=$abc$24606$n578
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111000000000000
.gate SB_LUT4 I0=$abc$24606$n597 I1=I2C.is_read I2=$abc$24606$n707 I3=$abc$24606$n823 O=$20\i2c_input_data_type[3:0][0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000011101111
.gate SB_LUT4 I0=I2C_COUNTER[0] I1=I2C_COUNTER[1] I2=I2C_COUNTER[3] I3=$abc$24606$n611 O=$abc$24606$n1274
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$24606$n612 I1=$abc$24606$n1274 I2=i2c_input_data_type[0] I3=i2c_input_data_type[1] O=$abc$24606$n1275
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100000000001010
.gate SB_LUT4 I0=i2c_input_data_type[3] I1=$abc$24606$n1275 I2=i2c_input_data_type[2] I3=$abc$24606$n628 O=$abc$24606$n1276
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=$abc$24606$n1002_1 I1=$abc$24606$n998 I2=$abc$24606$n880 I3=$abc$24606$n757 O=$abc$24606$n1277
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0011111101010000
.gate SB_LUT4 I0=$abc$24606$n994 I1=$abc$24606$n990 I2=$abc$24606$n880 I3=$abc$24606$n1277 O=$abc$24606$n1278
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111001100000101
.gate SB_LUT4 I0=$abc$24606$n1278 I1=$abc$24606$n878 I2=$abc$24606$n1036 I3=$abc$24606$n1039 O=$abc$24606$n1279
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000001101
.gate SB_LUT4 I0=$abc$24606$n1040 I1=$abc$24606$n1279 I2=$abc$24606$n271 I3=$abc$24606$n1042 O=$abc$24606$n1280
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000110000001010
.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=$abc$24606$n8 I3=$abc$24606$n1061 O=$abc$24606$n1281
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000001111101
.gate SB_LUT4 I0=I2C.is_adress I1=I2C.is_read I2=I2C.i2c_state_machine I3=$abc$24606$n1055 O=$abc$24606$n1282
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0010111111111111
.gate SB_LUT4 I0=I2C.wr I1=$abc$24606$n1282 I2=$abc$24606$n1074 I3=$abc$24606$n1281 O=$abc$24606$n1558
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000101100000000
.gate SB_LUT4 I0=$abc$24606$n860 I1=$abc$24606$n1786 I2=$abc$24606$n855 I3=$abc$24606$n845 O=$abc$24606$n1288_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111000001110111
.gate SB_LUT4 I0=$abc$24606$n829_1 I1=$abc$24606$n1288_1 I2=$abc$24606$n842 I3=$abc$24606$n779 O=$abc$24606$n1289_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000001001111
.gate SB_LUT4 I0=$abc$24606$n851 I1=$abc$24606$n857 I2=$abc$24606$n861 I3=$abc$24606$n853 O=$abc$24606$n1290_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$abc$24606$n220 I1=$abc$24606$n1289_1 I2=$abc$24606$n1290_1 I3=$false O=$abc$24606$n415
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$24606$n1107 I1=KEYBOARD.row_counter[3] I2=$abc$24606$n1119 I3=$abc$24606$n1106_1 O=$abc$24606$n1309_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000110000000101
.gate SB_LUT4 I0=$abc$24606$n720 I1=KEYBOARD.row_counter[2] I2=$abc$24606$n1100_1 I3=KEYBOARD.last_adr[2] O=$abc$24606$n1313_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1110111011110000
.gate SB_LUT4 I0=$abc$24606$n1710 I1=$abc$24606$n1715 I2=$abc$24606$n72 I3=$abc$24606$n1708 O=$abc$24606$n1316_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[1] I1=KEYBOARD.REPORT.r_data[5] I2=KEYBOARD.kbd_code_hid[0] I3=KEYBOARD.kbd_code_hid[2] O=$abc$24606$n1322_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100111110100000
.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[4] I1=KEYBOARD.REPORT.r_data[0] I2=KEYBOARD.kbd_code_hid[0] I3=$abc$24606$n1322_1 O=$abc$24606$n1323_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000010111110011
.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=KEYBOARD.last_adr[0] I2=KEYBOARD.row_counter[1] I3=KEYBOARD.last_adr[1] O=$abc$24606$n1326_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=$abc$24606$n1326_1 I1=$abc$24606$n1196_1 I2=$abc$24606$n1309_1 I3=$abc$24606$n1313_1 O=$abc$24606$n1327
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111111111111111
.gate SB_LUT4 I0=$abc$24606$n1327 I1=$abc$24606$n895 I2=$abc$24606$n1166 I3=$abc$24606$n1316_1 O=$abc$24606$n1328
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111111111111111
.gate SB_LUT4 I0=KEYBOARD.is_ghost I1=$abc$24606$n1328 I2=$abc$24606$n720 I3=$false O=$abc$24606$n1785
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00001011
.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[3] I1=KEYBOARD.REPORT.r_data[7] I2=KEYBOARD.kbd_code_hid[0] I3=KEYBOARD.kbd_code_hid[2] O=$abc$24606$n1330_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100111110100000
.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[6] I1=KEYBOARD.REPORT.r_data[2] I2=KEYBOARD.kbd_code_hid[0] I3=$abc$24606$n1330_1 O=$abc$24606$n1331
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000010111110011
.gate SB_LUT4 I0=$abc$24606$n1331 I1=$abc$24606$n1323_1 I2=KEYBOARD.is_pressed I3=KEYBOARD.kbd_code_hid[1] O=$abc$24606$n1332_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0101101000111100
.gate SB_CARRY CI=$abc$24606$n1972 CO=$auto$alumacc.cc:474:replace_alu$6477.C[2] I0=$false I1=$abc$24606$n1941
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:25|uart.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6477.C[2] CO=$auto$alumacc.cc:474:replace_alu$6477.C[3] I0=$false I1=$abc$24606$n1974
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:25|uart.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6477.C[3] CO=$abc$24606$n1639 I0=$false I1=$abc$24606$n1976
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:25|uart.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$abc$24606$n835 CO=$auto$alumacc.cc:474:replace_alu$6482.C[3] I0=$true I1=$abc$24606$n833
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6482.C[3] CO=$auto$alumacc.cc:474:replace_alu$6482.C[4] I0=$false I1=$abc$24606$n832
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6482.C[4] CO=$auto$alumacc.cc:474:replace_alu$6482.C[5] I0=$false I1=$abc$24606$n830
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6482.C[5] CO=$auto$alumacc.cc:474:replace_alu$6482.C[6] I0=$false I1=$abc$24606$n829
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6482.C[6] CO=$auto$alumacc.cc:474:replace_alu$6482.C[7] I0=$false I1=$abc$24606$n827
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6482.C[7] CO=$abc$24606$n1994 I0=$false I1=$abc$24606$n826
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$abc$24606$n1965 CO=$auto$alumacc.cc:474:replace_alu$6487.C[3] I0=$false I1=$abc$24606$n1966
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:206|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6487.C[3] CO=$auto$alumacc.cc:474:replace_alu$6487.C[4] I0=$false I1=$abc$24606$n1967
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:206|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6487.C[4] CO=$auto$alumacc.cc:474:replace_alu$6487.C[5] I0=$false I1=$abc$24606$n1968
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:206|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6487.C[5] CO=$auto$alumacc.cc:474:replace_alu$6487.C[6] I0=$false I1=$abc$24606$n1969
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:206|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6487.C[6] CO=$abc$24606$n1995 I0=$false I1=$abc$24606$n1970
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:206|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$abc$24606$n814 CO=$auto$alumacc.cc:474:replace_alu$6492.C[3] I0=$true I1=$abc$24606$n1964
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:156|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6492.C[3] CO=$auto$alumacc.cc:474:replace_alu$6492.C[4] I0=$false I1=$abc$24606$n811
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:156|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6492.C[4] CO=$auto$alumacc.cc:474:replace_alu$6492.C[5] I0=$false I1=$abc$24606$n809
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:156|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6492.C[5] CO=$auto$alumacc.cc:474:replace_alu$6492.C[6] I0=$false I1=$abc$24606$n808
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:156|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6492.C[6] CO=$auto$alumacc.cc:474:replace_alu$6492.C[7] I0=$false I1=$abc$24606$n806
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:156|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6492.C[7] CO=$abc$24606$n1996 I0=$false I1=$abc$24606$n805
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:156|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$abc$24606$n93 CO=$auto$alumacc.cc:474:replace_alu$6503.C[4] I0=$abc$24606$n97 I1=$false
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6503.C[4] CO=$auto$alumacc.cc:474:replace_alu$6503.C[5] I0=$abc$24606$n935 I1=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6503.C[5] CO=$auto$alumacc.cc:474:replace_alu$6503.C[6] I0=$abc$24606$n936 I1=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6503.C[6] CO=$auto$alumacc.cc:474:replace_alu$6503.C[7] I0=$abc$24606$n99 I1=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6503.C[7] CO=$abc$24606$n1998 I0=$abc$24606$n100 I1=$false
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$abc$24606$n1960 CO=$auto$alumacc.cc:474:replace_alu$6508.C[2] I0=$false I1=$abc$24606$n1927
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6508.C[2] CO=$auto$alumacc.cc:474:replace_alu$6508.C[3] I0=$false I1=$abc$24606$n1961
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6508.C[3] CO=$abc$24606$n271 I0=$false I1=$abc$24606$n1962
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$abc$24606$n823 CO=$auto$alumacc.cc:474:replace_alu$6513.C[2] I0=$false I1=$abc$24606$n822
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:188|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6513.C[2] CO=$auto$alumacc.cc:474:replace_alu$6513.C[3] I0=$true I1=$abc$24606$n1959
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:188|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6513.C[3] CO=$abc$24606$n2000 I0=$false I1=$abc$24606$n819
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:188|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$abc$24606$n835 CO=$auto$alumacc.cc:474:replace_alu$6524.C[3] I0=$false I1=$abc$24606$n833
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6524.C[3] CO=$auto$alumacc.cc:474:replace_alu$6524.C[4] I0=$false I1=$abc$24606$n832
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6524.C[4] CO=$auto$alumacc.cc:474:replace_alu$6524.C[5] I0=$false I1=$abc$24606$n830
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6524.C[5] CO=$auto$alumacc.cc:474:replace_alu$6524.C[6] I0=$false I1=$abc$24606$n829
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6524.C[6] CO=$auto$alumacc.cc:474:replace_alu$6524.C[7] I0=$false I1=$abc$24606$n827
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6524.C[7] CO=$abc$24606$n2003 I0=$false I1=$abc$24606$n826
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$true I2=wr_cnt[0] I3=$false O=$abc$24606$n982
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:109|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=wr_cnt[0] CO=$auto$alumacc.cc:474:replace_alu$6537.C[2] I0=$false I1=wr_cnt[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:109|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[2] I3=$auto$alumacc.cc:474:replace_alu$6537.C[2] O=$abc$24606$n985
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:109|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6537.C[2] CO=$auto$alumacc.cc:474:replace_alu$6537.C[3] I0=$false I1=wr_cnt[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:109|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[3] I3=$auto$alumacc.cc:474:replace_alu$6537.C[3] O=$abc$24606$n986
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:109|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$true I2=ring_rd[0] I3=$false O=$abc$24606$n1341
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:159|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=ring_rd[0] CO=$auto$alumacc.cc:474:replace_alu$6540.C[2] I0=$false I1=ring_rd[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:159|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=ring_rd[2] I3=$auto$alumacc.cc:474:replace_alu$6540.C[2] O=$abc$24606$n1347
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:159|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6540.C[2] CO=$auto$alumacc.cc:474:replace_alu$6540.C[3] I0=$false I1=ring_rd[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:159|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=ring_rd[3] I3=$auto$alumacc.cc:474:replace_alu$6540.C[3] O=$abc$24606$n1350
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:159|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$true I2=I2C_COUNTER[0] I3=$false O=$abc$24606$n1326
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:165|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=I2C_COUNTER[0] CO=$auto$alumacc.cc:474:replace_alu$6543.C[2] I0=$false I1=I2C_COUNTER[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:165|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[2] I3=$auto$alumacc.cc:474:replace_alu$6543.C[2] O=$abc$24606$n1330
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:165|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6543.C[2] CO=$auto$alumacc.cc:474:replace_alu$6543.C[3] I0=$false I1=I2C_COUNTER[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:165|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[3] I3=$auto$alumacc.cc:474:replace_alu$6543.C[3] O=$abc$24606$n1332
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:165|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6543.C[3] CO=$auto$alumacc.cc:474:replace_alu$6543.C[4] I0=$false I1=I2C_COUNTER[3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:165|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[4] I3=$auto$alumacc.cc:474:replace_alu$6543.C[4] O=$abc$24606$n1334
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:165|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6543.C[4] CO=$auto$alumacc.cc:474:replace_alu$6543.C[5] I0=$false I1=I2C_COUNTER[4]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:165|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[5] I3=$auto$alumacc.cc:474:replace_alu$6543.C[5] O=$abc$24606$n1336
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:165|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6543.C[5] CO=$auto$alumacc.cc:474:replace_alu$6543.C[6] I0=$false I1=I2C_COUNTER[5]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:165|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[6] I3=$auto$alumacc.cc:474:replace_alu$6543.C[6] O=$abc$24606$n1338
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:165|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$true I2=$3\int_tmr[14:0][0] I3=$false O=$abc$24606$n1172
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][10] I3=$auto$alumacc.cc:474:replace_alu$6546.C[10] O=$abc$24606$n1203
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6546.C[10] CO=$auto$alumacc.cc:474:replace_alu$6546.C[11] I0=$false I1=$3\int_tmr[14:0][10]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][11] I3=$auto$alumacc.cc:474:replace_alu$6546.C[11] O=$abc$24606$n1206
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6546.C[11] CO=$auto$alumacc.cc:474:replace_alu$6546.C[12] I0=$false I1=$3\int_tmr[14:0][11]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][12] I3=$auto$alumacc.cc:474:replace_alu$6546.C[12] O=$abc$24606$n1209
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6546.C[12] CO=$auto$alumacc.cc:474:replace_alu$6546.C[13] I0=$false I1=$3\int_tmr[14:0][12]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][13] I3=$auto$alumacc.cc:474:replace_alu$6546.C[13] O=$abc$24606$n1212
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6546.C[13] CO=$auto$alumacc.cc:474:replace_alu$6546.C[14] I0=$false I1=$3\int_tmr[14:0][13]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][14] I3=$auto$alumacc.cc:474:replace_alu$6546.C[14] O=$abc$24606$n1215
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$3\int_tmr[14:0][0] CO=$auto$alumacc.cc:474:replace_alu$6546.C[2] I0=$false I1=$3\int_tmr[14:0][1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][2] I3=$auto$alumacc.cc:474:replace_alu$6546.C[2] O=$abc$24606$n1179
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6546.C[2] CO=$auto$alumacc.cc:474:replace_alu$6546.C[3] I0=$false I1=$3\int_tmr[14:0][2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][3] I3=$auto$alumacc.cc:474:replace_alu$6546.C[3] O=$abc$24606$n1182
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6546.C[3] CO=$auto$alumacc.cc:474:replace_alu$6546.C[4] I0=$false I1=$3\int_tmr[14:0][3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][4] I3=$auto$alumacc.cc:474:replace_alu$6546.C[4] O=$abc$24606$n1185
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6546.C[4] CO=$auto$alumacc.cc:474:replace_alu$6546.C[5] I0=$false I1=$3\int_tmr[14:0][4]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][5] I3=$auto$alumacc.cc:474:replace_alu$6546.C[5] O=$abc$24606$n1188
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6546.C[5] CO=$auto$alumacc.cc:474:replace_alu$6546.C[6] I0=$false I1=$3\int_tmr[14:0][5]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][6] I3=$auto$alumacc.cc:474:replace_alu$6546.C[6] O=$abc$24606$n1191
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6546.C[6] CO=$auto$alumacc.cc:474:replace_alu$6546.C[7] I0=$false I1=$3\int_tmr[14:0][6]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][7] I3=$auto$alumacc.cc:474:replace_alu$6546.C[7] O=$abc$24606$n1194
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6546.C[7] CO=$auto$alumacc.cc:474:replace_alu$6546.C[8] I0=$false I1=$3\int_tmr[14:0][7]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][8] I3=$auto$alumacc.cc:474:replace_alu$6546.C[8] O=$abc$24606$n1197
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6546.C[8] CO=$auto$alumacc.cc:474:replace_alu$6546.C[9] I0=$false I1=$3\int_tmr[14:0][8]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][9] I3=$auto$alumacc.cc:474:replace_alu$6546.C[9] O=$abc$24606$n1200
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6546.C[9] CO=$auto$alumacc.cc:474:replace_alu$6546.C[10] I0=$false I1=$3\int_tmr[14:0][9]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:221|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$abc$24606$n21 I2=rststate[0] I3=$false O=$abc$24606$n1481
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$false CO=$auto$alumacc.cc:474:replace_alu$6549.C[1] I0=$abc$24606$n21 I1=rststate[0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=rststate[1] I3=$auto$alumacc.cc:474:replace_alu$6549.C[1] O=$abc$24606$n1483
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6549.C[1] CO=$auto$alumacc.cc:474:replace_alu$6549.C[2] I0=$false I1=rststate[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=rststate[2] I3=$auto$alumacc.cc:474:replace_alu$6549.C[2] O=$abc$24606$n1485
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6549.C[2] CO=$auto$alumacc.cc:474:replace_alu$6549.C[3] I0=$false I1=rststate[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=rststate[3] I3=$auto$alumacc.cc:474:replace_alu$6549.C[3] O=$abc$24606$n1487
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$true I2=ring_wr[0] I3=$false O=$abc$24606$n1454
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:97|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=ring_wr[0] CO=$auto$alumacc.cc:474:replace_alu$6552.C[2] I0=$false I1=ring_wr[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:97|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=ring_wr[2] I3=$auto$alumacc.cc:474:replace_alu$6552.C[2] O=$abc$24606$n1460
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:97|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6552.C[2] CO=$auto$alumacc.cc:474:replace_alu$6552.C[3] I0=$false I1=ring_wr[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:97|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=ring_wr[3] I3=$auto$alumacc.cc:474:replace_alu$6552.C[3] O=$abc$24606$n1463
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:97|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6552.C[3] CO=$auto$alumacc.cc:474:replace_alu$6552.C[4] I0=$false I1=ring_wr[3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:97|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$alumacc.cc:474:replace_alu$6552.C[4] O=$abc$24606$n629
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:97|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$22\i2c_input_data_type[3:0][0] I2=$false I3=$true O=$abc$24606$n1256
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:191|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$22\i2c_input_data_type[3:0][0] CO=$auto$alumacc.cc:474:replace_alu$6555.C[2] I0=$22\i2c_input_data_type[3:0][1] I1=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:191|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$22\i2c_input_data_type[3:0][2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$6555.C[2] O=$abc$24606$n1258
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:191|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$abc$24606$n1524 I2=$false I3=$true O=$abc$24606$n878
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$abc$24606$n1524 CO=$auto$alumacc.cc:474:replace_alu$6558.C[2] I0=$abc$24606$n1526 I1=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$abc$24606$n1528 I2=$true I3=$auto$alumacc.cc:474:replace_alu$6558.C[2] O=$abc$24606$n880
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6558.C[2] CO=$auto$alumacc.cc:474:replace_alu$6558.C[3] I0=$abc$24606$n1528 I1=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$abc$24606$n1530 I2=$true I3=$auto$alumacc.cc:474:replace_alu$6558.C[3] O=$abc$24606$n881
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6558.C[3] CO=$abc$24606$n1926 I0=$abc$24606$n1530 I1=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$true I3=$abc$24606$n1926 O=$abc$24606$n892
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[0] I2=$false I3=$true O=$abc$24606$n978
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:45|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=I2C.FLT_SCL.counter[0] CO=$auto$alumacc.cc:474:replace_alu$6561.C[2] I0=I2C.FLT_SCL.counter[1] I1=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:45|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$6561.C[2] O=$abc$24606$n981
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:45|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[0] I2=$false I3=$true O=$abc$24606$n974
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:46|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=I2C.FLT_SDA.counter[0] CO=$auto$alumacc.cc:474:replace_alu$6564.C[2] I0=I2C.FLT_SDA.counter[1] I1=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:46|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$6564.C[2] O=$abc$24606$n977
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:46|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_counter[0] I3=$false O=$abc$24606$n1723
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=KEYBOARD.row_counter[0] CO=$auto$alumacc.cc:474:replace_alu$6567.C[2] I0=$false I1=KEYBOARD.row_counter[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[2] I3=$auto$alumacc.cc:474:replace_alu$6567.C[2] O=$abc$24606$n1727
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6567.C[2] CO=$auto$alumacc.cc:474:replace_alu$6567.C[3] I0=$false I1=KEYBOARD.row_counter[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[3] I3=$auto$alumacc.cc:474:replace_alu$6567.C[3] O=$abc$24606$n18
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:113|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_time[0] I3=$false O=$abc$24606$n1708
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:124|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[10] I3=$auto$alumacc.cc:474:replace_alu$6570.C[10] O=$abc$24606$n1718
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:124|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6570.C[10] CO=$auto$alumacc.cc:474:replace_alu$6570.C[11] I0=$false I1=KEYBOARD.row_time[10]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:124|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[11] I3=$auto$alumacc.cc:474:replace_alu$6570.C[11] O=$abc$24606$n1719
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:124|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6570.C[11] CO=$auto$alumacc.cc:474:replace_alu$6570.C[12] I0=$false I1=KEYBOARD.row_time[11]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:124|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[12] I3=$auto$alumacc.cc:474:replace_alu$6570.C[12] O=$abc$24606$n1720
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:124|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6570.C[12] CO=$auto$alumacc.cc:474:replace_alu$6570.C[13] I0=$false I1=KEYBOARD.row_time[12]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:124|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[13] I3=$auto$alumacc.cc:474:replace_alu$6570.C[13] O=$abc$24606$n1721
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:124|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6570.C[13] CO=$auto$alumacc.cc:474:replace_alu$6570.C[14] I0=$false I1=KEYBOARD.row_time[13]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:124|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[14] I3=$auto$alumacc.cc:474:replace_alu$6570.C[14] O=$abc$24606$n1722
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:124|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=KEYBOARD.row_time[0] CO=$auto$alumacc.cc:474:replace_alu$6570.C[2] I0=$false I1=KEYBOARD.row_time[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:124|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[2] I3=$auto$alumacc.cc:474:replace_alu$6570.C[2] O=$abc$24606$n1710
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:124|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6570.C[2] CO=$auto$alumacc.cc:474:replace_alu$6570.C[3] I0=$false I1=KEYBOARD.row_time[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:124|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[3] I3=$auto$alumacc.cc:474:replace_alu$6570.C[3] O=$abc$24606$n1711
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:124|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6570.C[3] CO=$auto$alumacc.cc:474:replace_alu$6570.C[4] I0=$false I1=KEYBOARD.row_time[3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:124|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[4] I3=$auto$alumacc.cc:474:replace_alu$6570.C[4] O=$abc$24606$n1712
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:124|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6570.C[4] CO=$auto$alumacc.cc:474:replace_alu$6570.C[5] I0=$false I1=KEYBOARD.row_time[4]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:124|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[5] I3=$auto$alumacc.cc:474:replace_alu$6570.C[5] O=$abc$24606$n1713
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:124|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6570.C[5] CO=$auto$alumacc.cc:474:replace_alu$6570.C[6] I0=$false I1=KEYBOARD.row_time[5]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:124|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[6] I3=$auto$alumacc.cc:474:replace_alu$6570.C[6] O=$abc$24606$n1714
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:124|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6570.C[6] CO=$auto$alumacc.cc:474:replace_alu$6570.C[7] I0=$false I1=KEYBOARD.row_time[6]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:124|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[7] I3=$auto$alumacc.cc:474:replace_alu$6570.C[7] O=$abc$24606$n1715
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:124|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6570.C[7] CO=$auto$alumacc.cc:474:replace_alu$6570.C[8] I0=$false I1=KEYBOARD.row_time[7]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:124|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[8] I3=$auto$alumacc.cc:474:replace_alu$6570.C[8] O=$abc$24606$n1716
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:124|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6570.C[8] CO=$auto$alumacc.cc:474:replace_alu$6570.C[9] I0=$false I1=KEYBOARD.row_time[8]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:124|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[9] I3=$auto$alumacc.cc:474:replace_alu$6570.C[9] O=$abc$24606$n1717
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:124|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6570.C[9] CO=$auto$alumacc.cc:474:replace_alu$6570.C[10] I0=$false I1=KEYBOARD.row_time[9]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:124|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[0] I3=$false O=$abc$24606$n1843
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:157|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[0] CO=$auto$alumacc.cc:474:replace_alu$6573.C[2] I0=$false I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:157|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[2] I3=$auto$alumacc.cc:474:replace_alu$6573.C[2] O=$abc$24606$n1845
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:157|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6573.C[2] CO=$auto$alumacc.cc:474:replace_alu$6573.C[3] I0=$false I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:157|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[3] I3=$auto$alumacc.cc:474:replace_alu$6573.C[3] O=$abc$24606$n1846
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:157|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6573.C[3] CO=$auto$alumacc.cc:474:replace_alu$6573.C[4] I0=$false I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:157|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[4] I3=$auto$alumacc.cc:474:replace_alu$6573.C[4] O=$abc$24606$n1847
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:157|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6573.C[4] CO=$auto$alumacc.cc:474:replace_alu$6573.C[5] I0=$false I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[4]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:157|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[5] I3=$auto$alumacc.cc:474:replace_alu$6573.C[5] O=$abc$24606$n1848
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:157|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6573.C[5] CO=$auto$alumacc.cc:474:replace_alu$6573.C[6] I0=$false I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[5]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:157|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[6] I3=$auto$alumacc.cc:474:replace_alu$6573.C[6] O=$abc$24606$n1849
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:157|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6573.C[6] CO=$auto$alumacc.cc:474:replace_alu$6573.C[7] I0=$false I1=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[6]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:157|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[7] I3=$auto$alumacc.cc:474:replace_alu$6573.C[7] O=$abc$24606$n1850
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:157|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.tmr_to_ram[0] I3=$false O=$abc$24606$n1903
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:203|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=KEYBOARD.tmr_to_ram[0] CO=$auto$alumacc.cc:474:replace_alu$6576.C[2] I0=$false I1=KEYBOARD.tmr_to_ram[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:203|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.tmr_to_ram[2] I3=$auto$alumacc.cc:474:replace_alu$6576.C[2] O=$abc$24606$n1907
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:203|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6576.C[2] CO=$auto$alumacc.cc:474:replace_alu$6576.C[3] I0=$false I1=KEYBOARD.tmr_to_ram[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:203|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.tmr_to_ram[3] I3=$auto$alumacc.cc:474:replace_alu$6576.C[3] O=$abc$24606$n1909
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:203|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6576.C[3] CO=$auto$alumacc.cc:474:replace_alu$6576.C[4] I0=$false I1=KEYBOARD.tmr_to_ram[3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:203|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.tmr_to_ram[4] I3=$auto$alumacc.cc:474:replace_alu$6576.C[4] O=$abc$24606$n1911
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:203|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6576.C[4] CO=$auto$alumacc.cc:474:replace_alu$6576.C[5] I0=$false I1=KEYBOARD.tmr_to_ram[4]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:203|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.tmr_to_ram[5] I3=$auto$alumacc.cc:474:replace_alu$6576.C[5] O=$abc$24606$n1913
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:203|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6576.C[5] CO=$auto$alumacc.cc:474:replace_alu$6576.C[6] I0=$false I1=KEYBOARD.tmr_to_ram[5]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:203|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.tmr_to_ram[6] I3=$auto$alumacc.cc:474:replace_alu$6576.C[6] O=$abc$24606$n1915
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:203|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6576.C[6] CO=$auto$alumacc.cc:474:replace_alu$6576.C[7] I0=$false I1=KEYBOARD.tmr_to_ram[6]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:203|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.tmr_to_ram[7] I3=$auto$alumacc.cc:474:replace_alu$6576.C[7] O=$abc$24606$n1917
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:203|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.report_adress_rd[0] I3=$false O=$abc$24606$n967
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:235|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=KEYBOARD.report_adress_rd[0] CO=$auto$alumacc.cc:474:replace_alu$6579.C[2] I0=$false I1=KEYBOARD.report_adress_rd[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:235|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.report_adress_rd[2] I3=$auto$alumacc.cc:474:replace_alu$6579.C[2] O=$abc$24606$n970
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:235|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6579.C[2] CO=$auto$alumacc.cc:474:replace_alu$6579.C[3] I0=$false I1=KEYBOARD.report_adress_rd[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:235|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.report_adress_rd[3] I3=$auto$alumacc.cc:474:replace_alu$6579.C[3] O=$abc$24606$n971
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:235|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.last_adr[0] I3=$false O=$abc$24606$n169
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:91|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=KEYBOARD.last_adr[0] CO=$auto$alumacc.cc:474:replace_alu$6582.C[2] I0=$false I1=KEYBOARD.last_adr[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:91|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.last_adr[2] I3=$auto$alumacc.cc:474:replace_alu$6582.C[2] O=$abc$24606$n172
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:91|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6582.C[2] CO=$auto$alumacc.cc:474:replace_alu$6582.C[3] I0=$false I1=KEYBOARD.last_adr[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:91|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.last_adr[3] I3=$auto$alumacc.cc:474:replace_alu$6582.C[3] O=$abc$24606$n173
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:91|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6582.C[3] CO=$auto$alumacc.cc:474:replace_alu$6582.C[4] I0=$false I1=KEYBOARD.last_adr[3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:91|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.last_adr[4] I3=$auto$alumacc.cc:474:replace_alu$6582.C[4] O=$abc$24606$n175
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:91|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6582.C[4] CO=$auto$alumacc.cc:474:replace_alu$6582.C[5] I0=$false I1=KEYBOARD.last_adr[4]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:91|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.last_adr[5] I3=$auto$alumacc.cc:474:replace_alu$6582.C[5] O=$abc$24606$n176
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:91|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6582.C[5] CO=$auto$alumacc.cc:474:replace_alu$6582.C[6] I0=$false I1=KEYBOARD.last_adr[5]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:91|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.last_adr[6] I3=$auto$alumacc.cc:474:replace_alu$6582.C[6] O=$abc$24606$n178
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:91|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6582.C[6] CO=$auto$alumacc.cc:474:replace_alu$6582.C[7] I0=$false I1=KEYBOARD.last_adr[6]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:91|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.last_adr[7] I3=$auto$alumacc.cc:474:replace_alu$6582.C[7] O=$abc$24606$n1656
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:91|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6582.C[7] CO=$auto$alumacc.cc:474:replace_alu$6582.C[8] I0=$false I1=KEYBOARD.last_adr[7]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:91|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.last_adr[8] I3=$auto$alumacc.cc:474:replace_alu$6582.C[8] O=$abc$24606$n184
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:91|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[0] I2=$false I3=$true O=$abc$24606$n1008
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:25|uart.v:33|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=UART.tx_clk_counter[0] CO=$auto$alumacc.cc:474:replace_alu$6585.C[2] I0=UART.tx_clk_counter[1] I1=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:25|uart.v:33|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$6585.C[2] O=$abc$24606$n1011
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:25|uart.v:33|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6585.C[2] CO=$auto$alumacc.cc:474:replace_alu$6585.C[3] I0=UART.tx_clk_counter[2] I1=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:25|uart.v:33|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[3] I2=$true I3=$auto$alumacc.cc:474:replace_alu$6585.C[3] O=$abc$24606$n1012
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:25|uart.v:33|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[0] I2=$false I3=$true O=$abc$24606$n1942
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:25|uart.v:39|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=UART.tx_bit_counter[0] CO=$auto$alumacc.cc:474:replace_alu$6588.C[2] I0=UART.tx_bit_counter[1] I1=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:25|uart.v:39|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$6588.C[2] O=$abc$24606$n1973
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:25|uart.v:39|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$6588.C[2] CO=$auto$alumacc.cc:474:replace_alu$6588.C[3] I0=UART.tx_bit_counter[2] I1=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:25|uart.v:39|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[3] I2=$true I3=$auto$alumacc.cc:474:replace_alu$6588.C[3] O=$abc$24606$n1975
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:25|uart.v:39|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$false I2=$abc$24606$n1972 I3=$true O=$abc$24606$n1117
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:25|uart.v:41|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$abc$24606$n1972 CO=$auto$alumacc.cc:474:replace_alu$6591.C[2] I0=$false I1=$abc$24606$n1941
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:25|uart.v:41|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$abc$24606$n1974 I3=$auto$alumacc.cc:474:replace_alu$6591.C[2] O=$abc$24606$n1103
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:25|uart.v:41|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_DFF C=CLK D=$0\rststate[3:0][0] Q=rststate[0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$0\rststate[3:0][1] Q=rststate[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$0\rststate[3:0][2] Q=rststate[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$0\rststate[3:0][3] Q=rststate[3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFFESR C=CLK D=$2\UART_WR[0:0] E=$abc$24606$n206 Q=UART_WR R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][0] E=$abc$24606$n221 Q=UART_TX_DATA[0] S=$abc$24606$n211
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][1] E=$abc$24606$n221 Q=UART_TX_DATA[1] S=$abc$24606$n211
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][2] E=$abc$24606$n221 Q=UART_TX_DATA[2] S=$abc$24606$n211
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][3] E=$abc$24606$n221 Q=UART_TX_DATA[3] S=$abc$24606$n211
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][4] E=$abc$24606$n221 Q=UART_TX_DATA[4] S=$abc$24606$n211
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][5] E=$abc$24606$n221 Q=UART_TX_DATA[5] S=$abc$24606$n211
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][6] E=$abc$24606$n221 Q=UART_TX_DATA[6] S=$abc$24606$n211
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][7] E=$abc$24606$n221 Q=UART_TX_DATA[7] S=$abc$24606$n211
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$24606$n7 E=$abc$24606$n205 Q=LED1
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][0] E=$abc$24606$n205 Q=int_tmr[0] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][1] E=$abc$24606$n205 Q=int_tmr[1] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][2] E=$abc$24606$n205 Q=int_tmr[2] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][3] E=$abc$24606$n205 Q=int_tmr[3] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][4] E=$abc$24606$n205 Q=int_tmr[4] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][5] E=$abc$24606$n205 Q=int_tmr[5] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][6] E=$abc$24606$n205 Q=int_tmr[6] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][7] E=$abc$24606$n205 Q=int_tmr[7] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][8] E=$abc$24606$n205 Q=int_tmr[8] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][9] E=$abc$24606$n205 Q=int_tmr[9] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][10] E=$abc$24606$n205 Q=int_tmr[10] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][11] E=$abc$24606$n205 Q=int_tmr[11] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][12] E=$abc$24606$n205 Q=int_tmr[12] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][13] E=$abc$24606$n205 Q=int_tmr[13] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][14] E=$abc$24606$n205 Q=int_tmr[14] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][0] E=$abc$24606$n205 Q=ring_wr[0] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][1] E=$abc$24606$n205 Q=ring_wr[1] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][2] E=$abc$24606$n205 Q=ring_wr[2] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][3] E=$abc$24606$n205 Q=ring_wr[3] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][0] E=$abc$24606$n205 Q=ring_rd[0] S=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][1] E=$abc$24606$n205 Q=ring_rd[1] S=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][2] E=$abc$24606$n205 Q=ring_rd[2] S=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][3] E=$abc$24606$n205 Q=ring_rd[3] S=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][0] E=$abc$24606$n225 Q=wr_cnt[0] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][1] E=$abc$24606$n225 Q=wr_cnt[1] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][2] E=$abc$24606$n225 Q=wr_cnt[2] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][3] E=$abc$24606$n225 Q=wr_cnt[3] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\report_wr_en[0:0] E=$abc$24606$n239 Q=report_wr_en R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\temp_output_report[2:0][1] E=$abc$24606$n220 Q=temp_output_report[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\temp_output_report[2:0][2] E=$abc$24606$n220 Q=temp_output_report[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$20\i2c_input_data_type[3:0][0] E=$abc$24606$n220 Q=i2c_input_data_type[0] R=$abc$24606$n211
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$20\i2c_input_data_type[3:0][1] E=$abc$24606$n220 Q=i2c_input_data_type[1] R=$abc$24606$n211
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$20\i2c_input_data_type[3:0][2] E=$abc$24606$n220 Q=i2c_input_data_type[2] R=$abc$24606$n211
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$20\i2c_input_data_type[3:0][3] E=$abc$24606$n220 Q=i2c_input_data_type[3] R=$abc$24606$n211
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][0] E=$abc$24606$n205 Q=I2C_COUNTER[0] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][1] E=$abc$24606$n205 Q=I2C_COUNTER[1] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][2] E=$abc$24606$n205 Q=I2C_COUNTER[2] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][3] E=$abc$24606$n205 Q=I2C_COUNTER[3] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][4] E=$abc$24606$n205 Q=I2C_COUNTER[4] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][5] E=$abc$24606$n205 Q=I2C_COUNTER[5] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][6] E=$abc$24606$n205 Q=I2C_COUNTER[6] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][0] E=$abc$24606$n205 Q=I2C_HID_DESC.DESC_TYPE R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][1] E=$abc$24606$n205 Q=I2C_OUTPUT_TYPE[1] S=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][2] E=$abc$24606$n205 Q=I2C_OUTPUT_TYPE[2] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$24606$n243 Q=I2C_OUT_DESC_MASK[0] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$24606$n243 Q=I2C_OUT_DESC_MASK[1] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$24606$n243 Q=I2C_OUT_DESC_MASK[2] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$24606$n243 Q=I2C_OUT_DESC_MASK[3] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$24606$n243 Q=I2C_OUT_DESC_MASK[4] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$24606$n243 Q=I2C_OUT_DESC_MASK[5] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$24606$n243 Q=I2C_OUT_DESC_MASK[6] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$24606$n243 Q=I2C_OUT_DESC_MASK[7] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$0\temp_output_report[2:0][1] E=$abc$24606$n270 Q=LED3 R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$0\temp_output_report[2:0][2] E=$abc$24606$n270 Q=LED4 R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=I2C.wr E=$abc$24606$n205 Q=last_wr R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=I2C_TRANS E=$abc$24606$n205 Q=last_trans R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.isr E=$abc$24606$n205 Q=last_isr R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFSS C=CLK D=$2\IS_RAM_INIT[0:0] Q=IS_RAM_INIT S=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:62|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=SCL Q=I2C.SCLF
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=I2C.SDA_IN Q=I2C.SDAF
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFFNE C=CLK D=$abc$24606$n1558 E=I2C.FLT_SCL.RESET Q=I2C.wr
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=$abc$24606$n1556 E=I2C.FLT_SCL.RESET Q=I2C.is_ack
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=$abc$24606$n1554 E=I2C.FLT_SCL.RESET Q=I2C.is_adress
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$24606$n283 Q=I2C.received_byte[0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$24606$n290 Q=I2C.received_byte[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$24606$n297 Q=I2C.received_byte[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$24606$n304 Q=I2C.received_byte[3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$24606$n311 Q=I2C.received_byte[4]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$24606$n317 Q=I2C.received_byte[5]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$24606$n326 Q=I2C.received_byte[6]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$24606$n346 Q=I2C.received_byte[7]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=$abc$24606$n1546 E=I2C.FLT_SCL.RESET Q=I2C.i2c_bit_counter[0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=$abc$24606$n1548 E=I2C.FLT_SCL.RESET Q=I2C.i2c_bit_counter[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=$abc$24606$n1550 E=I2C.FLT_SCL.RESET Q=I2C.i2c_bit_counter[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=$abc$24606$n1552 E=I2C.FLT_SCL.RESET Q=I2C.i2c_bit_counter[3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=$abc$24606$n1544 E=I2C.FLT_SCL.RESET Q=I2C.is_read
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=$abc$24606$n1522 E=$abc$24606$n351 Q=I2C.i2c_start_latency
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNSR C=CLK D=$abc$24606$n1542 Q=I2C.i2c_state_machine R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:1"
.gate SB_DFFNE C=CLK D=$abc$24606$n1 E=I2C.FLT_SCL.RESET Q=$abc$24606$n8
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=$abc$24606$n3 E=I2C.FLT_SCL.RESET Q=$abc$24606$n10
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=$abc$24606$n1540 E=I2C.FLT_SCL.RESET Q=I2C.SDA_DIR
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNSR C=CLK D=$abc$24606$n1633 Q=UART.tx_activity R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:25|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:1"
.gate SB_DFFNE C=CLK D=$abc$24606$n5 E=$abc$24606$n366 Q=$abc$24606$n12
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:25|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESS C=CLK D=$abc$24606$n1635 E=$abc$24606$n365 Q=UART.tx_clk_counter[0] S=$abc$24606$n17
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:25|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n1636 E=$abc$24606$n365 Q=UART.tx_clk_counter[1] R=$abc$24606$n17
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:25|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESS C=CLK D=$abc$24606$n1637 E=$abc$24606$n365 Q=UART.tx_clk_counter[2] S=$abc$24606$n17
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:25|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESS C=CLK D=$abc$24606$n1638 E=$abc$24606$n365 Q=UART.tx_clk_counter[3] S=$abc$24606$n17
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:25|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESS C=CLK D=$abc$24606$n1942 E=$abc$24606$n366 Q=UART.tx_bit_counter[0] S=$abc$24606$n17
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:25|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n1940 E=$abc$24606$n366 Q=UART.tx_bit_counter[1] R=$abc$24606$n17
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:25|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n1973 E=$abc$24606$n366 Q=UART.tx_bit_counter[2] R=$abc$24606$n17
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:25|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESS C=CLK D=$abc$24606$n1975 E=$abc$24606$n366 Q=UART.tx_bit_counter[3] S=$abc$24606$n17
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:25|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=UART_WR E=I2C.FLT_SCL.RESET Q=UART.TX_sig_last
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:25|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n1762 E=$abc$24606$n415 Q=KEYBOARD.tmr_to_ram[0] R=KEYBOARD.IS_RAM_INIT
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n1765 E=$abc$24606$n415 Q=KEYBOARD.tmr_to_ram[1] R=KEYBOARD.IS_RAM_INIT
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n1768 E=$abc$24606$n415 Q=KEYBOARD.tmr_to_ram[2] R=KEYBOARD.IS_RAM_INIT
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n1771 E=$abc$24606$n415 Q=KEYBOARD.tmr_to_ram[3] R=KEYBOARD.IS_RAM_INIT
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n1774 E=$abc$24606$n415 Q=KEYBOARD.tmr_to_ram[4] R=KEYBOARD.IS_RAM_INIT
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n1777 E=$abc$24606$n415 Q=KEYBOARD.tmr_to_ram[5] R=KEYBOARD.IS_RAM_INIT
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n1780 E=$abc$24606$n415 Q=KEYBOARD.tmr_to_ram[6] R=KEYBOARD.IS_RAM_INIT
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n1783 E=$abc$24606$n415 Q=KEYBOARD.tmr_to_ram[7] R=KEYBOARD.IS_RAM_INIT
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=$abc$24606$n1785 E=$abc$24606$n517 Q=KEYBOARD.is_ghost
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=$abc$24606$n1704 E=$abc$24606$n435 Q=KEYBOARD.tmr_wr_en
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESS C=CLK D=$abc$24606$n1688 E=$abc$24606$n484 Q=KEYBOARD.report_adress_rd[0] S=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n1690 E=$abc$24606$n484 Q=KEYBOARD.report_adress_rd[1] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESS C=CLK D=$abc$24606$n1692 E=$abc$24606$n484 Q=KEYBOARD.report_adress_rd[2] S=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n1694 E=$abc$24606$n484 Q=KEYBOARD.report_adress_rd[3] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n1641 E=$abc$24606$n205 Q=KEYBOARD.last_adr[0] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n1644 E=$abc$24606$n205 Q=KEYBOARD.last_adr[1] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESS C=CLK D=$abc$24606$n1646 E=$abc$24606$n205 Q=KEYBOARD.last_adr[2] S=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n1648 E=$abc$24606$n205 Q=KEYBOARD.last_adr[3] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESS C=CLK D=$abc$24606$n1650 E=$abc$24606$n205 Q=KEYBOARD.last_adr[4] S=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESS C=CLK D=$abc$24606$n1652 E=$abc$24606$n205 Q=KEYBOARD.last_adr[5] S=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESS C=CLK D=$abc$24606$n1654 E=$abc$24606$n205 Q=KEYBOARD.last_adr[6] S=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESS C=CLK D=$abc$24606$n1657 E=$abc$24606$n205 Q=KEYBOARD.last_adr[7] S=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESS C=CLK D=$abc$24606$n1659 E=$abc$24606$n205 Q=KEYBOARD.last_adr[8] S=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=$abc$24606$n1786 E=$abc$24606$n519 Q=KEYBOARD.is_pressed
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESS C=CLK D=$abc$24606$n1661 E=$abc$24606$n522 Q=KEYBOARD.COLUMN_SHADOW[0] S=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESS C=CLK D=$abc$24606$n1663 E=$abc$24606$n522 Q=KEYBOARD.COLUMN_SHADOW[1] S=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESS C=CLK D=$abc$24606$n1665 E=$abc$24606$n522 Q=KEYBOARD.COLUMN_SHADOW[2] S=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESS C=CLK D=$abc$24606$n1667 E=$abc$24606$n522 Q=KEYBOARD.COLUMN_SHADOW[3] S=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESS C=CLK D=$abc$24606$n1669 E=$abc$24606$n522 Q=KEYBOARD.COLUMN_SHADOW[4] S=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESS C=CLK D=$abc$24606$n1671 E=$abc$24606$n522 Q=KEYBOARD.COLUMN_SHADOW[5] S=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESS C=CLK D=$abc$24606$n1673 E=$abc$24606$n522 Q=KEYBOARD.COLUMN_SHADOW[6] S=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESS C=CLK D=$abc$24606$n1675 E=$abc$24606$n522 Q=KEYBOARD.COLUMN_SHADOW[7] S=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n2058 E=$abc$24606$n530 Q=KEYBOARD.ROWS_EN[0] R=$abc$24606$n18
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n2059 E=$abc$24606$n530 Q=KEYBOARD.ROWS_EN[1] R=$abc$24606$n18
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n2060 E=$abc$24606$n530 Q=KEYBOARD.ROWS_EN[2] R=$abc$24606$n18
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n2061 E=$abc$24606$n530 Q=KEYBOARD.ROWS_EN[3] R=$abc$24606$n18
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n2062 E=$abc$24606$n530 Q=KEYBOARD.ROWS_EN[4] R=$abc$24606$n18
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n2063 E=$abc$24606$n530 Q=KEYBOARD.ROWS_EN[5] R=$abc$24606$n18
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n2064 E=$abc$24606$n530 Q=KEYBOARD.ROWS_EN[6] R=$abc$24606$n18
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n2065 E=$abc$24606$n530 Q=KEYBOARD.ROWS_EN[7] R=$abc$24606$n18
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n2058 E=$abc$24606$n530 Q=KEYBOARD.ROWS_EN[8] R=$abc$24606$n19
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n2059 E=$abc$24606$n530 Q=KEYBOARD.ROWS_EN[9] R=$abc$24606$n19
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n2060 E=$abc$24606$n530 Q=KEYBOARD.ROWS_EN[10] R=$abc$24606$n19
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n2061 E=$abc$24606$n530 Q=KEYBOARD.ROWS_EN[11] R=$abc$24606$n19
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n2062 E=$abc$24606$n530 Q=KEYBOARD.ROWS_EN[12] R=$abc$24606$n19
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n2063 E=$abc$24606$n530 Q=KEYBOARD.ROWS_EN[13] R=$abc$24606$n19
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n2064 E=$abc$24606$n530 Q=KEYBOARD.ROWS_EN[14] R=$abc$24606$n19
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n2065 E=$abc$24606$n530 Q=KEYBOARD.ROWS_EN[15] R=$abc$24606$n19
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n1746 E=$abc$24606$n539 Q=KEYBOARD.isr_internal R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=KEYBOARD.isr_internal E=$abc$24606$n546 Q=KEYBOARD.isr R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n1677 E=$abc$24606$n205 Q=KEYBOARD.row_counter[0] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n1679 E=$abc$24606$n205 Q=KEYBOARD.row_counter[1] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n1681 E=$abc$24606$n205 Q=KEYBOARD.row_counter[2] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n1683 E=$abc$24606$n205 Q=KEYBOARD.row_counter[3] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n71 E=$abc$24606$n516 Q=KEYBOARD.row_time[0] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n72 E=$abc$24606$n516 Q=KEYBOARD.row_time[1] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n93 E=$abc$24606$n516 Q=KEYBOARD.row_time[2] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n97 E=$abc$24606$n516 Q=KEYBOARD.row_time[3] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n935 E=$abc$24606$n516 Q=KEYBOARD.row_time[4] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n936 E=$abc$24606$n516 Q=KEYBOARD.row_time[5] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n99 E=$abc$24606$n516 Q=KEYBOARD.row_time[6] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n100 E=$abc$24606$n516 Q=KEYBOARD.row_time[7] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n1684 E=$abc$24606$n205 Q=KEYBOARD.row_time[8] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n1685 E=$abc$24606$n205 Q=KEYBOARD.row_time[9] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n1686 E=$abc$24606$n205 Q=KEYBOARD.row_time[10] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n939 E=$abc$24606$n516 Q=KEYBOARD.row_time[11] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n940 E=$abc$24606$n516 Q=KEYBOARD.row_time[12] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n942 E=$abc$24606$n516 Q=KEYBOARD.row_time[13] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n943 E=$abc$24606$n516 Q=KEYBOARD.row_time[14] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESS C=CLK D=$abc$24606$n1703 E=$abc$24606$n205 Q=KEYBOARD.IS_RAM_INIT S=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=$abc$24606$n1702 E=$abc$24606$n220 Q=KEYBOARD.last_wr
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n1864 E=$abc$24606$n578 Q=KEYBOARD.report_data_wr[0] R=KEYBOARD.IS_RAM_INIT
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=$abc$24606$n1696 E=$abc$24606$n578 Q=KEYBOARD.report_data_wr[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n1869 E=$abc$24606$n578 Q=KEYBOARD.report_data_wr[2] R=KEYBOARD.IS_RAM_INIT
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=$abc$24606$n1698 E=$abc$24606$n578 Q=KEYBOARD.report_data_wr[3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n1874 E=$abc$24606$n578 Q=KEYBOARD.report_data_wr[4] R=KEYBOARD.IS_RAM_INIT
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n1877 E=$abc$24606$n578 Q=KEYBOARD.report_data_wr[5] R=KEYBOARD.IS_RAM_INIT
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n1880 E=$abc$24606$n578 Q=KEYBOARD.report_data_wr[6] R=KEYBOARD.IS_RAM_INIT
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n1883 E=$abc$24606$n578 Q=KEYBOARD.report_data_wr[7] R=KEYBOARD.IS_RAM_INIT
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$24606$n1700 E=$abc$24606$n595 Q=KEYBOARD.report_wr_en R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:73|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFES C=CLK D=I2C.SDAF E=$abc$24606$n604 Q=I2C.FLT_SDA.out S=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:46|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:23"
.gate SB_DFFER C=CLK D=$abc$24606$n1095 E=$abc$24606$n605 Q=I2C.FLT_SDA.counter[0] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:46|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22"
.gate SB_DFFER C=CLK D=$abc$24606$n1096 E=$abc$24606$n605 Q=I2C.FLT_SDA.counter[1] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:46|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22"
.gate SB_DFFER C=CLK D=$abc$24606$n1097 E=$abc$24606$n605 Q=I2C.FLT_SDA.counter[2] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:46|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22"
.gate SB_DFFES C=CLK D=I2C.SCLF E=$abc$24606$n614 Q=I2C.FLT_SCL.out S=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:45|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:23"
.gate SB_DFFER C=CLK D=$abc$24606$n1098 E=$abc$24606$n615 Q=I2C.FLT_SCL.counter[0] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:45|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22"
.gate SB_DFFER C=CLK D=$abc$24606$n1099 E=$abc$24606$n615 Q=I2C.FLT_SCL.counter[1] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:45|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22"
.gate SB_DFFER C=CLK D=$abc$24606$n1100 E=$abc$24606$n615 Q=I2C.FLT_SCL.counter[2] R=$abc$24606$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:45|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22"
.gate SB_IO D_IN_0=I2C.SDA_IN D_OUT_0=$false OUTPUT_ENABLE=I2C.SDA_DIR PACKAGE_PIN=SDA
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:19|i2c_slave.v:158"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_RAM40_4K RADDR[0]=I2C_COUNTER[0] RADDR[1]=I2C_COUNTER[1] RADDR[2]=I2C_COUNTER[2] RADDR[3]=I2C_COUNTER[3] RADDR[4]=I2C_COUNTER[4] RADDR[5]=I2C_COUNTER[5] RADDR[6]=I2C_COUNTER[6] RADDR[7]=I2C_HID_DESC.DESC_TYPE RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=I2C_HID_DESC.CLK RCLKE=$true RDATA[0]=I2C_HID_DESC.VAL[0] RDATA[1]=I2C_HID_DESC.VAL[1] RDATA[2]=I2C_HID_DESC.VAL[2] RDATA[3]=I2C_HID_DESC.VAL[3] RDATA[4]=I2C_HID_DESC.VAL[4] RDATA[5]=I2C_HID_DESC.VAL[5] RDATA[6]=I2C_HID_DESC.VAL[6] RDATA[7]=I2C_HID_DESC.VAL[7] RDATA[8]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$308[0] RDATA[9]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$308[1] RDATA[10]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$308[2] RDATA[11]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$308[3] RDATA[12]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$308[4] RDATA[13]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$308[5] RDATA[14]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$308[6] RDATA[15]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$308[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WDATA[8]=$false WDATA[9]=$false WDATA[10]=$false WDATA[11]=$false WDATA[12]=$false WDATA[13]=$false WDATA[14]=$false WDATA[15]=$false WE=$false
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:36|descriptors.v:147"
.param INIT_0 0000000000000011000000000000000000000000000001000000000000000000000000000000101000000000000000000000000000000011000000000000000000000000000000100000000000000000000000000011111100000000000000010000000000000000000000000000000000000000000111100000000000000000
.param INIT_1 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000100000000000000010000000000000100000000001001111100000000000000000000000000000110000000000000000000000000000001010000000000000000
.param INIT_8 0000000000100101000000000000000000000000000101010000000011100111000000000010100100000000111000000000000000011001000000000000011100000000000001010000000000000001000000001010000100000000000001100000000000001001000000000000000100000000000001010000000000000000
.param INIT_9 0000000001110101000000000000010100000000100101010000000000000001000000001000000100000000000010000000000001110101000000000000000100000000100101010000000000000010000000001000000100000000000010000000000010010101000000000000000100000000011101010000000000000001
.param INIT_A 0000000010010101000000000000001100000000100100010000000000000011000000000111010100000000000000010000000010010101000000000000001000000000100100010000000000000101000000000010100100000000000000010000000000011001000000000000100000000000000001010000000000000001
.param INIT_B 0000000011000000000000000000000000000000100000010000000001100101000000000010100100000000000000000000000000011001000000000000011100000000000001010000000001100101000000000010010100000000000000000000000000010101000000000000100000000000011101010000000000000110
.param INIT_C 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
.param READ_MODE 00000000000000000000000000000001
.param WRITE_MODE 00000000000000000000000000000001
.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=KEYBOARD.row_time[8] RADDR[1]=KEYBOARD.row_time[9] RADDR[2]=KEYBOARD.row_time[10] RADDR[3]=KEYBOARD.row_counter[0] RADDR[4]=KEYBOARD.row_counter[1] RADDR[5]=KEYBOARD.row_counter[2] RADDR[6]=KEYBOARD.row_counter[3] RADDR[7]=$false RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[0] RDATA[1]=$techmap6613\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[1] RDATA[2]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[1] RDATA[3]=$techmap6613\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[3] RDATA[4]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[2] RDATA[5]=$techmap6613\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[5] RDATA[6]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[3] RDATA[7]=$techmap6613\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[7] RDATA[8]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[4] RDATA[9]=$techmap6613\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[9] RDATA[10]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[5] RDATA[11]=$techmap6613\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[11] RDATA[12]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[6] RDATA[13]=$techmap6613\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[13] RDATA[14]=KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[7] RDATA[15]=$techmap6613\KEYBOARD.CHATTERING_SUPRESSION_TIMERS.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=KEYBOARD.row_time[8] WADDR[1]=KEYBOARD.row_time[9] WADDR[2]=KEYBOARD.row_time[10] WADDR[3]=KEYBOARD.row_counter[0] WADDR[4]=KEYBOARD.row_counter[1] WADDR[5]=KEYBOARD.row_counter[2] WADDR[6]=KEYBOARD.row_counter[3] WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=KEYBOARD.tmr_wr_en WDATA[0]=KEYBOARD.tmr_to_ram[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.tmr_to_ram[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.tmr_to_ram[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.tmr_to_ram[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.tmr_to_ram[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.tmr_to_ram[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.tmr_to_ram[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.tmr_to_ram[7] WDATA[15]=$undef WE=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
.param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1
.param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_2 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_3 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_4 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_5 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_6 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_7 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_8 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_9 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_A xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_B xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_C xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_D xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_E xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param READ_MODE 00000000000000000000000000000001
.param WRITE_MODE 00000000000000000000000000000001
.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=KEYBOARD.last_adr[0] RADDR[1]=KEYBOARD.last_adr[1] RADDR[2]=KEYBOARD.last_adr[2] RADDR[3]=KEYBOARD.last_adr[3] RADDR[4]=KEYBOARD.last_adr[4] RADDR[5]=KEYBOARD.last_adr[5] RADDR[6]=KEYBOARD.last_adr[6] RADDR[7]=KEYBOARD.last_adr[7] RADDR[8]=KEYBOARD.last_adr[8] RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.RAM.r_data[0] RDATA[1]=$techmap6610\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[1] RDATA[2]=KEYBOARD.RAM.r_data[1] RDATA[3]=$techmap6610\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[3] RDATA[4]=KEYBOARD.RAM.r_data[2] RDATA[5]=$techmap6610\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[5] RDATA[6]=KEYBOARD.RAM.r_data[3] RDATA[7]=$techmap6610\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[7] RDATA[8]=KEYBOARD.RAM.r_data[4] RDATA[9]=$techmap6610\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[9] RDATA[10]=KEYBOARD.RAM.r_data[5] RDATA[11]=$techmap6610\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[11] RDATA[12]=KEYBOARD.RAM.r_data[6] RDATA[13]=$techmap6610\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[13] RDATA[14]=KEYBOARD.RAM.r_data[7] RDATA[15]=$techmap6610\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=KEYBOARD.last_adr[0] WADDR[1]=KEYBOARD.last_adr[1] WADDR[2]=KEYBOARD.last_adr[2] WADDR[3]=KEYBOARD.last_adr[3] WADDR[4]=KEYBOARD.last_adr[4] WADDR[5]=KEYBOARD.last_adr[5] WADDR[6]=KEYBOARD.last_adr[6] WADDR[7]=KEYBOARD.last_adr[7] WADDR[8]=KEYBOARD.last_adr[8] WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=KEYBOARD.last_wr WDATA[0]=KEYBOARD.COLUMN_SHADOW[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.COLUMN_SHADOW[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.COLUMN_SHADOW[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.COLUMN_SHADOW[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.COLUMN_SHADOW[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.COLUMN_SHADOW[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.COLUMN_SHADOW[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.COLUMN_SHADOW[7] WDATA[15]=$undef WE=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
.param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1
.param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_2 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_3 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_4 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_5 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_6 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_7 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_8 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_9 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_A xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_B xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_C xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_D xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_E xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param READ_MODE 00000000000000000000000000000001
.param WRITE_MODE 00000000000000000000000000000001
.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=KEYBOARD.report_adress_rd[0] RADDR[1]=KEYBOARD.report_adress_rd[1] RADDR[2]=KEYBOARD.report_adress_rd[2] RADDR[3]=KEYBOARD.report_adress_rd[3] RADDR[4]=$false RADDR[5]=$false RADDR[6]=$false RADDR[7]=$false RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.REPORT.r_data[0] RDATA[1]=$techmap6611\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[1] RDATA[2]=KEYBOARD.REPORT.r_data[1] RDATA[3]=$techmap6611\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[3] RDATA[4]=KEYBOARD.REPORT.r_data[2] RDATA[5]=$techmap6611\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[5] RDATA[6]=KEYBOARD.REPORT.r_data[3] RDATA[7]=$techmap6611\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[7] RDATA[8]=KEYBOARD.REPORT.r_data[4] RDATA[9]=$techmap6611\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[9] RDATA[10]=KEYBOARD.REPORT.r_data[5] RDATA[11]=$techmap6611\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[11] RDATA[12]=KEYBOARD.REPORT.r_data[6] RDATA[13]=$techmap6611\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[13] RDATA[14]=KEYBOARD.REPORT.r_data[7] RDATA[15]=$techmap6611\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=KEYBOARD.report_adress_rd[0] WADDR[1]=KEYBOARD.report_adress_rd[1] WADDR[2]=KEYBOARD.report_adress_rd[2] WADDR[3]=KEYBOARD.report_adress_rd[3] WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=KEYBOARD.report_wr_en WDATA[0]=KEYBOARD.report_data_wr[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.report_data_wr[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.report_data_wr[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.report_data_wr[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.report_data_wr[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.report_data_wr[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.report_data_wr[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.report_data_wr[7] WDATA[15]=$undef WE=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
.param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1
.param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_2 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_3 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_4 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_5 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_6 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_7 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_8 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_9 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_A xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_B xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_C xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_D xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_E xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param READ_MODE 00000000000000000000000000000001
.param WRITE_MODE 00000000000000000000000000000001
.gate SB_RAM40_4K RADDR[0]=KEYBOARD.row_time[8] RADDR[1]=KEYBOARD.row_time[9] RADDR[2]=KEYBOARD.row_time[10] RADDR[3]=KEYBOARD.row_counter[0] RADDR[4]=KEYBOARD.row_counter[1] RADDR[5]=KEYBOARD.row_counter[2] RADDR[6]=KEYBOARD.row_counter[3] RADDR[7]=$false RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.kbd_code_hid[0] RDATA[1]=KEYBOARD.kbd_code_hid[1] RDATA[2]=KEYBOARD.kbd_code_hid[2] RDATA[3]=KEYBOARD.kbd_code_hid[3] RDATA[4]=KEYBOARD.kbd_code_hid[4] RDATA[5]=KEYBOARD.kbd_code_hid[5] RDATA[6]=KEYBOARD.kbd_code_hid[6] RDATA[7]=KEYBOARD.kbd_code_hid[7] RDATA[8]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$310[0] RDATA[9]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$310[1] RDATA[10]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$310[2] RDATA[11]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$310[3] RDATA[12]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$310[4] RDATA[13]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$310[5] RDATA[14]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$310[6] RDATA[15]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$310[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WDATA[8]=$false WDATA[9]=$false WDATA[10]=$false WDATA[11]=$false WDATA[12]=$false WDATA[13]=$false WDATA[14]=$false WDATA[15]=$false WE=$false
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:260"
.param INIT_0 0000000001100010000000000101110100000000010010010000000001000101000000000110000000000000010110100000000001010100000000000100111100000000010100100000000000000000000000000100101000000000010011010000000001010111000000000101100000000000010010000000000001010000
.param INIT_1 0000000001100011000000000101111000000000010010110000000001001110000000000110000100000000010110110000000001010101000000000101011000000000000000000000000001011100000000000100110000000000010001000000000001011111000000000101100100000000010100110000000001010001
.param INIT_2 0000000000101001000000000010101100000000001101010000000000011110000000000001010000000000000001000000000000011101000000000000000000000000111000100000000000000000000000000000000000000000010001100000000001000111000000000000000000000000000000000000000011100110
.param INIT_3 0000000000000000000000001110000100000000000000000000000000000000000000000000000000000000111000010000000011100101000000000000000000000000000000000000000000000000000000001110000000000000000000000000000000000000000000000000000000000000111001000000000000000000
.param INIT_4 0000000000111110000000000010101000000000010000100000000001000011000000000000000000000000001100010000000000101000000000000010110000000000001101000000000000101111000000000010110100000000001001110000000000010011000000000011001100000000000000000000000000111000
.param INIT_5 0000000000000000000000000100000000000000010000010000000000100110000000000001001000000000000011110000000000110111000000000000000000000000001111110000000000110000000000000010111000000000001001010000000000001100000000000000111000000000001101100000000000000000
.param INIT_6 0000000000000000000000000011100100000000001110100000000000011111000000000001101000000000000101100000000000011011000000000000000000000000000010110000000000011100000000000010001100000000001001000000000000011000000000000000110100000000000100000000000000010001
.param INIT_7 0000000000001010000000000001011100000000001000100000000000100001000000000001010100000000000010010000000000011001000000000000010100000000001111010000000000111100000000000011101100000000001000000000000000001000000000000000011100000000000001100000000000000000
.param READ_MODE 00000000000000000000000000000001
.param WRITE_MODE 00000000000000000000000000000001
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[0] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[0] PACKAGE_PIN=KBD_ROWS[0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:312"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[10] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[10] PACKAGE_PIN=KBD_ROWS[10]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:312"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[11] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[11] PACKAGE_PIN=KBD_ROWS[11]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:312"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[12] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[12] PACKAGE_PIN=KBD_ROWS[12]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:312"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[13] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[13] PACKAGE_PIN=KBD_ROWS[13]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:312"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[14] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[14] PACKAGE_PIN=KBD_ROWS[14]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:312"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[15] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[15] PACKAGE_PIN=KBD_ROWS[15]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:312"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[1] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[1] PACKAGE_PIN=KBD_ROWS[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:312"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[2] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[2] PACKAGE_PIN=KBD_ROWS[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:312"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[3] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[3] PACKAGE_PIN=KBD_ROWS[3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:312"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[4] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[4] PACKAGE_PIN=KBD_ROWS[4]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:312"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[5] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[5] PACKAGE_PIN=KBD_ROWS[5]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:312"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[6] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[6] PACKAGE_PIN=KBD_ROWS[6]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:312"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[7] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[7] PACKAGE_PIN=KBD_ROWS[7]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:312"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[8] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[8] PACKAGE_PIN=KBD_ROWS[8]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:312"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[9] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[9] PACKAGE_PIN=KBD_ROWS[9]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:34|matrix_kbd.v:312"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=I2C_COUNTER[0] RADDR[1]=I2C_COUNTER[1] RADDR[2]=I2C_COUNTER[2] RADDR[3]=I2C_COUNTER[3] RADDR[4]=ring_rd[0] RADDR[5]=ring_rd[1] RADDR[6]=ring_rd[2] RADDR[7]=ring_rd[3] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=I2C_HID_DESC.CLK RCLKE=$true RDATA[0]=REPORT_DATA.r_data[0] RDATA[1]=$techmap6612\REPORT_DATA.mem.0.0.0.A1DATA_16[1] RDATA[2]=REPORT_DATA.r_data[1] RDATA[3]=$techmap6612\REPORT_DATA.mem.0.0.0.A1DATA_16[3] RDATA[4]=REPORT_DATA.r_data[2] RDATA[5]=$techmap6612\REPORT_DATA.mem.0.0.0.A1DATA_16[5] RDATA[6]=REPORT_DATA.r_data[3] RDATA[7]=$techmap6612\REPORT_DATA.mem.0.0.0.A1DATA_16[7] RDATA[8]=REPORT_DATA.r_data[4] RDATA[9]=$techmap6612\REPORT_DATA.mem.0.0.0.A1DATA_16[9] RDATA[10]=REPORT_DATA.r_data[5] RDATA[11]=$techmap6612\REPORT_DATA.mem.0.0.0.A1DATA_16[11] RDATA[12]=REPORT_DATA.r_data[6] RDATA[13]=$techmap6612\REPORT_DATA.mem.0.0.0.A1DATA_16[13] RDATA[14]=REPORT_DATA.r_data[7] RDATA[15]=$techmap6612\REPORT_DATA.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=wr_cnt[0] WADDR[1]=wr_cnt[1] WADDR[2]=wr_cnt[2] WADDR[3]=wr_cnt[3] WADDR[4]=ring_wr[0] WADDR[5]=ring_wr[1] WADDR[6]=ring_wr[2] WADDR[7]=ring_wr[3] WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=I2C_HID_DESC.CLK WCLKE=report_wr_en WDATA[0]=KEYBOARD.REPORT.r_data[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.REPORT.r_data[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.REPORT.r_data[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.REPORT.r_data[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.REPORT.r_data[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.REPORT.r_data[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.REPORT.r_data[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.REPORT.r_data[7] WDATA[15]=$undef WE=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
.param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1
.param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_2 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_3 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_4 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_5 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_6 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_7 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_8 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_9 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_A xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_B xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_C xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_D xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_E xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param READ_MODE 00000000000000000000000000000001
.param WRITE_MODE 00000000000000000000000000000001
.names $true COM_DSR
1 1
.names I2C.is_read COM_RTS
1 1
.names CLK I2C.CLK
1 1
.names CLK I2C.FLT_SCL.CLK
1 1
.names I2C.SCLF I2C.FLT_SCL.IN
1 1
.names I2C.FLT_SCL.out I2C.FLT_SCL.OUT
1 1
.names CLK I2C.FLT_SDA.CLK
1 1
.names I2C.SDAF I2C.FLT_SDA.IN
1 1
.names I2C.FLT_SDA.out I2C.FLT_SDA.OUT
1 1
.names I2C.FLT_SCL.RESET I2C.FLT_SDA.RESET
1 1
.names I2C.is_ack I2C.IS_ACK
1 1
.names I2C.is_read I2C.IS_READ
1 1
.names I2C_TRANS I2C.IS_TRANSMISSION
1 1
.names I2C.received_byte[0] I2C.RECEIVED_BYTE[0]
1 1
.names I2C.received_byte[1] I2C.RECEIVED_BYTE[1]
1 1
.names I2C.received_byte[2] I2C.RECEIVED_BYTE[2]
1 1
.names I2C.received_byte[3] I2C.RECEIVED_BYTE[3]
1 1
.names I2C.received_byte[4] I2C.RECEIVED_BYTE[4]
1 1
.names I2C.received_byte[5] I2C.RECEIVED_BYTE[5]
1 1
.names I2C.received_byte[6] I2C.RECEIVED_BYTE[6]
1 1
.names I2C.received_byte[7] I2C.RECEIVED_BYTE[7]
1 1
.names I2C.FLT_SCL.RESET I2C.RESET
1 1
.names SCL I2C.SCL
1 1
.names I2C.FLT_SCL.out I2C.SCLD
1 1
.names SDA I2C.SDA
1 1
.names I2C.FLT_SDA.out I2C.SDAD
1 1
.names I2C.wr I2C.WR
1 1
.names I2C.is_ack I2C_ACK
1 1
.names I2C_COUNTER[0] I2C_HID_DESC.ADR[0]
1 1
.names I2C_COUNTER[1] I2C_HID_DESC.ADR[1]
1 1
.names I2C_COUNTER[2] I2C_HID_DESC.ADR[2]
1 1
.names I2C_COUNTER[3] I2C_HID_DESC.ADR[3]
1 1
.names I2C_COUNTER[4] I2C_HID_DESC.ADR[4]
1 1
.names I2C_COUNTER[5] I2C_HID_DESC.ADR[5]
1 1
.names I2C_COUNTER[6] I2C_HID_DESC.ADR[6]
1 1
.names I2C_COUNTER[0] I2C_HID_DESC.RAM_ADR[0]
1 1
.names I2C_COUNTER[1] I2C_HID_DESC.RAM_ADR[1]
1 1
.names I2C_COUNTER[2] I2C_HID_DESC.RAM_ADR[2]
1 1
.names I2C_COUNTER[3] I2C_HID_DESC.RAM_ADR[3]
1 1
.names I2C_COUNTER[4] I2C_HID_DESC.RAM_ADR[4]
1 1
.names I2C_COUNTER[5] I2C_HID_DESC.RAM_ADR[5]
1 1
.names I2C_COUNTER[6] I2C_HID_DESC.RAM_ADR[6]
1 1
.names I2C_HID_DESC.DESC_TYPE I2C_HID_DESC.RAM_ADR[7]
1 1
.names I2C_HID_DESC.DESC_TYPE I2C_OUTPUT_TYPE[0]
1 1
.names I2C.is_read I2C_READ
1 1
.names I2C.received_byte[0] I2C_RX[0]
1 1
.names I2C.received_byte[1] I2C_RX[1]
1 1
.names I2C.received_byte[2] I2C_RX[2]
1 1
.names I2C.received_byte[3] I2C_RX[3]
1 1
.names I2C.received_byte[4] I2C_RX[4]
1 1
.names I2C.received_byte[5] I2C_RX[5]
1 1
.names I2C.received_byte[6] I2C_RX[6]
1 1
.names I2C.received_byte[7] I2C_RX[7]
1 1
.names I2C_HID_DESC.VAL[0] I2C_TX_DESC[0]
1 1
.names I2C_HID_DESC.VAL[1] I2C_TX_DESC[1]
1 1
.names I2C_HID_DESC.VAL[2] I2C_TX_DESC[2]
1 1
.names I2C_HID_DESC.VAL[3] I2C_TX_DESC[3]
1 1
.names I2C_HID_DESC.VAL[4] I2C_TX_DESC[4]
1 1
.names I2C_HID_DESC.VAL[5] I2C_TX_DESC[5]
1 1
.names I2C_HID_DESC.VAL[6] I2C_TX_DESC[6]
1 1
.names I2C_HID_DESC.VAL[7] I2C_TX_DESC[7]
1 1
.names I2C.wr I2C_WR
1 1
.names COM_DCD INT
1 1
.names COM_DCD INTERRUPT
1 1
.names KEYBOARD.isr ISR
1 1
.names $undef KBD_LED_STATUS[0]
1 1
.names LED3 KBD_LED_STATUS[1]
1 1
.names LED4 KBD_LED_STATUS[2]
1 1
.names CLK KEYBOARD.CHATTERING_SUPRESSION_TIMERS.clk
1 1
.names KEYBOARD.row_time[8] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.raddr[0]
1 1
.names KEYBOARD.row_time[9] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.raddr[1]
1 1
.names KEYBOARD.row_time[10] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.raddr[2]
1 1
.names KEYBOARD.row_counter[0] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.raddr[3]
1 1
.names KEYBOARD.row_counter[1] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.raddr[4]
1 1
.names KEYBOARD.row_counter[2] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.raddr[5]
1 1
.names KEYBOARD.row_counter[3] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.raddr[6]
1 1
.names $false KEYBOARD.CHATTERING_SUPRESSION_TIMERS.raddr[7]
1 1
.names $false KEYBOARD.CHATTERING_SUPRESSION_TIMERS.raddr[8]
1 1
.names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[0] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[0]
1 1
.names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[1] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[1]
1 1
.names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[2] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[2]
1 1
.names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[3] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[3]
1 1
.names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[4] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[4]
1 1
.names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[5] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[5]
1 1
.names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[6] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[6]
1 1
.names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[7] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.rdata[7]
1 1
.names KEYBOARD.row_time[8] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.waddr[0]
1 1
.names KEYBOARD.row_time[9] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.waddr[1]
1 1
.names KEYBOARD.row_time[10] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.waddr[2]
1 1
.names KEYBOARD.row_counter[0] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.waddr[3]
1 1
.names KEYBOARD.row_counter[1] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.waddr[4]
1 1
.names KEYBOARD.row_counter[2] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.waddr[5]
1 1
.names KEYBOARD.row_counter[3] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.waddr[6]
1 1
.names $false KEYBOARD.CHATTERING_SUPRESSION_TIMERS.waddr[7]
1 1
.names $false KEYBOARD.CHATTERING_SUPRESSION_TIMERS.waddr[8]
1 1
.names KEYBOARD.tmr_to_ram[0] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.wdata[0]
1 1
.names KEYBOARD.tmr_to_ram[1] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.wdata[1]
1 1
.names KEYBOARD.tmr_to_ram[2] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.wdata[2]
1 1
.names KEYBOARD.tmr_to_ram[3] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.wdata[3]
1 1
.names KEYBOARD.tmr_to_ram[4] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.wdata[4]
1 1
.names KEYBOARD.tmr_to_ram[5] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.wdata[5]
1 1
.names KEYBOARD.tmr_to_ram[6] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.wdata[6]
1 1
.names KEYBOARD.tmr_to_ram[7] KEYBOARD.CHATTERING_SUPRESSION_TIMERS.wdata[7]
1 1
.names KEYBOARD.tmr_wr_en KEYBOARD.CHATTERING_SUPRESSION_TIMERS.wen
1 1
.names CLK KEYBOARD.CLK
1 1
.names KBD_COLUMNS[0] KEYBOARD.COLUMNS[0]
1 1
.names KBD_COLUMNS[1] KEYBOARD.COLUMNS[1]
1 1
.names KBD_COLUMNS[2] KEYBOARD.COLUMNS[2]
1 1
.names KBD_COLUMNS[3] KEYBOARD.COLUMNS[3]
1 1
.names KBD_COLUMNS[4] KEYBOARD.COLUMNS[4]
1 1
.names KBD_COLUMNS[5] KEYBOARD.COLUMNS[5]
1 1
.names KBD_COLUMNS[6] KEYBOARD.COLUMNS[6]
1 1
.names KBD_COLUMNS[7] KEYBOARD.COLUMNS[7]
1 1
.names IS_RAM_INIT KEYBOARD.FREEZE
1 1
.names KEYBOARD.isr KEYBOARD.INT
1 1
.names CLK KEYBOARD.RAM.clk
1 1
.names KEYBOARD.last_adr[0] KEYBOARD.RAM.raddr[0]
1 1
.names KEYBOARD.last_adr[1] KEYBOARD.RAM.raddr[1]
1 1
.names KEYBOARD.last_adr[2] KEYBOARD.RAM.raddr[2]
1 1
.names KEYBOARD.last_adr[3] KEYBOARD.RAM.raddr[3]
1 1
.names KEYBOARD.last_adr[4] KEYBOARD.RAM.raddr[4]
1 1
.names KEYBOARD.last_adr[5] KEYBOARD.RAM.raddr[5]
1 1
.names KEYBOARD.last_adr[6] KEYBOARD.RAM.raddr[6]
1 1
.names KEYBOARD.last_adr[7] KEYBOARD.RAM.raddr[7]
1 1
.names KEYBOARD.last_adr[8] KEYBOARD.RAM.raddr[8]
1 1
.names KEYBOARD.RAM.r_data[0] KEYBOARD.RAM.rdata[0]
1 1
.names KEYBOARD.RAM.r_data[1] KEYBOARD.RAM.rdata[1]
1 1
.names KEYBOARD.RAM.r_data[2] KEYBOARD.RAM.rdata[2]
1 1
.names KEYBOARD.RAM.r_data[3] KEYBOARD.RAM.rdata[3]
1 1
.names KEYBOARD.RAM.r_data[4] KEYBOARD.RAM.rdata[4]
1 1
.names KEYBOARD.RAM.r_data[5] KEYBOARD.RAM.rdata[5]
1 1
.names KEYBOARD.RAM.r_data[6] KEYBOARD.RAM.rdata[6]
1 1
.names KEYBOARD.RAM.r_data[7] KEYBOARD.RAM.rdata[7]
1 1
.names KEYBOARD.last_adr[0] KEYBOARD.RAM.waddr[0]
1 1
.names KEYBOARD.last_adr[1] KEYBOARD.RAM.waddr[1]
1 1
.names KEYBOARD.last_adr[2] KEYBOARD.RAM.waddr[2]
1 1
.names KEYBOARD.last_adr[3] KEYBOARD.RAM.waddr[3]
1 1
.names KEYBOARD.last_adr[4] KEYBOARD.RAM.waddr[4]
1 1
.names KEYBOARD.last_adr[5] KEYBOARD.RAM.waddr[5]
1 1
.names KEYBOARD.last_adr[6] KEYBOARD.RAM.waddr[6]
1 1
.names KEYBOARD.last_adr[7] KEYBOARD.RAM.waddr[7]
1 1
.names KEYBOARD.last_adr[8] KEYBOARD.RAM.waddr[8]
1 1
.names KEYBOARD.COLUMN_SHADOW[0] KEYBOARD.RAM.wdata[0]
1 1
.names KEYBOARD.COLUMN_SHADOW[1] KEYBOARD.RAM.wdata[1]
1 1
.names KEYBOARD.COLUMN_SHADOW[2] KEYBOARD.RAM.wdata[2]
1 1
.names KEYBOARD.COLUMN_SHADOW[3] KEYBOARD.RAM.wdata[3]
1 1
.names KEYBOARD.COLUMN_SHADOW[4] KEYBOARD.RAM.wdata[4]
1 1
.names KEYBOARD.COLUMN_SHADOW[5] KEYBOARD.RAM.wdata[5]
1 1
.names KEYBOARD.COLUMN_SHADOW[6] KEYBOARD.RAM.wdata[6]
1 1
.names KEYBOARD.COLUMN_SHADOW[7] KEYBOARD.RAM.wdata[7]
1 1
.names KEYBOARD.last_wr KEYBOARD.RAM.wen
1 1
.names CLK KEYBOARD.REPORT.clk
1 1
.names KEYBOARD.report_adress_rd[0] KEYBOARD.REPORT.raddr[0]
1 1
.names KEYBOARD.report_adress_rd[1] KEYBOARD.REPORT.raddr[1]
1 1
.names KEYBOARD.report_adress_rd[2] KEYBOARD.REPORT.raddr[2]
1 1
.names KEYBOARD.report_adress_rd[3] KEYBOARD.REPORT.raddr[3]
1 1
.names $false KEYBOARD.REPORT.raddr[4]
1 1
.names $false KEYBOARD.REPORT.raddr[5]
1 1
.names $false KEYBOARD.REPORT.raddr[6]
1 1
.names $false KEYBOARD.REPORT.raddr[7]
1 1
.names $false KEYBOARD.REPORT.raddr[8]
1 1
.names KEYBOARD.REPORT.r_data[0] KEYBOARD.REPORT.rdata[0]
1 1
.names KEYBOARD.REPORT.r_data[1] KEYBOARD.REPORT.rdata[1]
1 1
.names KEYBOARD.REPORT.r_data[2] KEYBOARD.REPORT.rdata[2]
1 1
.names KEYBOARD.REPORT.r_data[3] KEYBOARD.REPORT.rdata[3]
1 1
.names KEYBOARD.REPORT.r_data[4] KEYBOARD.REPORT.rdata[4]
1 1
.names KEYBOARD.REPORT.r_data[5] KEYBOARD.REPORT.rdata[5]
1 1
.names KEYBOARD.REPORT.r_data[6] KEYBOARD.REPORT.rdata[6]
1 1
.names KEYBOARD.REPORT.r_data[7] KEYBOARD.REPORT.rdata[7]
1 1
.names KEYBOARD.report_adress_rd[0] KEYBOARD.REPORT.waddr[0]
1 1
.names KEYBOARD.report_adress_rd[1] KEYBOARD.REPORT.waddr[1]
1 1
.names KEYBOARD.report_adress_rd[2] KEYBOARD.REPORT.waddr[2]
1 1
.names KEYBOARD.report_adress_rd[3] KEYBOARD.REPORT.waddr[3]
1 1
.names $false KEYBOARD.REPORT.waddr[4]
1 1
.names $false KEYBOARD.REPORT.waddr[5]
1 1
.names $false KEYBOARD.REPORT.waddr[6]
1 1
.names $false KEYBOARD.REPORT.waddr[7]
1 1
.names $false KEYBOARD.REPORT.waddr[8]
1 1
.names KEYBOARD.report_data_wr[0] KEYBOARD.REPORT.wdata[0]
1 1
.names KEYBOARD.report_data_wr[1] KEYBOARD.REPORT.wdata[1]
1 1
.names KEYBOARD.report_data_wr[2] KEYBOARD.REPORT.wdata[2]
1 1
.names KEYBOARD.report_data_wr[3] KEYBOARD.REPORT.wdata[3]
1 1
.names KEYBOARD.report_data_wr[4] KEYBOARD.REPORT.wdata[4]
1 1
.names KEYBOARD.report_data_wr[5] KEYBOARD.REPORT.wdata[5]
1 1
.names KEYBOARD.report_data_wr[6] KEYBOARD.REPORT.wdata[6]
1 1
.names KEYBOARD.report_data_wr[7] KEYBOARD.REPORT.wdata[7]
1 1
.names KEYBOARD.report_wr_en KEYBOARD.REPORT.wen
1 1
.names wr_cnt[0] KEYBOARD.REPORT_ADRESS[0]
1 1
.names wr_cnt[1] KEYBOARD.REPORT_ADRESS[1]
1 1
.names wr_cnt[2] KEYBOARD.REPORT_ADRESS[2]
1 1
.names wr_cnt[3] KEYBOARD.REPORT_ADRESS[3]
1 1
.names KEYBOARD.REPORT.r_data[0] KEYBOARD.REPORT_DATA[0]
1 1
.names KEYBOARD.REPORT.r_data[1] KEYBOARD.REPORT_DATA[1]
1 1
.names KEYBOARD.REPORT.r_data[2] KEYBOARD.REPORT_DATA[2]
1 1
.names KEYBOARD.REPORT.r_data[3] KEYBOARD.REPORT_DATA[3]
1 1
.names KEYBOARD.REPORT.r_data[4] KEYBOARD.REPORT_DATA[4]
1 1
.names KEYBOARD.REPORT.r_data[5] KEYBOARD.REPORT_DATA[5]
1 1
.names KEYBOARD.REPORT.r_data[6] KEYBOARD.REPORT_DATA[6]
1 1
.names KEYBOARD.REPORT.r_data[7] KEYBOARD.REPORT_DATA[7]
1 1
.names I2C.FLT_SCL.RESET KEYBOARD.RESET
1 1
.names KBD_ROWS[0] KEYBOARD.ROWS[0]
1 1
.names KBD_ROWS[1] KEYBOARD.ROWS[1]
1 1
.names KBD_ROWS[2] KEYBOARD.ROWS[2]
1 1
.names KBD_ROWS[3] KEYBOARD.ROWS[3]
1 1
.names KBD_ROWS[4] KEYBOARD.ROWS[4]
1 1
.names KBD_ROWS[5] KEYBOARD.ROWS[5]
1 1
.names KBD_ROWS[6] KEYBOARD.ROWS[6]
1 1
.names KBD_ROWS[7] KEYBOARD.ROWS[7]
1 1
.names KBD_ROWS[8] KEYBOARD.ROWS[8]
1 1
.names KBD_ROWS[9] KEYBOARD.ROWS[9]
1 1
.names KBD_ROWS[10] KEYBOARD.ROWS[10]
1 1
.names KBD_ROWS[11] KEYBOARD.ROWS[11]
1 1
.names KBD_ROWS[12] KEYBOARD.ROWS[12]
1 1
.names KBD_ROWS[13] KEYBOARD.ROWS[13]
1 1
.names KBD_ROWS[14] KEYBOARD.ROWS[14]
1 1
.names KBD_ROWS[15] KEYBOARD.ROWS[15]
1 1
.names KEYBOARD.row_time[8] KEYBOARD.kbd_code[0]
1 1
.names KEYBOARD.row_time[9] KEYBOARD.kbd_code[1]
1 1
.names KEYBOARD.row_time[10] KEYBOARD.kbd_code[2]
1 1
.names KEYBOARD.row_counter[0] KEYBOARD.kbd_code[3]
1 1
.names KEYBOARD.row_counter[1] KEYBOARD.kbd_code[4]
1 1
.names KEYBOARD.row_counter[2] KEYBOARD.kbd_code[5]
1 1
.names KEYBOARD.row_counter[3] KEYBOARD.kbd_code[6]
1 1
.names KEYBOARD.RAM.r_data[0] KEYBOARD.last_column[0]
1 1
.names KEYBOARD.RAM.r_data[1] KEYBOARD.last_column[1]
1 1
.names KEYBOARD.RAM.r_data[2] KEYBOARD.last_column[2]
1 1
.names KEYBOARD.RAM.r_data[3] KEYBOARD.last_column[3]
1 1
.names KEYBOARD.RAM.r_data[4] KEYBOARD.last_column[4]
1 1
.names KEYBOARD.RAM.r_data[5] KEYBOARD.last_column[5]
1 1
.names KEYBOARD.RAM.r_data[6] KEYBOARD.last_column[6]
1 1
.names KEYBOARD.RAM.r_data[7] KEYBOARD.last_column[7]
1 1
.names KEYBOARD.report_adress_rd[0] KEYBOARD.report_adress_wr[0]
1 1
.names KEYBOARD.report_adress_rd[1] KEYBOARD.report_adress_wr[1]
1 1
.names KEYBOARD.report_adress_rd[2] KEYBOARD.report_adress_wr[2]
1 1
.names KEYBOARD.report_adress_rd[3] KEYBOARD.report_adress_wr[3]
1 1
.names KEYBOARD.REPORT.r_data[0] KEYBOARD.report_data_rd[0]
1 1
.names KEYBOARD.REPORT.r_data[1] KEYBOARD.report_data_rd[1]
1 1
.names KEYBOARD.REPORT.r_data[2] KEYBOARD.report_data_rd[2]
1 1
.names KEYBOARD.REPORT.r_data[3] KEYBOARD.report_data_rd[3]
1 1
.names KEYBOARD.REPORT.r_data[4] KEYBOARD.report_data_rd[4]
1 1
.names KEYBOARD.REPORT.r_data[5] KEYBOARD.report_data_rd[5]
1 1
.names KEYBOARD.REPORT.r_data[6] KEYBOARD.report_data_rd[6]
1 1
.names KEYBOARD.REPORT.r_data[7] KEYBOARD.report_data_rd[7]
1 1
.names KEYBOARD.row_time[8] KEYBOARD.tmr_adr[0]
1 1
.names KEYBOARD.row_time[9] KEYBOARD.tmr_adr[1]
1 1
.names KEYBOARD.row_time[10] KEYBOARD.tmr_adr[2]
1 1
.names KEYBOARD.row_counter[0] KEYBOARD.tmr_adr[3]
1 1
.names KEYBOARD.row_counter[1] KEYBOARD.tmr_adr[4]
1 1
.names KEYBOARD.row_counter[2] KEYBOARD.tmr_adr[5]
1 1
.names KEYBOARD.row_counter[3] KEYBOARD.tmr_adr[6]
1 1
.names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[0] KEYBOARD.tmr_from_ram[0]
1 1
.names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[1] KEYBOARD.tmr_from_ram[1]
1 1
.names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[2] KEYBOARD.tmr_from_ram[2]
1 1
.names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[3] KEYBOARD.tmr_from_ram[3]
1 1
.names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[4] KEYBOARD.tmr_from_ram[4]
1 1
.names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[5] KEYBOARD.tmr_from_ram[5]
1 1
.names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[6] KEYBOARD.tmr_from_ram[6]
1 1
.names KEYBOARD.CHATTERING_SUPRESSION_TIMERS.r_data[7] KEYBOARD.tmr_from_ram[7]
1 1
.names $undef LED2
1 1
.names I2C_TRANS LED5
1 1
.names I2C_HID_DESC.CLK REPORT_DATA.clk
1 1
.names I2C_COUNTER[0] REPORT_DATA.raddr[0]
1 1
.names I2C_COUNTER[1] REPORT_DATA.raddr[1]
1 1
.names I2C_COUNTER[2] REPORT_DATA.raddr[2]
1 1
.names I2C_COUNTER[3] REPORT_DATA.raddr[3]
1 1
.names ring_rd[0] REPORT_DATA.raddr[4]
1 1
.names ring_rd[1] REPORT_DATA.raddr[5]
1 1
.names ring_rd[2] REPORT_DATA.raddr[6]
1 1
.names ring_rd[3] REPORT_DATA.raddr[7]
1 1
.names $false REPORT_DATA.raddr[8]
1 1
.names REPORT_DATA.r_data[0] REPORT_DATA.rdata[0]
1 1
.names REPORT_DATA.r_data[1] REPORT_DATA.rdata[1]
1 1
.names REPORT_DATA.r_data[2] REPORT_DATA.rdata[2]
1 1
.names REPORT_DATA.r_data[3] REPORT_DATA.rdata[3]
1 1
.names REPORT_DATA.r_data[4] REPORT_DATA.rdata[4]
1 1
.names REPORT_DATA.r_data[5] REPORT_DATA.rdata[5]
1 1
.names REPORT_DATA.r_data[6] REPORT_DATA.rdata[6]
1 1
.names REPORT_DATA.r_data[7] REPORT_DATA.rdata[7]
1 1
.names wr_cnt[0] REPORT_DATA.waddr[0]
1 1
.names wr_cnt[1] REPORT_DATA.waddr[1]
1 1
.names wr_cnt[2] REPORT_DATA.waddr[2]
1 1
.names wr_cnt[3] REPORT_DATA.waddr[3]
1 1
.names ring_wr[0] REPORT_DATA.waddr[4]
1 1
.names ring_wr[1] REPORT_DATA.waddr[5]
1 1
.names ring_wr[2] REPORT_DATA.waddr[6]
1 1
.names ring_wr[3] REPORT_DATA.waddr[7]
1 1
.names $false REPORT_DATA.waddr[8]
1 1
.names KEYBOARD.REPORT.r_data[0] REPORT_DATA.wdata[0]
1 1
.names KEYBOARD.REPORT.r_data[1] REPORT_DATA.wdata[1]
1 1
.names KEYBOARD.REPORT.r_data[2] REPORT_DATA.wdata[2]
1 1
.names KEYBOARD.REPORT.r_data[3] REPORT_DATA.wdata[3]
1 1
.names KEYBOARD.REPORT.r_data[4] REPORT_DATA.wdata[4]
1 1
.names KEYBOARD.REPORT.r_data[5] REPORT_DATA.wdata[5]
1 1
.names KEYBOARD.REPORT.r_data[6] REPORT_DATA.wdata[6]
1 1
.names KEYBOARD.REPORT.r_data[7] REPORT_DATA.wdata[7]
1 1
.names report_wr_en REPORT_DATA.wen
1 1
.names I2C.FLT_SCL.RESET RESET
1 1
.names CLK UART.CLK
1 1
.names I2C.FLT_SCL.RESET UART.RESET
1 1
.names UART.tx_activity UART.TX_ACTIVITY
1 1
.names UART_TX_DATA[0] UART.TX_BYTE[0]
1 1
.names UART_TX_DATA[1] UART.TX_BYTE[1]
1 1
.names UART_TX_DATA[2] UART.TX_BYTE[2]
1 1
.names UART_TX_DATA[3] UART.TX_BYTE[3]
1 1
.names UART_TX_DATA[4] UART.TX_BYTE[4]
1 1
.names UART_TX_DATA[5] UART.TX_BYTE[5]
1 1
.names UART_TX_DATA[6] UART.TX_BYTE[6]
1 1
.names UART_TX_DATA[7] UART.TX_BYTE[7]
1 1
.names COM_TX UART.TX_LINE
1 1
.names UART_WR UART.TX_SIGNAL
1 1
.names COM_TX UART.tx_line
1 1
.names UART.tx_activity UART_ACTIVE
1 1
.names COM_TX UART_TX_LINE
1 1
.names I2C_COUNTER[0] report_data_radr[0]
1 1
.names I2C_COUNTER[1] report_data_radr[1]
1 1
.names I2C_COUNTER[2] report_data_radr[2]
1 1
.names I2C_COUNTER[3] report_data_radr[3]
1 1
.names ring_rd[0] report_data_radr[4]
1 1
.names ring_rd[1] report_data_radr[5]
1 1
.names ring_rd[2] report_data_radr[6]
1 1
.names ring_rd[3] report_data_radr[7]
1 1
.names REPORT_DATA.r_data[0] report_data_rd[0]
1 1
.names REPORT_DATA.r_data[1] report_data_rd[1]
1 1
.names REPORT_DATA.r_data[2] report_data_rd[2]
1 1
.names REPORT_DATA.r_data[3] report_data_rd[3]
1 1
.names REPORT_DATA.r_data[4] report_data_rd[4]
1 1
.names REPORT_DATA.r_data[5] report_data_rd[5]
1 1
.names REPORT_DATA.r_data[6] report_data_rd[6]
1 1
.names REPORT_DATA.r_data[7] report_data_rd[7]
1 1
.names wr_cnt[0] report_data_wadr[0]
1 1
.names wr_cnt[1] report_data_wadr[1]
1 1
.names wr_cnt[2] report_data_wadr[2]
1 1
.names wr_cnt[3] report_data_wadr[3]
1 1
.names ring_wr[0] report_data_wadr[4]
1 1
.names ring_wr[1] report_data_wadr[5]
1 1
.names ring_wr[2] report_data_wadr[6]
1 1
.names ring_wr[3] report_data_wadr[7]
1 1
.names KEYBOARD.REPORT.r_data[0] report_data_wr[0]
1 1
.names KEYBOARD.REPORT.r_data[1] report_data_wr[1]
1 1
.names KEYBOARD.REPORT.r_data[2] report_data_wr[2]
1 1
.names KEYBOARD.REPORT.r_data[3] report_data_wr[3]
1 1
.names KEYBOARD.REPORT.r_data[4] report_data_wr[4]
1 1
.names KEYBOARD.REPORT.r_data[5] report_data_wr[5]
1 1
.names KEYBOARD.REPORT.r_data[6] report_data_wr[6]
1 1
.names KEYBOARD.REPORT.r_data[7] report_data_wr[7]
1 1
.names $undef temp_output_report[0]
1 1
.end