You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

4222 lines
330 KiB

# Generated by Yosys 0.8 (Apio build) (git sha1 40e220b, gcc 4.8.4-2ubuntu1~14.04.3 -fPIC -Os)
.model top
.inputs CLK SCL SDA COM_RX KBD_COLUMNS[0] KBD_COLUMNS[1] KBD_COLUMNS[2] KBD_COLUMNS[3] KBD_COLUMNS[4] KBD_COLUMNS[5] KBD_COLUMNS[6] KBD_COLUMNS[7] KBD_ROWS[0] KBD_ROWS[1] KBD_ROWS[2] KBD_ROWS[3] KBD_ROWS[4] KBD_ROWS[5] KBD_ROWS[6] KBD_ROWS[7] KBD_ROWS[8] KBD_ROWS[9] KBD_ROWS[10] KBD_ROWS[11] KBD_ROWS[12] KBD_ROWS[13] KBD_ROWS[14] KBD_ROWS[15]
.outputs LED1 LED2 LED3 LED4 LED5 SDA INTERRUPT COM_TX COM_DCD COM_DSR COM_RTS KBD_ROWS[0] KBD_ROWS[1] KBD_ROWS[2] KBD_ROWS[3] KBD_ROWS[4] KBD_ROWS[5] KBD_ROWS[6] KBD_ROWS[7] KBD_ROWS[8] KBD_ROWS[9] KBD_ROWS[10] KBD_ROWS[11] KBD_ROWS[12] KBD_ROWS[13] KBD_ROWS[14] KBD_ROWS[15]
.names $false
.names $true
1
.names $undef
.gate SB_LUT4 I0=$abc$51270$n2192 I1=$abc$51270$n683 I2=$abc$51270$n678 I3=UART.tx_activity O=$abc$51270$n1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000111111111
.gate SB_LUT4 I0=$abc$51270$n682 I1=$abc$51270$n679 I2=$abc$51270$n2362 I3=$abc$51270$n2348 O=$abc$51270$n678
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000110000001010
.gate SB_LUT4 I0=UART_TX_DATA[6] I1=UART_TX_DATA[4] I2=$abc$51270$n680 I3=$false O=$abc$51270$n679
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$51270$n2383 I1=$abc$51270$n2384 I2=$false I3=$false O=$abc$51270$n680
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0110
.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=$false I3=$false O=$abc$51270$n2383
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0110
.gate SB_LUT4 I0=UART_TX_DATA[2] I1=UART_TX_DATA[0] I2=$abc$51270$n680 I3=$false O=$abc$51270$n682
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$51270$n685 I1=$abc$51270$n684 I2=$abc$51270$n2348 I3=$abc$51270$n2362 O=$abc$51270$n683
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100010100000000
.gate SB_LUT4 I0=UART_TX_DATA[7] I1=UART_TX_DATA[5] I2=$abc$51270$n680 I3=$false O=$abc$51270$n684
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=UART_TX_DATA[3] I1=UART_TX_DATA[1] I2=$abc$51270$n680 I3=$false O=$abc$51270$n685
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=$abc$51270$n690 I1=$abc$51270$n687 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$51270$n3
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10110000
.gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=$false I3=$false O=$abc$51270$n687
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=I2C.i2c_state_machine I1=I2C.i2c_start_latency I2=$false I3=$false O=I2C_TRANS
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=rststate[3] I1=rststate[2] I2=rststate[1] I3=rststate[0] O=I2C.FLT_SCL.RESET
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=last_isr I1=KEYBOARD.isr I2=$false I3=$false O=$abc$51270$n690
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$false I3=$false O=$abc$51270$n19
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1011
.gate SB_LUT4 I0=I2C.byte_counter[0] I1=$abc$51270$n693 I2=$false I3=$false O=$abc$51270$n21
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51270$n694 I1=I2C.byte_counter[1] I2=$false I3=$false O=$abc$51270$n693
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C.byte_counter[3] I1=I2C.byte_counter[2] I2=$abc$51270$n695 I3=$false O=$abc$51270$n694
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=I2C.byte_counter[4] I1=I2C.byte_counter[5] I2=I2C.byte_counter[6] I3=I2C.byte_counter[7] O=$abc$51270$n695
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$51270$n697 I1=$abc$51270$n29 I2=$abc$51270$n1447 I3=KEYBOARD.is_pressed O=$abc$51270$n27
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000011111101
.gate SB_LUT4 I0=$abc$51270$n698 I1=$abc$51270$n702 I2=$abc$51270$n707 I3=$false O=$abc$51270$n697
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$51270$n2249 I1=$abc$51270$n701 I2=$false I3=$false O=$abc$51270$n698
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[2] I1=KBD_COLUMNS[2] I2=$abc$51270$n700 I3=$false O=$abc$51270$n2249
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$51270$n383 I1=$abc$51270$n394 I2=$abc$51270$n396 I3=$false O=$abc$51270$n700
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=$abc$51270$n383 I1=$abc$51270$n396 I2=$abc$51270$n394 I3=$false O=$abc$51270$n701
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[6] I1=$abc$51270$n705 I2=$abc$51270$n706 I3=$abc$51270$n703 O=$abc$51270$n702
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011100000000
.gate SB_LUT4 I0=$abc$51270$n704 I1=KEYBOARD.COLS_SHADOW[7] I2=$abc$51270$n700 I3=KBD_COLUMNS[0] O=$abc$51270$n703
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=$abc$51270$n383 I1=$abc$51270$n394 I2=$abc$51270$n396 I3=$false O=$abc$51270$n704
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$51270$n396 I1=$abc$51270$n394 I2=$abc$51270$n383 I3=$false O=$abc$51270$n705
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$51270$n394 I1=$abc$51270$n396 I2=$abc$51270$n383 I3=KEYBOARD.COLS_SHADOW[4] O=$abc$51270$n706
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$51270$n708 I1=$abc$51270$n710 I2=$abc$51270$n712 I3=$false O=$abc$51270$n707
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[3] I1=$abc$51270$n709 I2=$false I3=$false O=$abc$51270$n708
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51270$n383 I1=$abc$51270$n394 I2=$abc$51270$n396 I3=$false O=$abc$51270$n709
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[5] I1=$abc$51270$n711 I2=$false I3=$false O=$abc$51270$n710
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51270$n394 I1=$abc$51270$n383 I2=$abc$51270$n396 I3=$false O=$abc$51270$n711
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[1] I1=$abc$51270$n713 I2=$false I3=$false O=$abc$51270$n712
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51270$n383 I1=$abc$51270$n394 I2=$abc$51270$n396 I3=$false O=$abc$51270$n713
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=KEYBOARD.temp[7] I1=$abc$51270$n704 I2=$abc$51270$n719 I3=$abc$51270$n720 O=$abc$51270$n718
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011100000000
.gate SB_LUT4 I0=KEYBOARD.temp[2] I1=$abc$51270$n701 I2=$false I3=$false O=$abc$51270$n719
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51270$n705 I1=KEYBOARD.temp[6] I2=$abc$51270$n700 I3=KEYBOARD.RAM.r_data[0] O=$abc$51270$n720
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=KEYBOARD.temp[3] I1=KEYBOARD.RAM.r_data[3] I2=$abc$51270$n700 I3=$false O=$abc$51270$n721
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=$abc$51270$n723 I1=$abc$51270$n726 I2=$abc$51270$n1621 I3=$false O=$abc$51270$n29
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01111111
.gate SB_LUT4 I0=$abc$51270$n1610 I1=$abc$51270$n725 I2=$false I3=$false O=$abc$51270$n723
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=KEYBOARD.row_time[1] I1=KEYBOARD.row_time[0] I2=$false I3=$false O=$abc$51270$n1610
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0110
.gate SB_LUT4 I0=$abc$51270$n1607 I1=$abc$51270$n1609 I2=$abc$51270$n1612 I3=$abc$51270$n1613 O=$abc$51270$n725
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$51270$n1617 I1=$abc$51270$n1618 I2=$abc$51270$n1620 I3=$abc$51270$n1626 O=$abc$51270$n726
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$abc$51270$n728 I1=$abc$51270$n22 I2=$false I3=$false O=$abc$51270$n764
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0111
.gate SB_LUT4 I0=$abc$51270$n729 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$51270$n728
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51270$n730 I1=init_ram_cnt[7] I2=init_ram_cnt[5] I3=$false O=$abc$51270$n729
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=init_ram_cnt[0] I1=init_ram_cnt[1] I2=$abc$51270$n731 I3=$false O=$abc$51270$n730
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=init_ram_cnt[2] I1=init_ram_cnt[4] I2=init_ram_cnt[6] I3=init_ram_cnt[3] O=$abc$51270$n731
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$abc$51270$n734 I1=$abc$51270$n757 I2=$abc$51270$n759 I3=$abc$51270$n754 O=$abc$51270$n733
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$abc$51270$n764 I1=$abc$51270$n735 I2=$abc$51270$n744 I3=$false O=$abc$51270$n734
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$51270$n743 I1=UART_WR I2=$abc$51270$n736 I3=$abc$51270$n740 O=$abc$51270$n735
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$51270$n739 I1=$abc$51270$n737 I2=$false I3=$false O=$abc$51270$n736
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$abc$51270$n738 I3=$false O=$abc$51270$n737
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10010000
.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=wr_cnt[2] I3=wr_cnt[3] O=$abc$51270$n738
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=$false I3=$false O=$abc$51270$n739
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=ring_rd[2] I1=ring_wr[2] I2=$abc$51270$n741 I3=$abc$51270$n742 O=$abc$51270$n740
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000000000
.gate SB_LUT4 I0=ring_rd[1] I1=ring_wr[1] I2=$false I3=$false O=$abc$51270$n741
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1001
.gate SB_LUT4 I0=ring_rd[0] I1=ring_wr[0] I2=ring_rd[3] I3=ring_wr[3] O=$abc$51270$n742
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=last_uart_active I1=UART.tx_activity I2=$false I3=$false O=$abc$51270$n743
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$2\UART_WR[0:0] I1=$abc$51270$n687 I2=$false I3=$false O=$abc$51270$n744
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=last_uart_active I1=UART.tx_activity I2=$2\uart_double_ff[0:0] I3=$abc$51270$n19 O=$2\UART_WR[0:0]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111001011111111
.gate SB_LUT4 I0=last_trans I1=I2C_TRANS I2=$false I3=$false O=$2\uart_double_ff[0:0]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=I2C_TRANS I1=$abc$51270$n748 I2=$abc$51270$n753 I3=$false O=$abc$51270$n747
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$51270$n749 I1=$abc$51270$n751 I2=$abc$51270$n752 I3=$false O=$abc$51270$n748
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$51270$n750 I1=int_tmr[12] I2=int_tmr[13] I3=int_tmr[14] O=$abc$51270$n749
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=int_tmr[8] I1=int_tmr[9] I2=int_tmr[10] I3=int_tmr[11] O=$abc$51270$n750
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=int_tmr[4] I1=int_tmr[5] I2=int_tmr[6] I3=int_tmr[7] O=$abc$51270$n751
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=int_tmr[0] I1=int_tmr[1] I2=int_tmr[2] I3=int_tmr[3] O=$abc$51270$n752
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[2] I1=I2C_OUTPUT_TYPE[1] I2=I2C_OUTPUT_TYPE[0] I3=$false O=$abc$51270$n753
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$51270$n756 I1=$abc$51270$n764 I2=$abc$51270$n755 I3=$false O=$abc$51270$n754
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00001101
.gate SB_LUT4 I0=$abc$51270$n22 I1=$abc$51270$n729 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$51270$n755
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11010000
.gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$false I3=$false O=$abc$51270$n756
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51270$n738 I1=$abc$51270$n728 I2=$abc$51270$n758 I3=$false O=$abc$51270$n757
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$51270$n690 I1=$abc$51270$n22 I2=$false I3=$false O=$abc$51270$n758
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51270$n728 I1=$abc$51270$n737 I2=$abc$51270$n739 I3=$abc$51270$n22 O=$abc$51270$n759
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$abc$51270$n764 I1=$abc$51270$n736 I2=$abc$51270$n762 I3=$false O=$abc$51270$n761
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=$abc$51270$n19 I3=$false O=$abc$51270$n762
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10010000
.gate SB_LUT4 I0=UART.tx_activity I1=last_uart_active I2=$false I3=$false O=$abc$51270$n763
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1001
.gate SB_LUT4 I0=$abc$51270$n2422 I1=I2C.is_read I2=$abc$51270$n753 I3=$abc$51270$n917 O=$abc$51270$n765
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1011111100000000
.gate SB_LUT4 I0=$abc$51270$n728 I1=$abc$51270$n736 I2=$abc$51270$n767 I3=$false O=$abc$51270$n917
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$51270$n687 I1=$abc$51270$n19 I2=$abc$51270$n22 I3=$false O=$abc$51270$n767
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$2\uart_double_ff[0:0] I1=I2C.wr I2=last_wr I3=$abc$51270$n769 O=$abc$51270$n768
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1011001000000000
.gate SB_LUT4 I0=$abc$51270$n729 I1=$abc$51270$n737 I2=I2C.FLT_SCL.RESET I3=$abc$51270$n22 O=$abc$51270$n769
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=$abc$51270$n743 I1=$abc$51270$n771 I2=$abc$51270$n776 I3=$abc$51270$n773 O=$abc$51270$n796
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111000000000000
.gate SB_LUT4 I0=$abc$51270$n772 I1=$abc$51270$n744 I2=$false I3=$false O=$abc$51270$n771
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51270$n739 I1=$abc$51270$n769 I2=$false I3=$false O=$abc$51270$n772
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51270$n759 I1=$abc$51270$n917 I2=$abc$51270$n774 I3=$false O=$abc$51270$n773
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=$abc$51270$n769 I1=$abc$51270$n762 I2=$abc$51270$n775 I3=$false O=$abc$51270$n774
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$51270$n739 I1=UART.tx_activity I2=uart_double_ff I3=last_uart_active O=$abc$51270$n775
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$abc$51270$n769 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$51270$n776
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1011
.gate SB_LUT4 I0=$abc$51270$n771 I1=$abc$51270$n778 I2=$abc$51270$n773 I3=$false O=$abc$51270$n799
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$51270$n780 I1=$abc$51270$n779 I2=$abc$51270$n758 I3=I2C.FLT_SCL.RESET O=$abc$51270$n778
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=$abc$51270$n756 I1=$abc$51270$n764 I2=$abc$51270$n757 I3=$false O=$abc$51270$n779
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00001101
.gate SB_LUT4 I0=$abc$51270$n729 I1=$abc$51270$n22 I2=$false I3=$false O=$abc$51270$n780
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51270$n784 I1=$abc$51270$n782 I2=$abc$51270$n785 I3=$false O=$abc$51270$n867
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$51270$n783 I1=$abc$51270$n763 I2=$abc$51270$n761 I3=$abc$51270$n765 O=$abc$51270$n782
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000010001111
.gate SB_LUT4 I0=$abc$51270$n748 I1=UART_WR I2=$false I3=$false O=$abc$51270$n783
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=int_tmr[0] I1=$abc$51270$n771 I2=$false I3=$false O=$abc$51270$n784
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51270$n759 I1=$abc$51270$n768 I2=$abc$51270$n776 I3=$false O=$abc$51270$n785
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$51270$n782 I1=$abc$51270$n785 I2=$false I3=$false O=$abc$51270$n871
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51270$n758 I1=$abc$51270$n728 I2=$abc$51270$n755 I3=$false O=$abc$51270$n921
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000111
.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$51270$n21 I2=$abc$51270$n789 I3=$abc$51270$n1117 O=$abc$51270$n934
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1101000000000000
.gate SB_LUT4 I0=$abc$51270$n790 I1=$abc$51270$n753 I2=$abc$51270$n759 I3=$false O=$abc$51270$n789
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01001111
.gate SB_LUT4 I0=I2C.is_read I1=$abc$51270$n791 I2=$abc$51270$n728 I3=$false O=$abc$51270$n790
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$51270$n737 I1=$abc$51270$n739 I2=$abc$51270$n22 I3=$false O=$abc$51270$n791
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$51270$n772 I1=$abc$51270$n776 I2=$false I3=$false O=$abc$51270$n1117
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51270$n769 I1=$abc$51270$n754 I2=$false I3=$false O=$abc$51270$n937
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51270$n796_1 I1=$abc$51270$n757 I2=$abc$51270$n795 I3=$false O=$abc$51270$n945
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10110000
.gate SB_LUT4 I0=$abc$51270$n756 I1=$abc$51270$n764 I2=$abc$51270$n776 I3=$false O=$abc$51270$n795
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00001101
.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[2] I2=wr_cnt[1] I3=wr_cnt[3] O=$abc$51270$n796_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$51270$n796_1 I1=$abc$51270$n757 I2=$abc$51270$n780 I3=$abc$51270$n795 O=$abc$51270$n950
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011100000000
.gate SB_LUT4 I0=$abc$51270$n772 I1=$abc$51270$n799_1 I2=$abc$51270$n778 I3=$abc$51270$n789 O=$abc$51270$n968
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$51270$n21 I1=report_data_radr[0] I2=$false I3=$false O=$abc$51270$n799_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$51270$n759 I1=$abc$51270$n753 I2=I2C.is_read I3=$false O=$abc$51270$n974
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=I2C.byte_counter[3] I1=$abc$51270$n695 I2=I2C.byte_counter[2] I3=$false O=$abc$51270$n809
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[1] I2=i2c_input_data_type[0] I3=i2c_input_data_type[2] O=$abc$51270$n812
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$abc$51270$n814 I1=i2c_input_data_type[0] I2=$false I3=$false O=$abc$51270$n813
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[1] I2=i2c_input_data_type[2] I3=$false O=$abc$51270$n814
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$51270$n822 I2=$false I3=$false O=$abc$51270$n821
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=I2C.received_byte[3] I1=I2C.received_byte[1] I2=I2C.received_byte[2] I3=$false O=$abc$51270$n822
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[5] I2=I2C.received_byte[7] I3=I2C.received_byte[6] O=$abc$51270$n823
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$51270$n729 I1=$abc$51270$n828 I2=$abc$51270$n809 I3=$abc$51270$n830 O=$abc$51270$n827
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=I2C.received_byte[1] I1=I2C.received_byte[0] I2=$abc$51270$n829 I3=$false O=$abc$51270$n828
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11010000
.gate SB_LUT4 I0=i2c_input_data_type[0] I1=$abc$51270$n814 I2=$false I3=$false O=$abc$51270$n829
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=I2C.is_read I1=I2C.byte_counter[1] I2=I2C.FLT_SCL.RESET I3=I2C.byte_counter[0] O=$abc$51270$n830
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$51270$n814 I1=$abc$51270$n834 I2=$abc$51270$n832 I3=$false O=$abc$51270$n831
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00001101
.gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$51270$n823 I2=$abc$51270$n822 I3=$abc$51270$n833 O=$abc$51270$n832
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111111100000000
.gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[1] I2=i2c_input_data_type[0] I3=i2c_input_data_type[2] O=$abc$51270$n833
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$51270$n835 I1=I2C.received_byte[3] I2=I2C.received_byte[2] I3=$abc$51270$n823 O=$abc$51270$n834
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=I2C.received_byte[1] I1=I2C.received_byte[0] I2=i2c_input_data_type[0] I3=$false O=$abc$51270$n835
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=I2C.is_read I1=$abc$51270$n759 I2=$abc$51270$n837 I3=$false O=$abc$51270$n836
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=I2C.is_read I1=$abc$51270$n917 I2=I2C.FLT_SCL.RESET I3=$abc$51270$n776 O=$abc$51270$n837
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111000000000000
.gate SB_LUT4 I0=$abc$51270$n19 I1=$abc$51270$n769 I2=$abc$51270$n761 I3=$false O=$abc$51270$n838
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00001011
.gate SB_LUT4 I0=$abc$51270$n840 I1=$abc$51270$n809 I2=$abc$51270$n693 I3=$abc$51270$n790 O=$abc$51270$n839
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111010011111111
.gate SB_LUT4 I0=$abc$51270$n833 I1=I2C.byte_counter[0] I2=I2C.byte_counter[1] I3=$false O=$abc$51270$n840
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=$abc$51270$n772 I1=$2\uart_double_ff[0:0] I2=$abc$51270$n842_1 I3=$false O=$abc$51270$n1119
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=$abc$51270$n761 I1=$abc$51270$n1149 I2=$abc$51270$n755 I3=$abc$51270$n779 O=$abc$51270$n842_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$abc$51270$n19 I1=$abc$51270$n769 I2=$abc$51270$n759 I3=$false O=$abc$51270$n1149
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11110100
.gate SB_LUT4 I0=$abc$51270$n846 I1=$abc$51270$n859 I2=I2C.FLT_SCL.RESET I3=$abc$51270$n861 O=$abc$51270$n1138
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100010000001111
.gate SB_LUT4 I0=i2c_input_data_type[1] I1=$abc$51270$n847 I2=$false I3=$false O=$abc$51270$n846
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51270$n848 I1=i2c_input_data_type[0] I2=$false I3=$false O=$abc$51270$n847
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51270$n855 I1=$abc$51270$n857 I2=$abc$51270$n858 I3=$abc$51270$n849 O=$abc$51270$n848
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$abc$51270$n852 I1=$abc$51270$n854 I2=$abc$51270$n813 I3=$abc$51270$n850 O=$abc$51270$n849
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000010001111
.gate SB_LUT4 I0=$abc$51270$n851 I1=$abc$51270$n812 I2=$abc$51270$n2425 I3=$false O=$abc$51270$n850
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=$abc$51270$n853 I2=$abc$51270$n852 I3=$false O=$abc$51270$n851
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=I2C_INPUT_LEN[4] I1=I2C_INPUT_LEN[5] I2=I2C_INPUT_LEN[6] I3=I2C_INPUT_LEN[7] O=$abc$51270$n852
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=I2C_INPUT_LEN[0] I2=I2C_INPUT_LEN[1] I3=$false O=$abc$51270$n853
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=I2C_INPUT_LEN[1] I2=I2C_INPUT_LEN[0] I3=I2C_INPUT_LEN[3] O=$abc$51270$n854
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=I2C_INPUT_LEN[0] I1=$abc$51270$n856 I2=I2C_INPUT_LEN[1] I3=$abc$51270$n829 O=$abc$51270$n855
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1011111100000000
.gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=I2C_INPUT_LEN[2] I2=$abc$51270$n852 I3=$false O=$abc$51270$n856
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=I2C_INPUT_LEN[1] I1=I2C_INPUT_LEN[0] I2=$abc$51270$n856 I3=$abc$51270$n812 O=$abc$51270$n857
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1011111100000000
.gate SB_LUT4 I0=I2C_INPUT_LEN[0] I1=I2C_INPUT_LEN[1] I2=$abc$51270$n856 I3=$abc$51270$n833 O=$abc$51270$n858
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1110111100000000
.gate SB_LUT4 I0=i2c_input_data_type[0] I1=i2c_input_data_type[1] I2=i2c_input_data_type[3] I3=$abc$51270$n860 O=$abc$51270$n859
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000101100000000
.gate SB_LUT4 I0=$abc$51270$n848 I1=i2c_input_data_type[2] I2=$false I3=$false O=$abc$51270$n860
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C.is_read I1=$abc$51270$n917 I2=$false I3=$false O=$abc$51270$n861
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51270$n759 I1=$abc$51270$n838 I2=$abc$51270$n776 I3=$false O=$abc$51270$n1152
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$51270$n743 I1=$abc$51270$n771 I2=$abc$51270$n917 I3=$abc$51270$n785 O=$abc$51270$n1159
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000101100000000
.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=KEYBOARD.isr I2=last_isr I3=$abc$51270$n755 O=$abc$51270$n1163
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000001111101
.gate SB_LUT4 I0=$abc$51270$n771 I1=$abc$51270$n1149 I2=$abc$51270$n917 I3=$abc$51270$n776 O=$abc$51270$n1168
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$abc$51270$n305 I1=$abc$51270$n875 I2=$abc$51270$n867_1 I3=$false O=$abc$51270$n1177
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$51270$n307 I1=$abc$51270$n873 I2=$abc$51270$n874 I3=$abc$51270$n868 O=$abc$51270$n867_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=$abc$51270$n869 I1=$abc$51270$n871_1 I2=$false I3=$false O=$abc$51270$n868
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=I2C.i2c_start_latency I1=I2C.i2c_state_machine I2=$abc$51270$n2006 I3=I2C.is_read O=$abc$51270$n869
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1011111100000000
.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$51270$n14 I2=I2C.FLT_SCL.out I3=$false O=$abc$51270$n2006
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11101111
.gate SB_LUT4 I0=I2C.i2c_state_machine I1=$abc$51270$n2006 I2=$abc$51270$n872 I3=$false O=$abc$51270$n871_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00001011
.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=I2C.FLT_SCL.out I2=$abc$51270$n14 I3=$false O=$abc$51270$n872
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$51270$n1178 I1=$abc$51270$n16 I2=I2C.FLT_SCL.out I3=$false O=$abc$51270$n873
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$51270$n308 I1=$abc$51270$n319 I2=$abc$51270$n2394 I3=$false O=$abc$51270$n874
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=I2C.i2c_bit_counter[0] I1=I2C.i2c_bit_counter[1] I2=$abc$51270$n2006 I3=$false O=$abc$51270$n875
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01100000
.gate SB_LUT4 I0=$abc$51270$n867_1 I1=$abc$51270$n877 I2=$false I3=$false O=$abc$51270$n1190
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51270$n875 I1=$abc$51270$n305 I2=$false I3=$false O=$abc$51270$n877
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51270$n875 I1=$abc$51270$n305 I2=$abc$51270$n867_1 I3=$false O=$abc$51270$n1195
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$51270$n867_1 I1=$abc$51270$n880 I2=$false I3=$false O=$abc$51270$n1200
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51270$n875 I1=$abc$51270$n305 I2=$false I3=$false O=$abc$51270$n880
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51270$n305 I1=$abc$51270$n875 I2=$abc$51270$n882 I3=$false O=$abc$51270$n1205
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$51270$n868 I1=$abc$51270$n873 I2=$abc$51270$n874 I3=$abc$51270$n307 O=$abc$51270$n882
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$abc$51270$n882 I1=$abc$51270$n877 I2=$false I3=$false O=$abc$51270$n1210
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51270$n875 I1=$abc$51270$n305 I2=$abc$51270$n882 I3=$false O=$abc$51270$n1217
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$51270$n882 I1=$abc$51270$n880 I2=$false I3=$false O=$abc$51270$n1225
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C.i2c_start_latency I1=I2C.i2c_state_machine I2=$abc$51270$n2006 I3=$false O=$abc$51270$n1228
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01001111
.gate SB_LUT4 I0=$abc$51270$n888 I1=$abc$51270$n891 I2=$abc$51270$n893 I3=I2C.FLT_SCL.RESET O=$abc$51270$n1231
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$abc$51270$n889 I1=UART.tx_activity I2=$false I3=$false O=$abc$51270$n888
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=UART.tx_clk_counter[1] I1=UART.tx_clk_counter[0] I2=$abc$51270$n890 I3=$false O=$abc$51270$n889
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01100000
.gate SB_LUT4 I0=$abc$51270$n1514 I1=$abc$51270$n1517 I2=$abc$51270$n1518 I3=$false O=$abc$51270$n890
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=UART.tx_bit_counter[3] I1=$abc$51270$n892 I2=$false I3=$false O=$abc$51270$n891
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=UART.tx_bit_counter[2] I3=UART.tx_activity O=$abc$51270$n892
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=UART.TX_sig_last I1=UART_WR I2=UART.tx_activity I3=$false O=$abc$51270$n893
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00001011
.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$51270$n893 I2=$false I3=$false O=$abc$51270$n1238
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0111
.gate SB_LUT4 I0=$abc$51270$n896_1 I1=KEYBOARD.init_ram_cnt[8] I2=I2C.FLT_SCL.RESET I3=$false O=$abc$51270$n1257
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10001111
.gate SB_LUT4 I0=KEYBOARD.init_delay_cnt[0] I1=KEYBOARD.init_delay_cnt[1] I2=KEYBOARD.init_delay_cnt[2] I3=KEYBOARD.init_delay_cnt[3] O=$abc$51270$n896_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$abc$51270$n1418 I1=$abc$51270$n923 I2=$abc$51270$n935 I3=$abc$51270$n898 O=$abc$51270$n1258
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1101000000000000
.gate SB_LUT4 I0=$abc$51270$n920 I1=$abc$51270$n899 I2=$abc$51270$n918 I3=$false O=$abc$51270$n898
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000111
.gate SB_LUT4 I0=$abc$51270$n27 I1=$abc$51270$n915 I2=$abc$51270$n910 I3=$false O=$abc$51270$n899
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$51270$n907 I1=$abc$51270$n901 I2=$false I3=$false O=$abc$51270$n900
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51270$n902 I1=$abc$51270$n904 I2=$false I3=$false O=$abc$51270$n901
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[4] I2=$abc$51270$n903 I3=$false O=$abc$51270$n902
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.kbd_code_hid[7] I3=$false O=$abc$51270$n903
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$51270$n905 I1=$abc$51270$n906 I2=$false I3=$false O=$abc$51270$n904
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=KEYBOARD.report[1][4] I1=KEYBOARD.report[1][5] I2=KEYBOARD.report[1][6] I3=KEYBOARD.report[1][7] O=$abc$51270$n905
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=KEYBOARD.report[1][0] I1=KEYBOARD.report[1][1] I2=KEYBOARD.report[1][2] I3=KEYBOARD.report[1][3] O=$abc$51270$n906
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$51270$n908 I1=$abc$51270$n909 I2=$false I3=$false O=$abc$51270$n907
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=KEYBOARD.report[2][4] I1=KEYBOARD.report[2][5] I2=KEYBOARD.report[2][6] I3=KEYBOARD.report[2][7] O=$abc$51270$n908
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=KEYBOARD.report[2][0] I1=KEYBOARD.report[2][1] I2=KEYBOARD.report[2][2] I3=KEYBOARD.report[2][3] O=$abc$51270$n909
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$51270$n911 I1=$abc$51270$n1418 I2=$false I3=$false O=$abc$51270$n910
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[6] I1=$abc$51270$n913 I2=$abc$51270$n912 I3=$false O=$abc$51270$n911
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$51270$n912
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[4] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.kbd_code_hid[7] O=$abc$51270$n913
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$51270$n896_1 I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$abc$51270$n1418
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$51270$n916 I1=$abc$51270$n917_1 I2=$false I3=$false O=$abc$51270$n915
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=KEYBOARD.report[3][4] I1=KEYBOARD.report[3][5] I2=KEYBOARD.report[3][6] I3=KEYBOARD.report[3][7] O=$abc$51270$n916
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=KEYBOARD.report[3][0] I1=KEYBOARD.report[3][1] I2=KEYBOARD.report[3][2] I3=KEYBOARD.report[3][3] O=$abc$51270$n917_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$51270$n919 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$51270$n918
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51270$n911 I1=$abc$51270$n896_1 I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$abc$51270$n919
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$51270$n921_1 I1=$abc$51270$n922 I2=$false I3=$false O=$abc$51270$n920
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=KEYBOARD.report[4][4] I1=KEYBOARD.report[4][5] I2=KEYBOARD.report[4][6] I3=KEYBOARD.report[4][7] O=$abc$51270$n921_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=KEYBOARD.report[4][0] I1=KEYBOARD.report[4][1] I2=KEYBOARD.report[4][2] I3=KEYBOARD.report[4][3] O=$abc$51270$n922
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$51270$n924 I1=$abc$51270$n930 I2=$abc$51270$n27 I3=$false O=$abc$51270$n923
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11000101
.gate SB_LUT4 I0=$abc$51270$n926 I1=$abc$51270$n925 I2=$false I3=$false O=$abc$51270$n924
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51270$n920 I1=$abc$51270$n915 I2=$abc$51270$n900 I3=$false O=$abc$51270$n925
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$51270$n927 I1=$abc$51270$n928 I2=$false I3=$false O=$abc$51270$n926
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=KEYBOARD.report[5][4] I1=KEYBOARD.report[5][5] I2=KEYBOARD.report[5][6] I3=KEYBOARD.report[5][7] O=$abc$51270$n927
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=KEYBOARD.report[5][0] I1=KEYBOARD.report[5][1] I2=KEYBOARD.report[5][2] I3=KEYBOARD.report[5][3] O=$abc$51270$n928
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$51270$n931 I1=$abc$51270$n932 I2=$abc$51270$n933 I3=$abc$51270$n934_1 O=$abc$51270$n930
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.report[5][3] I2=KEYBOARD.kbd_code_hid[7] I3=KEYBOARD.report[5][7] O=$abc$51270$n931
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.report[5][0] I2=KEYBOARD.kbd_code_hid[6] I3=KEYBOARD.report[5][6] O=$abc$51270$n932
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.report[5][4] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.report[5][5] O=$abc$51270$n933
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.report[5][1] I2=KEYBOARD.kbd_code_hid[2] I3=KEYBOARD.report[5][2] O=$abc$51270$n934_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=$abc$51270$n936 I1=$abc$51270$n938 I2=$abc$51270$n940 I3=$abc$51270$n942 O=$abc$51270$n935
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$51270$n27 I1=$abc$51270$n937_1 I2=$abc$51270$n904 I3=$false O=$abc$51270$n936
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$51270$n902 I1=$abc$51270$n1418 I2=$false I3=$false O=$abc$51270$n937_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51270$n27 I1=$abc$51270$n1418 I2=$abc$51270$n907 I3=$false O=$abc$51270$n938
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$51270$n27 I1=I2C.FLT_SCL.RESET I2=$abc$51270$n915 I3=$false O=$abc$51270$n940
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$51270$n1418 I1=$abc$51270$n902 I2=$false I3=$false O=$abc$51270$n942
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51270$n947 I1=$abc$51270$n948 I2=$abc$51270$n949 I3=$abc$51270$n950_1 O=$abc$51270$n946
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.report[6][3] I2=KEYBOARD.kbd_code_hid[7] I3=KEYBOARD.report[6][7] O=$abc$51270$n947
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.report[6][4] I2=KEYBOARD.report[6][0] I3=KEYBOARD.kbd_code_hid[0] O=$abc$51270$n948
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.report[6][2] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.report[6][5] O=$abc$51270$n949
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.report[6][1] I2=KEYBOARD.kbd_code_hid[6] I3=KEYBOARD.report[6][6] O=$abc$51270$n950_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=$abc$51270$n953 I1=$abc$51270$n1418 I2=$abc$51270$n926 I3=$false O=$abc$51270$n952_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11110100
.gate SB_LUT4 I0=$abc$51270$n954 I1=$abc$51270$n955 I2=$false I3=$false O=$abc$51270$n953
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=KEYBOARD.report[6][4] I1=KEYBOARD.report[6][5] I2=KEYBOARD.report[6][6] I3=KEYBOARD.report[6][7] O=$abc$51270$n954
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=KEYBOARD.report[6][0] I1=KEYBOARD.report[6][1] I2=KEYBOARD.report[6][2] I3=KEYBOARD.report[6][3] O=$abc$51270$n955
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$51270$n896_1 I2=$false I3=$false O=$abc$51270$n1320
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51270$n940 I1=$abc$51270$n959 I2=$abc$51270$n958 I3=$false O=$abc$51270$n1325
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$51270$n936 I1=$abc$51270$n938 I2=$false I3=$false O=$abc$51270$n958
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$51270$n920 I1=$abc$51270$n899 I2=$abc$51270$n960 I3=$abc$51270$n968_1 O=$abc$51270$n959
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000101100000000
.gate SB_LUT4 I0=$abc$51270$n1461 I1=$abc$51270$n27 I2=$abc$51270$n937_1 I3=$false O=$abc$51270$n960
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.report[4][0] I2=KEYBOARD.kbd_code_hid[2] I3=KEYBOARD.report[4][2] O=$abc$51270$n963
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.report[4][5] I2=KEYBOARD.kbd_code_hid[7] I3=KEYBOARD.report[4][7] O=$abc$51270$n966
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=$abc$51270$n918 I1=$abc$51270$n942 I2=$false I3=$false O=$abc$51270$n968_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$51270$n970 I1=$abc$51270$n899 I2=$abc$51270$n958 I3=$abc$51270$n968_1 O=$abc$51270$n1354
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$51270$n971 I1=$abc$51270$n972 I2=$false I3=$false O=$abc$51270$n970
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51270$n911 I1=$abc$51270$n27 I2=$false I3=$false O=$abc$51270$n971
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51270$n977 I1=$abc$51270$n973 I2=$abc$51270$n976 I3=$abc$51270$n1418 O=$abc$51270$n972
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111111100000000
.gate SB_LUT4 I0=$abc$51270$n974_1 I1=KEYBOARD.kbd_code_hid[4] I2=KEYBOARD.report[3][4] I3=$abc$51270$n975 O=$abc$51270$n973
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000100000000
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.report[3][3] I2=$false I3=$false O=$abc$51270$n974_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0110
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.report[3][0] I2=KEYBOARD.kbd_code_hid[7] I3=KEYBOARD.report[3][7] O=$abc$51270$n975
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.report[3][5] I2=KEYBOARD.kbd_code_hid[6] I3=KEYBOARD.report[3][6] O=$abc$51270$n976
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.report[3][1] I2=KEYBOARD.kbd_code_hid[2] I3=KEYBOARD.report[3][2] O=$abc$51270$n977
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=$abc$51270$n979 I1=$abc$51270$n936 I2=$abc$51270$n985 I3=$false O=$abc$51270$n1365
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$51270$n980 I1=$abc$51270$n937_1 I2=$abc$51270$n971 I3=$false O=$abc$51270$n979
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$51270$n981 I1=$abc$51270$n982 I2=$abc$51270$n983 I3=$abc$51270$n984 O=$abc$51270$n980
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.report[2][3] I2=KEYBOARD.kbd_code_hid[7] I3=KEYBOARD.report[2][7] O=$abc$51270$n981
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.report[2][0] I2=KEYBOARD.kbd_code_hid[6] I3=KEYBOARD.report[2][6] O=$abc$51270$n982
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.report[2][4] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.report[2][5] O=$abc$51270$n983
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.report[2][1] I2=KEYBOARD.kbd_code_hid[2] I3=KEYBOARD.report[2][2] O=$abc$51270$n984
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=$abc$51270$n27 I1=$abc$51270$n900 I2=$abc$51270$n910 I3=$abc$51270$n968_1 O=$abc$51270$n985
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1011111100000000
.gate SB_LUT4 I0=$abc$51270$n995 I1=$abc$51270$n1495 I2=$abc$51270$n1418 I3=$abc$51270$n968_1 O=$abc$51270$n1374
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001111100000000
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.report[1][1] I2=KEYBOARD.kbd_code_hid[7] I3=KEYBOARD.report[1][7] O=$abc$51270$n994
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=$abc$51270$n27 I1=$abc$51270$n901 I2=$false I3=$false O=$abc$51270$n995
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51270$n902 I1=$abc$51270$n919 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$51270$n1381
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10001111
.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[8] I1=$abc$51270$n896_1 I2=KEYBOARD.init_ram_cnt[0] I3=I2C.FLT_SCL.RESET O=$abc$51270$n1387
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000011111111
.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[8] I1=$abc$51270$n896_1 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$51270$n1388
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01001111
.gate SB_LUT4 I0=$abc$51270$n896_1 I1=KEYBOARD.init_delay_cnt[0] I2=I2C.FLT_SCL.RESET I3=$false O=$abc$51270$n1393
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01001111
.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[8] I1=$abc$51270$n1001 I2=$abc$51270$n1320 I3=$false O=$abc$51270$n1398
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11010000
.gate SB_LUT4 I0=$abc$51270$n1618 I1=$abc$51270$n1620 I2=$abc$51270$n723 I3=$abc$51270$n1002 O=$abc$51270$n1001
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$51270$n1617 I1=$abc$51270$n1621 I2=$abc$51270$n1626 I3=$abc$51270$n700 O=$abc$51270$n1002
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$abc$51270$n29 I1=$abc$51270$n1418 I2=$false I3=$false O=$abc$51270$n1402
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51270$n1001 I1=$abc$51270$n1418 I2=$false I3=$false O=$abc$51270$n1404
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51270$n1495 I1=$abc$51270$n1006 I2=$abc$51270$n972 I3=$abc$51270$n1257 O=$abc$51270$n1415
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111111100000000
.gate SB_LUT4 I0=$abc$51270$n1461 I1=$abc$51270$n930 I2=$abc$51270$n946 I3=$abc$51270$n980 O=$abc$51270$n1006
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[8] I1=$abc$51270$n29 I2=$abc$51270$n1320 I3=$false O=$abc$51270$n1417
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=I2C_HID_DESC.last_rd_request I1=I2C.wr I2=I2C.FLT_SCL.RESET I3=$false O=$abc$51270$n1420
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01001111
.gate SB_LUT4 I0=$abc$51270$n2334 I1=$abc$51270$n1012 I2=$false I3=$false O=$abc$51270$n1429
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51270$n1011 I1=$abc$51270$n1632 I2=$false I3=$false O=$abc$51270$n2334
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=I2C.FLT_SDA.counter[2] I3=$false O=$abc$51270$n1011
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=$abc$51270$n2333 I1=$abc$51270$n1629 I2=I2C.FLT_SDA.out I3=I2C.SDAF O=$abc$51270$n1012
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100010000
.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=$false I3=$false O=$abc$51270$n2333
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1001
.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=I2C.SDAF I2=$abc$51270$n1011 I3=$false O=$abc$51270$n1430
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01101111
.gate SB_LUT4 I0=$abc$51270$n2335 I1=$abc$51270$n1017 I2=$abc$51270$n2336 I3=$abc$51270$n1636 O=$abc$51270$n1439
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$abc$51270$n1633 O=$abc$51270$n2335
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111100000001
.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.SCLF I2=$false I3=$false O=$abc$51270$n1017
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1001
.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=$false I3=$false O=$abc$51270$n2336
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1001
.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$abc$51270$n1017 O=$abc$51270$n1440
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111011111111
.gate SB_LUT4 I0=$abc$51270$n2006 I1=I2C.byte_counter[0] I2=$false I3=$false O=$abc$51270$n1493
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51270$n2006 I1=I2C.byte_counter[1] I2=$false I3=$false O=$abc$51270$n1494
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51270$n2006 I1=I2C.byte_counter[2] I2=$false I3=$false O=$abc$51270$n1496
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51270$n2006 I1=I2C.byte_counter[3] I2=$false I3=$false O=$abc$51270$n1497
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51270$n2006 I1=I2C.byte_counter[4] I2=$false I3=$false O=$abc$51270$n1499
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51270$n2006 I1=I2C.byte_counter[5] I2=$false I3=$false O=$abc$51270$n1500
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51270$n2006 I1=I2C.byte_counter[6] I2=$false I3=$false O=$abc$51270$n1502
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51270$n2006 I1=I2C.byte_counter[7] I2=$false I3=$false O=$abc$51270$n1503
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51270$n687 I1=$13\int_tmr[14:0][0] I2=$false I3=$false O=$10\int_tmr[14:0][0]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51270$n687 I1=int_tmr[1] I2=$false I3=$false O=$10\int_tmr[14:0][1]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$51270$n687 I1=$13\int_tmr[14:0][2] I2=$false I3=$false O=$10\int_tmr[14:0][2]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51270$n687 I1=$13\int_tmr[14:0][3] I2=$false I3=$false O=$10\int_tmr[14:0][3]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51270$n687 I1=$13\int_tmr[14:0][4] I2=$false I3=$false O=$10\int_tmr[14:0][4]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51270$n687 I1=$13\int_tmr[14:0][5] I2=$false I3=$false O=$10\int_tmr[14:0][5]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51270$n687 I1=$13\int_tmr[14:0][6] I2=$false I3=$false O=$10\int_tmr[14:0][6]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51270$n687 I1=$13\int_tmr[14:0][7] I2=$false I3=$false O=$10\int_tmr[14:0][7]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51270$n687 I1=$13\int_tmr[14:0][8] I2=$false I3=$false O=$10\int_tmr[14:0][8]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51270$n687 I1=$13\int_tmr[14:0][9] I2=$false I3=$false O=$10\int_tmr[14:0][9]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51270$n687 I1=$13\int_tmr[14:0][10] I2=$false I3=$false O=$10\int_tmr[14:0][10]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51270$n687 I1=$13\int_tmr[14:0][11] I2=$false I3=$false O=$10\int_tmr[14:0][11]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51270$n687 I1=$13\int_tmr[14:0][12] I2=$false I3=$false O=$10\int_tmr[14:0][12]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51270$n687 I1=$13\int_tmr[14:0][13] I2=$false I3=$false O=$10\int_tmr[14:0][13]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51270$n687 I1=$13\int_tmr[14:0][14] I2=$false I3=$false O=$10\int_tmr[14:0][14]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$51270$n1044 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][0]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=REPORT_DATA.r_data[0] I1=I2C_HID_DESC.VAL[0] I2=I2C_OUT_DESC_MASK[0] I3=$false O=$abc$51270$n1044
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=I2C.received_byte[1] I1=$abc$51270$n1046_1 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][1]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=REPORT_DATA.r_data[1] I1=I2C_HID_DESC.VAL[1] I2=I2C_OUT_DESC_MASK[1] I3=$false O=$abc$51270$n1046_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=I2C.received_byte[2] I1=$abc$51270$n1048 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][2]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=REPORT_DATA.r_data[2] I1=I2C_HID_DESC.VAL[2] I2=I2C_OUT_DESC_MASK[2] I3=$false O=$abc$51270$n1048
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=I2C.received_byte[3] I1=$abc$51270$n1050 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][3]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=REPORT_DATA.r_data[3] I1=I2C_TX_DESC[3] I2=I2C_OUT_DESC_MASK[3] I3=$false O=$abc$51270$n1050
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=I2C.received_byte[4] I1=$abc$51270$n1052 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][4]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=REPORT_DATA.r_data[4] I1=I2C_TX_DESC[4] I2=I2C_OUT_DESC_MASK[4] I3=$false O=$abc$51270$n1052
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=I2C.received_byte[5] I1=$abc$51270$n1054 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][5]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=REPORT_DATA.r_data[5] I1=I2C_TX_DESC[5] I2=I2C_OUT_DESC_MASK[5] I3=$false O=$abc$51270$n1054
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=I2C.received_byte[6] I1=$abc$51270$n1056 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][6]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=REPORT_DATA.r_data[6] I1=I2C_TX_DESC[6] I2=I2C_OUT_DESC_MASK[6] I3=$false O=$abc$51270$n1056
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=I2C.received_byte[7] I1=$abc$51270$n1058 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][7]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=REPORT_DATA.r_data[7] I1=I2C_TX_DESC[7] I2=I2C_OUT_DESC_MASK[7] I3=$false O=$abc$51270$n1058
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=$abc$51270$n1775 I1=ring_rd[0] I2=$abc$51270$n740 I3=$false O=$10\ring_rd[3:0][0]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$51270$n740 I1=ring_rd[0] I2=ring_rd[1] I3=$false O=$10\ring_rd[3:0][1]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10110100
.gate SB_LUT4 I0=$abc$51270$n1781 I1=ring_rd[2] I2=$abc$51270$n740 I3=$false O=$10\ring_rd[3:0][2]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$51270$n1784 I1=ring_rd[3] I2=$abc$51270$n740 I3=$false O=$10\ring_rd[3:0][3]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=report_data_radr[1] I1=$10\ring_rd[3:0][0] I2=$abc$51270$n21 I3=$false O=$0\report_data_radr[7:0][1]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11000101
.gate SB_LUT4 I0=$abc$51270$n1788 I1=$10\ring_rd[3:0][1] I2=$abc$51270$n21 I3=$false O=$0\report_data_radr[7:0][2]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$51270$n1791 I1=$10\ring_rd[3:0][0] I2=$10\ring_rd[3:0][2] I3=$abc$51270$n21 O=$0\report_data_radr[7:0][3]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0011110010101010
.gate SB_LUT4 I0=$abc$51270$n1794 I1=$abc$51270$n2413 I2=$abc$51270$n2411 I3=$abc$51270$n21 O=$0\report_data_radr[7:0][4]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0011110010101010
.gate SB_LUT4 I0=$10\ring_rd[3:0][0] I1=$10\ring_rd[3:0][2] I2=$false I3=$false O=$abc$51270$n2413
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$10\ring_rd[3:0][1] I1=$10\ring_rd[3:0][3] I2=$false I3=$false O=$abc$51270$n2411
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0110
.gate SB_LUT4 I0=$abc$51270$n1797 I1=$abc$51270$n1796 I2=$abc$51270$n21 I3=$false O=$0\report_data_radr[7:0][5]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$51270$n1800 I1=$abc$51270$n1799 I2=$abc$51270$n21 I3=$false O=$0\report_data_radr[7:0][6]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$51270$n1803 I1=$abc$51270$n1802 I2=$abc$51270$n21 I3=$false O=$0\report_data_radr[7:0][7]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$2\uart_double_ff[0:0] I1=$abc$51270$n847 I2=$abc$51270$n1073 I3=$abc$51270$n739 O=$0\i2c_input_data_type[3:0][0]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000111101000100
.gate SB_LUT4 I0=I2C.received_byte[4] I1=$abc$51270$n1076 I2=$abc$51270$n1074 I3=I2C.received_byte[0] O=$abc$51270$n1073
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000101110111011
.gate SB_LUT4 I0=$abc$51270$n1075 I1=$abc$51270$n21 I2=$abc$51270$n2421 I3=$false O=$abc$51270$n1074
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$51270$n823 I1=$abc$51270$n822 I2=I2C.received_byte[0] I3=$abc$51270$n2428 O=$abc$51270$n1075
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111111100000000
.gate SB_LUT4 I0=I2C.received_byte[7] I1=I2C.received_byte[6] I2=$abc$51270$n1077 I3=$abc$51270$n821 O=$abc$51270$n1076
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$abc$51270$n809 I3=$false O=$abc$51270$n1077
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$2\uart_double_ff[0:0] I1=$abc$51270$n1079 I2=$abc$51270$n1080 I3=$abc$51270$n739 O=$0\i2c_input_data_type[3:0][1]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000111101000100
.gate SB_LUT4 I0=$abc$51270$n848 I1=i2c_input_data_type[1] I2=$false I3=$false O=$abc$51270$n1079
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C.received_byte[1] I1=$abc$51270$n1074 I2=$abc$51270$n1081 I3=$false O=$abc$51270$n1080
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000111
.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[5] I2=$abc$51270$n1076 I3=$false O=$abc$51270$n1081
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01100000
.gate SB_LUT4 I0=$2\uart_double_ff[0:0] I1=$abc$51270$n860 I2=$abc$51270$n1083 I3=$abc$51270$n739 O=$0\i2c_input_data_type[3:0][2]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000111101000100
.gate SB_LUT4 I0=$abc$51270$n1081 I1=$abc$51270$n1073 I2=I2C.received_byte[2] I3=$abc$51270$n1074 O=$abc$51270$n1083
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000111101000100
.gate SB_LUT4 I0=I2C.received_byte[3] I1=$abc$51270$n1074 I2=$abc$51270$n739 I3=$abc$51270$n1086 O=$0\i2c_input_data_type[3:0][3]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111110000000
.gate SB_LUT4 I0=$2\uart_double_ff[0:0] I1=$abc$51270$n739 I2=$abc$51270$n848 I3=i2c_input_data_type[3] O=$abc$51270$n1086
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$51270$n741 I1=$abc$51270$n1088 I2=ring_wr[0] I3=$abc$51270$n1865 O=$2\ring_wr[3:0][0]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111011101000000
.gate SB_LUT4 I0=$abc$51270$n1454 I1=ring_rd[3] I2=$abc$51270$n1874 I3=$abc$51270$n1089 O=$abc$51270$n1088
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000100000000
.gate SB_LUT4 I0=ring_rd[0] I1=$abc$51270$n1865 I2=ring_rd[2] I3=$abc$51270$n1871 O=$abc$51270$n1089
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=$abc$51270$n1091 I1=ring_wr[0] I2=ring_wr[1] I3=$false O=$2\ring_wr[3:0][1]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10110100
.gate SB_LUT4 I0=$abc$51270$n741 I1=ring_wr[0] I2=$abc$51270$n1088 I3=$false O=$abc$51270$n1091
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01100000
.gate SB_LUT4 I0=$abc$51270$n1871 I1=ring_wr[2] I2=$abc$51270$n1091 I3=$false O=$2\ring_wr[3:0][2]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$51270$n1874 I1=ring_wr[3] I2=$abc$51270$n1091 I3=$false O=$2\ring_wr[3:0][3]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$51270$n1100 I1=$abc$51270$n1095 I2=$abc$51270$n1098 I3=$abc$51270$n1102 O=$2\I2C_OUT_DESC_MASK[7:0][7]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001010000000000
.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[0] I1=$abc$51270$n860 I2=$abc$51270$n847 I3=$abc$51270$n1096 O=$abc$51270$n1095
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100001101010101
.gate SB_LUT4 I0=i2c_input_data_type[0] I1=i2c_input_data_type[1] I2=i2c_input_data_type[3] I3=$abc$51270$n848 O=$abc$51270$n1096
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000111000000000
.gate SB_LUT4 I0=$abc$51270$n1079 I1=$abc$51270$n1099 I2=$abc$51270$n860 I3=$abc$51270$n1096 O=$abc$51270$n1098
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100010111001100
.gate SB_LUT4 I0=$abc$51270$n829 I1=$abc$51270$n848 I2=I2C_OUTPUT_TYPE[1] I3=$false O=$abc$51270$n1099
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000111
.gate SB_LUT4 I0=i2c_input_data_type[0] I1=$abc$51270$n860 I2=$abc$51270$n1096 I3=I2C_OUTPUT_TYPE[2] O=$abc$51270$n1100
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000111100000000
.gate SB_LUT4 I0=$abc$51270$n690 I1=I2C.is_read I2=$false I3=$false O=$abc$51270$n1102
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$51270$n1095 I1=$abc$51270$n1102 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][0]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0111
.gate SB_LUT4 I0=$abc$51270$n1098 I1=$abc$51270$n1102 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][1]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0111
.gate SB_LUT4 I0=$abc$51270$n1100 I1=$abc$51270$n1102 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][2]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51270$n796_1 I1=$abc$51270$n1857 I2=$abc$51270$n690 I3=$false O=$2\wr_cnt[3:0][0]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11110100
.gate SB_LUT4 I0=$abc$51270$n690 I1=$abc$51270$n796_1 I2=wr_cnt[0] I3=wr_cnt[1] O=$2\wr_cnt[3:0][1]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100010000
.gate SB_LUT4 I0=$abc$51270$n690 I1=$abc$51270$n796_1 I2=$abc$51270$n1860 I3=$false O=$2\wr_cnt[3:0][2]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$51270$n690 I1=$abc$51270$n796_1 I2=$abc$51270$n1862 I3=$false O=$2\wr_cnt[3:0][3]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$51270$n1120 I1=$abc$51270$n1125 I2=$abc$51270$n1111 I3=$abc$51270$n1129 O=$3\report_data_wr[7:0][0]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011100000000
.gate SB_LUT4 I0=$abc$51270$n1112_1 I1=$abc$51270$n1116 I2=$abc$51270$n1119_1 I3=$abc$51270$n1122 O=$abc$51270$n1111
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$abc$51270$n1115 I1=KEYBOARD.report[2][0] I2=$abc$51270$n1113 I3=KEYBOARD.report[5][0] O=$abc$51270$n1112_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=$abc$51270$n1114 I1=$abc$51270$n260 I2=$false I3=$false O=$abc$51270$n1113
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=$abc$51270$n253 I3=$false O=$abc$51270$n1114
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10010000
.gate SB_LUT4 I0=$abc$51270$n253 I1=$abc$51270$n260 I2=wr_cnt[0] I3=wr_cnt[1] O=$abc$51270$n1115
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100010000
.gate SB_LUT4 I0=$abc$51270$n1118 I1=KEYBOARD.report[0][0] I2=$abc$51270$n1117_1 I3=KEYBOARD.report[1][0] O=$abc$51270$n1116
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=$abc$51270$n260 I1=$abc$51270$n1114 I2=$false I3=$false O=$abc$51270$n1117_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51270$n253 I1=$abc$51270$n260 I2=wr_cnt[0] I3=wr_cnt[1] O=$abc$51270$n1118
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001100010000001
.gate SB_LUT4 I0=KEYBOARD.report[4][0] I1=$abc$51270$n1121 I2=$abc$51270$n1120 I3=$false O=$abc$51270$n1119_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000111
.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[2] I2=wr_cnt[3] I3=wr_cnt[1] O=$abc$51270$n1120
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$abc$51270$n253 I1=wr_cnt[0] I2=wr_cnt[1] I3=$abc$51270$n260 O=$abc$51270$n1121
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000100000000
.gate SB_LUT4 I0=KEYBOARD.report[6][0] I1=$abc$51270$n1124 I2=$abc$51270$n1123 I3=KEYBOARD.report[3][0] O=$abc$51270$n1122
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=$abc$51270$n260 I1=wr_cnt[0] I2=wr_cnt[1] I3=$abc$51270$n253 O=$abc$51270$n1123
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001010000000000
.gate SB_LUT4 I0=$abc$51270$n253 I1=wr_cnt[0] I2=wr_cnt[1] I3=$abc$51270$n260 O=$abc$51270$n1124
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001010000000000
.gate SB_LUT4 I0=KEYBOARD.report[0][0] I1=KEYBOARD.report[1][0] I2=$abc$51270$n1128 I3=$false O=$abc$51270$n1125
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=$abc$51270$n269 I1=wr_cnt[1] I2=$false I3=$false O=$abc$51270$n1127
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=wr_cnt[2] I1=$abc$51270$n269 I2=wr_cnt[1] I3=$false O=$abc$51270$n1128
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010100
.gate SB_LUT4 I0=$abc$51270$n690 I1=$abc$51270$n1130 I2=$false I3=$false O=$abc$51270$n1129
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=wr_cnt[2] I1=wr_cnt[3] I2=wr_cnt[0] I3=$false O=$abc$51270$n1130
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=KEYBOARD.report[0][1] I1=$abc$51270$n1118 I2=$abc$51270$n1133 I3=$abc$51270$n1135 O=$abc$51270$n1132
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111000000000000
.gate SB_LUT4 I0=KEYBOARD.report[3][1] I1=$abc$51270$n1123 I2=$abc$51270$n1120 I3=$abc$51270$n1134 O=$abc$51270$n1133
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011100000000
.gate SB_LUT4 I0=KEYBOARD.report[4][1] I1=$abc$51270$n1121 I2=$abc$51270$n1117_1 I3=KEYBOARD.report[1][1] O=$abc$51270$n1134
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=KEYBOARD.report[2][1] I1=$abc$51270$n1115 I2=$abc$51270$n1136 I3=$false O=$abc$51270$n1135
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=KEYBOARD.report[6][1] I1=$abc$51270$n1124 I2=$abc$51270$n1113 I3=KEYBOARD.report[5][1] O=$abc$51270$n1136
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=$abc$51270$n1120 I1=$abc$51270$n1141 I2=$abc$51270$n1144 I3=$abc$51270$n1129 O=$3\report_data_wr[7:0][2]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011100000000
.gate SB_LUT4 I0=KEYBOARD.report[0][2] I1=KEYBOARD.report[1][2] I2=$abc$51270$n1128 I3=$false O=$abc$51270$n1141
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=$abc$51270$n1145 I1=$abc$51270$n1146 I2=$abc$51270$n1147 I3=$abc$51270$n1148 O=$abc$51270$n1144
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$abc$51270$n1115 I1=KEYBOARD.report[2][2] I2=$abc$51270$n1113 I3=KEYBOARD.report[5][2] O=$abc$51270$n1145
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=KEYBOARD.report[1][2] I1=$abc$51270$n1117_1 I2=$abc$51270$n1120 I3=$false O=$abc$51270$n1146
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000111
.gate SB_LUT4 I0=KEYBOARD.report[6][2] I1=$abc$51270$n1124 I2=$abc$51270$n1123 I3=KEYBOARD.report[3][2] O=$abc$51270$n1147
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=$abc$51270$n1118 I1=KEYBOARD.report[0][2] I2=$abc$51270$n1121 I3=KEYBOARD.report[4][2] O=$abc$51270$n1148
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=$abc$51270$n1130 I1=$abc$51270$n1155 I2=$abc$51270$n1150 I3=$abc$51270$n690 O=$3\report_data_wr[7:0][3]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111100000001
.gate SB_LUT4 I0=KEYBOARD.report[0][3] I1=$abc$51270$n1118 I2=$abc$51270$n1120 I3=$abc$51270$n1151 O=$abc$51270$n1150
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011100000000
.gate SB_LUT4 I0=KEYBOARD.report[2][3] I1=$abc$51270$n1115 I2=$abc$51270$n1152_1 I3=$abc$51270$n1154 O=$abc$51270$n1151
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111000000000000
.gate SB_LUT4 I0=KEYBOARD.report[6][3] I1=$abc$51270$n1124 I2=$abc$51270$n1153 I3=$false O=$abc$51270$n1152_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=$abc$51270$n1123 I1=KEYBOARD.report[3][3] I2=$abc$51270$n1113 I3=KEYBOARD.report[5][3] O=$abc$51270$n1153
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=KEYBOARD.report[4][3] I1=$abc$51270$n1121 I2=$abc$51270$n1117_1 I3=KEYBOARD.report[1][3] O=$abc$51270$n1154
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=KEYBOARD.report[0][3] I1=KEYBOARD.report[1][3] I2=$abc$51270$n1128 I3=$abc$51270$n1120 O=$abc$51270$n1155
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0101001100000000
.gate SB_LUT4 I0=$abc$51270$n1120 I1=$abc$51270$n1159_1 I2=$abc$51270$n1162 I3=$abc$51270$n1129 O=$3\report_data_wr[7:0][4]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011100000000
.gate SB_LUT4 I0=KEYBOARD.report[0][4] I1=KEYBOARD.report[1][4] I2=$abc$51270$n1128 I3=$false O=$abc$51270$n1159_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=KEYBOARD.report[4][4] I1=$abc$51270$n1121 I2=$abc$51270$n1120 I3=$abc$51270$n1163_1 O=$abc$51270$n1162
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011100000000
.gate SB_LUT4 I0=$abc$51270$n1165 I1=$abc$51270$n1166 I2=$abc$51270$n1164 I3=$abc$51270$n1167 O=$abc$51270$n1163_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=KEYBOARD.report[1][4] I1=KEYBOARD.report[5][4] I2=$abc$51270$n260 I3=$abc$51270$n1114 O=$abc$51270$n1164
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0011010111111111
.gate SB_LUT4 I0=$abc$51270$n1124 I1=KEYBOARD.report[6][4] I2=$false I3=$false O=$abc$51270$n1165
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51270$n1123 I1=KEYBOARD.report[3][4] I2=$false I3=$false O=$abc$51270$n1166
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51270$n1118 I1=KEYBOARD.report[0][4] I2=$abc$51270$n1115 I3=KEYBOARD.report[2][4] O=$abc$51270$n1167
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=$abc$51270$n1118 I1=KEYBOARD.report[0][5] I2=$abc$51270$n1172 I3=$abc$51270$n1169 O=$3\report_data_wr[7:0][5]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000111100000000
.gate SB_LUT4 I0=$abc$51270$n1120 I1=$abc$51270$n1170 I2=$abc$51270$n1129 I3=$false O=$abc$51270$n1169
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=KEYBOARD.report[0][5] I1=KEYBOARD.report[1][5] I2=$abc$51270$n1128 I3=$false O=$abc$51270$n1170
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=KEYBOARD.report[6][5] I1=$abc$51270$n1124 I2=$abc$51270$n1173 I3=$abc$51270$n1175 O=$abc$51270$n1172
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111000000000000
.gate SB_LUT4 I0=KEYBOARD.report[2][5] I1=$abc$51270$n1115 I2=$abc$51270$n1174 I3=$false O=$abc$51270$n1173
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=KEYBOARD.report[1][5] I1=KEYBOARD.report[5][5] I2=$abc$51270$n260 I3=$abc$51270$n1114 O=$abc$51270$n1174
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0011010111111111
.gate SB_LUT4 I0=KEYBOARD.report[3][5] I1=$abc$51270$n1123 I2=$abc$51270$n1176 I3=$abc$51270$n1120 O=$abc$51270$n1175
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000111
.gate SB_LUT4 I0=$abc$51270$n1121 I1=KEYBOARD.report[4][5] I2=$false I3=$false O=$abc$51270$n1176
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51270$n1117_1 I1=KEYBOARD.report[1][6] I2=$false I3=$false O=$abc$51270$n1180
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51270$n1115 I1=KEYBOARD.report[2][6] I2=$abc$51270$n1113 I3=KEYBOARD.report[5][6] O=$abc$51270$n1181
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=KEYBOARD.report[6][6] I1=$abc$51270$n1124 I2=$abc$51270$n1123 I3=KEYBOARD.report[3][6] O=$abc$51270$n1183
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=KEYBOARD.report[1][6] I1=KEYBOARD.report[5][6] I2=wr_cnt[2] I3=$abc$51270$n1127 O=$abc$51270$n1185
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0011010111111111
.gate SB_LUT4 I0=$abc$51270$n729 I1=$abc$51270$n690 I2=$false I3=$false O=$3\report_wr_en[0:0]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51270$n758 I1=$6\report_data_wadr[7:0][0] I2=$abc$51270$n1197 I3=$abc$51270$n1198 O=$0\report_data_wadr[7:0][0]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000111110001000
.gate SB_LUT4 I0=init_ram_cnt[7] I1=init_ram_cnt[5] I2=$abc$51270$n730 I3=$abc$51270$n2423 O=$abc$51270$n1197
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1110111100000000
.gate SB_LUT4 I0=$abc$51270$n22 I1=$abc$51270$n1916 I2=$false I3=$false O=$abc$51270$n1198
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=init_ram_cnt[1] I1=$abc$51270$n1197 I2=$abc$51270$n22 I3=$abc$51270$n1200_1 O=$0\report_data_wadr[7:0][1]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000111111111
.gate SB_LUT4 I0=$abc$51270$n1201 I1=$2\ring_wr[3:0][0] I2=$abc$51270$n690 I3=$abc$51270$n22 O=$abc$51270$n1200_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0011010111111111
.gate SB_LUT4 I0=wr_cnt[1] I1=ring_wr[0] I2=$false I3=$false O=$abc$51270$n1201
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0110
.gate SB_LUT4 I0=$abc$51270$n1203 I1=$abc$51270$n1205_1 I2=$false I3=$false O=$0\report_data_wadr[7:0][2]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$51270$n1204 I1=$2\ring_wr[3:0][1] I2=$abc$51270$n690 I3=$abc$51270$n22 O=$abc$51270$n1203
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0011010100000000
.gate SB_LUT4 I0=wr_cnt[1] I1=ring_wr[0] I2=wr_cnt[2] I3=ring_wr[1] O=$abc$51270$n1204
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000011101111000
.gate SB_LUT4 I0=$abc$51270$n1197 I1=init_ram_cnt[1] I2=init_ram_cnt[2] I3=$abc$51270$n22 O=$abc$51270$n1205_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000010111110
.gate SB_LUT4 I0=$abc$51270$n1197 I1=$abc$51270$n1922 I2=$abc$51270$n1207 I3=$abc$51270$n22 O=$0\report_data_wadr[7:0][3]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000111101000100
.gate SB_LUT4 I0=$abc$51270$n690 I1=$2\ring_wr[3:0][0] I2=$2\ring_wr[3:0][2] I3=$abc$51270$n1208 O=$abc$51270$n1207
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000011010111
.gate SB_LUT4 I0=$abc$51270$n690 I1=$abc$51270$n1209 I2=$abc$51270$n1211 I3=ring_wr[0] O=$abc$51270$n1208
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001010001000001
.gate SB_LUT4 I0=ring_wr[1] I1=wr_cnt[2] I2=$abc$51270$n1210_1 I3=$false O=$abc$51270$n1209
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000111
.gate SB_LUT4 I0=wr_cnt[2] I1=ring_wr[1] I2=ring_wr[0] I3=wr_cnt[1] O=$abc$51270$n1210_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0110000000000000
.gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[2] I2=$false I3=$false O=$abc$51270$n1211
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0110
.gate SB_LUT4 I0=$abc$51270$n1197 I1=$abc$51270$n1924 I2=$abc$51270$n1213 I3=$abc$51270$n22 O=$0\report_data_wadr[7:0][4]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000111101000100
.gate SB_LUT4 I0=$abc$51270$n1216 I1=$abc$51270$n2417 I2=$abc$51270$n2419 I3=$abc$51270$n690 O=$abc$51270$n1213
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100001110101010
.gate SB_LUT4 I0=$2\ring_wr[3:0][2] I1=$2\ring_wr[3:0][0] I2=$false I3=$false O=$abc$51270$n2419
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$2\ring_wr[3:0][1] I1=$2\ring_wr[3:0][3] I2=$false I3=$false O=$abc$51270$n2417
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0110
.gate SB_LUT4 I0=$abc$51270$n2416 I1=$abc$51270$n2414 I2=$false I3=$false O=$abc$51270$n1216
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1001
.gate SB_LUT4 I0=$abc$51270$n1210_1 I1=$abc$51270$n1209 I2=ring_wr[0] I3=$abc$51270$n1211 O=$abc$51270$n2416
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1110101000110000
.gate SB_LUT4 I0=$abc$51270$n1219 I1=$abc$51270$n1220 I2=ring_wr[1] I3=ring_wr[3] O=$abc$51270$n2414
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1110101100010100
.gate SB_LUT4 I0=$abc$51270$n1211 I1=wr_cnt[2] I2=ring_wr[1] I3=$false O=$abc$51270$n1219
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[2] I2=$false I3=$false O=$abc$51270$n1220
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51270$n1197 I1=$abc$51270$n1926 I2=$abc$51270$n22 I3=$abc$51270$n1222 O=$0\report_data_wadr[7:0][5]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111010000000000
.gate SB_LUT4 I0=$6\report_data_wadr[7:0][5] I1=$abc$51270$n1906 I2=$abc$51270$n690 I3=$abc$51270$n22 O=$abc$51270$n1222
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100101011111111
.gate SB_LUT4 I0=$abc$51270$n1197 I1=$abc$51270$n1928 I2=$abc$51270$n22 I3=$abc$51270$n1224 O=$0\report_data_wadr[7:0][6]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111010000000000
.gate SB_LUT4 I0=$6\report_data_wadr[7:0][6] I1=$abc$51270$n1909 I2=$abc$51270$n690 I3=$abc$51270$n22 O=$abc$51270$n1224
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100101011111111
.gate SB_LUT4 I0=$abc$51270$n1197 I1=$abc$51270$n1930 I2=$abc$51270$n22 I3=$abc$51270$n1226 O=$0\report_data_wadr[7:0][7]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111010000000000
.gate SB_LUT4 I0=$6\report_data_wadr[7:0][7] I1=$abc$51270$n1912 I2=$abc$51270$n690 I3=$abc$51270$n22 O=$abc$51270$n1226
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100101011111111
.gate SB_LUT4 I0=$abc$51270$n846 I1=$abc$51270$n1228_1 I2=$abc$51270$n861 I3=$abc$51270$n1940 O=$0\rststate[3:0][0]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111111100000000
.gate SB_LUT4 I0=i2c_input_data_type[3] I1=$abc$51270$n860 I2=$false I3=$false O=$abc$51270$n1228_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51270$n846 I1=$abc$51270$n1228_1 I2=$abc$51270$n861 I3=$abc$51270$n1942 O=$0\rststate[3:0][1]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111111100000000
.gate SB_LUT4 I0=$abc$51270$n846 I1=$abc$51270$n1228_1 I2=$abc$51270$n861 I3=$abc$51270$n1944 O=$0\rststate[3:0][2]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111111100000000
.gate SB_LUT4 I0=$abc$51270$n846 I1=$abc$51270$n1228_1 I2=$abc$51270$n861 I3=$abc$51270$n1946 O=$0\rststate[3:0][3]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111111100000000
.gate SB_LUT4 I0=$abc$51270$n2006 I1=I2C.i2c_bit_counter[0] I2=$false I3=$false O=$abc$51270$n2008
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51270$n2006 I1=I2C.i2c_bit_counter[1] I2=$false I3=$false O=$abc$51270$n2010
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51270$n2006 I1=I2C.i2c_bit_counter[2] I2=$false I3=$false O=$abc$51270$n2012
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C.i2c_bit_counter[3] I1=$abc$51270$n2006 I2=$false I3=$false O=$abc$51270$n2461
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51270$n1482 I1=$abc$51270$n869 I2=$abc$51270$n871_1 I3=$abc$51270$n1484 O=$abc$51270$n2022
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000011111111
.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$abc$51270$n16 I2=$false I3=$false O=$abc$51270$n1246
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$51270$n872 I1=$abc$51270$n2006 I2=I2C.SDA_DIR I3=$false O=$abc$51270$n1248
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$51270$n1253 I1=$abc$51270$n1254 I2=$false I3=$false O=$abc$51270$n1252
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$abc$51270$n694 I3=$false O=$abc$51270$n1253
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11101111
.gate SB_LUT4 I0=I2C.received_byte[1] I1=I2C.received_byte[7] I2=$abc$51270$n1255 I3=I2C.received_byte[6] O=$abc$51270$n1254
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[2] I2=I2C.received_byte[5] I3=I2C.received_byte[3] O=$abc$51270$n1255
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$51270$n1257_1 I1=$abc$51270$n1258_1 I2=$false I3=$false O=$abc$51270$n1256
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51270$n2006 I1=I2C.is_ack I2=$false I3=$false O=$abc$51270$n1257_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51270$n1246 I1=$abc$51270$n1178 I2=$false I3=$false O=$abc$51270$n1258_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51270$n1262 I1=$abc$51270$n1261 I2=$abc$51270$n869 I3=$abc$51270$n871_1 O=$abc$51270$n2024
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0101001100000000
.gate SB_LUT4 I0=$abc$51270$n1252 I1=$abc$51270$n1256 I2=$false I3=$false O=$abc$51270$n1261
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C.SDA_DIR I1=$abc$51270$n872 I2=I2C.FLT_SDA.out I3=$abc$51270$n1263 O=$abc$51270$n1262
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1101000000000000
.gate SB_LUT4 I0=$abc$51270$n1257_1 I1=I2C.FLT_SCL.out I2=$abc$51270$n16 I3=$abc$51270$n1178 O=$abc$51270$n1263
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$abc$51270$n1265 I1=$abc$51270$n869 I2=$false I3=$false O=$abc$51270$n2026
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=$abc$51270$n1253 I1=$abc$51270$n1256 I2=$abc$51270$n871_1 I3=I2C.received_byte[0] O=$abc$51270$n1265
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=$abc$51270$n1271 I1=$abc$51270$n305 I2=$abc$51270$n1267 I3=$abc$51270$n2008 O=$abc$51270$n2028
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000111110001000
.gate SB_LUT4 I0=$abc$51270$n1270 I1=$abc$51270$n1263 I2=$abc$51270$n1268 I3=$false O=$abc$51270$n1267
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11010000
.gate SB_LUT4 I0=$abc$51270$n873 I1=$abc$51270$n869 I2=$abc$51270$n1269 I3=$abc$51270$n871_1 O=$abc$51270$n1268
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111000000000
.gate SB_LUT4 I0=$abc$51270$n1257_1 I1=$abc$51270$n1258_1 I2=$false I3=$false O=$abc$51270$n1269
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51270$n1178 I1=$abc$51270$n1246 I2=$abc$51270$n869 I3=$false O=$abc$51270$n1270
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10110000
.gate SB_LUT4 I0=$abc$51270$n873 I1=$abc$51270$n869 I2=$abc$51270$n1270 I3=$abc$51270$n871_1 O=$abc$51270$n1271
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000111000000000
.gate SB_LUT4 I0=$abc$51270$n875 I1=$abc$51270$n1271 I2=$abc$51270$n1267 I3=$abc$51270$n2010 O=$abc$51270$n2030
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100111101000100
.gate SB_LUT4 I0=$abc$51270$n1271 I1=$abc$51270$n307 I2=$abc$51270$n1267 I3=$abc$51270$n2012 O=$abc$51270$n2032
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000111110001000
.gate SB_LUT4 I0=$abc$51270$n1281 I1=$abc$51270$n2173 I2=$abc$51270$n1493 I3=$abc$51270$n1280 O=$abc$51270$n2036
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1110111011110000
.gate SB_LUT4 I0=$abc$51270$n1263 I1=$abc$51270$n1256 I2=$abc$51270$n869 I3=$abc$51270$n871_1 O=$abc$51270$n1280
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1010110000000000
.gate SB_LUT4 I0=$abc$51270$n1282 I1=$abc$51270$n1502 I2=$false I3=$false O=$abc$51270$n1281
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51270$n1497 I1=$abc$51270$n1283 I2=I2C.byte_counter[0] I3=I2C.byte_counter[1] O=$abc$51270$n1282
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=I2C.byte_counter[2] I1=I2C.byte_counter[4] I2=I2C.byte_counter[5] I3=I2C.byte_counter[7] O=$abc$51270$n1283
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$abc$51270$n1494 I1=$abc$51270$n1285 I2=$abc$51270$n1280 I3=$false O=$abc$51270$n2038
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=$abc$51270$n1281 I1=$2\I2C_INPUT_LEN[7:0][1] I2=$false I3=$false O=$abc$51270$n1285
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][1]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1001
.gate SB_LUT4 I0=$abc$51270$n1281 I1=$abc$51270$n2175 I2=$abc$51270$n1496 I3=$abc$51270$n1280 O=$abc$51270$n2040
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1110111011110000
.gate SB_LUT4 I0=$abc$51270$n1281 I1=$abc$51270$n2176 I2=$abc$51270$n1497 I3=$abc$51270$n1280 O=$abc$51270$n2042
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1110111011110000
.gate SB_LUT4 I0=$abc$51270$n1281 I1=$abc$51270$n2177 I2=$abc$51270$n1499 I3=$abc$51270$n1280 O=$abc$51270$n2044
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1110111011110000
.gate SB_LUT4 I0=$abc$51270$n1281 I1=$abc$51270$n2178 I2=$abc$51270$n1500 I3=$abc$51270$n1280 O=$abc$51270$n2046
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1110111011110000
.gate SB_LUT4 I0=$abc$51270$n1281 I1=$abc$51270$n2179 I2=$abc$51270$n1502 I3=$abc$51270$n1280 O=$abc$51270$n2048
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1110111011110000
.gate SB_LUT4 I0=$abc$51270$n1281 I1=$abc$51270$n2180 I2=$abc$51270$n1503 I3=$abc$51270$n1280 O=$abc$51270$n2050
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1110111011110000
.gate SB_LUT4 I0=$abc$51270$n1270 I1=$abc$51270$n871_1 I2=$abc$51270$n1295 I3=$abc$51270$n1294 O=$abc$51270$n2052
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111100001011
.gate SB_LUT4 I0=$abc$51270$n1252 I1=$abc$51270$n1257_1 I2=$abc$51270$n1258_1 I3=$abc$51270$n868 O=$abc$51270$n1294
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001110000000000
.gate SB_LUT4 I0=I2C.i2c_state_machine I1=$abc$51270$n1246 I2=I2C.is_ack I3=$abc$51270$n2006 O=$abc$51270$n1295
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011100110011
.gate SB_LUT4 I0=$abc$51270$n872 I1=$abc$51270$n2006 I2=I2C.wr I3=$false O=$abc$51270$n1300
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$51270$n1300 I1=$abc$51270$n1263 I2=$abc$51270$n871_1 I3=$abc$51270$n1270 O=$abc$51270$n1301
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1110000000000000
.gate SB_LUT4 I0=$abc$51270$n1514 I1=$abc$51270$n888 I2=$false I3=$false O=$abc$51270$n2182
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1011
.gate SB_LUT4 I0=UART.tx_clk_counter[1] I1=UART.tx_clk_counter[0] I2=UART.tx_activity I3=$false O=$abc$51270$n2184
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10010000
.gate SB_LUT4 I0=$abc$51270$n1517 I1=$abc$51270$n888 I2=$false I3=$false O=$abc$51270$n2186
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1011
.gate SB_LUT4 I0=$abc$51270$n1518 I1=$abc$51270$n888 I2=$false I3=$false O=$abc$51270$n2188
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1011
.gate SB_LUT4 I0=$abc$51270$n891 I1=$abc$51270$n889 I2=$abc$51270$n893 I3=$false O=$abc$51270$n2189
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000111
.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[0] I1=$abc$51270$n2193 I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$abc$51270$n2194
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[1] I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=KEYBOARD.init_ram_cnt[8] O=$abc$51270$n2197
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0011110010101010
.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[2] I1=$abc$51270$n2199 I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$abc$51270$n2200
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[3] I1=$abc$51270$n30 I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$abc$51270$n2202
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=$abc$51270$n2193 I2=$abc$51270$n1001 I3=$false O=$abc$51270$n2206
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$51270$n1001 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$51270$n2208
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01111000
.gate SB_LUT4 I0=KEYBOARD.row_counter[2] I1=$abc$51270$n2199 I2=$abc$51270$n1001 I3=$false O=$abc$51270$n2210
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.row_counter[3] I1=$abc$51270$n30 I2=$abc$51270$n1001 I3=$false O=$abc$51270$n2212
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$51270$n1001 I1=KEYBOARD.ram_wr I2=$abc$51270$n29 I3=$abc$51270$n704 O=$abc$51270$n2216
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100111101000100
.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[1] I1=KBD_COLUMNS[1] I2=$abc$51270$n700 I3=$false O=$abc$51270$n2246
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[3] I1=KBD_COLUMNS[3] I2=$abc$51270$n700 I3=$false O=$abc$51270$n2252
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[4] I1=KBD_COLUMNS[4] I2=$abc$51270$n700 I3=$false O=$abc$51270$n2255
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[5] I1=KBD_COLUMNS[5] I2=$abc$51270$n700 I3=$false O=$abc$51270$n2258
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[6] I1=KBD_COLUMNS[6] I2=$abc$51270$n700 I3=$false O=$abc$51270$n2261
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[7] I1=KBD_COLUMNS[7] I2=$abc$51270$n700 I3=$false O=$abc$51270$n2264
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$51270$n2274 I1=$abc$51270$n2265 I2=$false I3=$false O=$abc$51270$n2267
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=$abc$51270$n697 I1=$abc$51270$n1447 I2=$false I3=$false O=$abc$51270$n2274
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1001
.gate SB_LUT4 I0=$abc$51270$n2274 I1=$abc$51270$n394 I2=$false I3=$false O=$abc$51270$n2268
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=$abc$51270$n2274 I1=$abc$51270$n383 I2=$false I3=$false O=$abc$51270$n2269
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=$abc$51270$n2274 I1=$abc$51270$n2206 I2=$false I3=$false O=$abc$51270$n2270
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=$abc$51270$n2274 I1=$abc$51270$n2208 I2=$false I3=$false O=$abc$51270$n2271
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=$abc$51270$n2274 I1=$abc$51270$n2210 I2=$false I3=$false O=$abc$51270$n2272
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=$abc$51270$n2274 I1=$abc$51270$n2212 I2=$false I3=$false O=$abc$51270$n2273
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=KEYBOARD.temp[0] I1=KBD_COLUMNS[0] I2=$abc$51270$n700 I3=$false O=$abc$51270$n2276
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[4] I1=$abc$51270$n700 I2=$abc$51270$n1333 I3=$abc$51270$n706 O=$abc$51270$n2277
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111111111000
.gate SB_LUT4 I0=$abc$51270$n396 I1=$abc$51270$n394 I2=KEYBOARD.temp[4] I3=$false O=$abc$51270$n1333
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11100000
.gate SB_LUT4 I0=$abc$51270$n698 I1=$abc$51270$n1335 I2=$false I3=$false O=$abc$51270$n2278
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=KEYBOARD.temp[2] I1=KEYBOARD.RAM.r_data[2] I2=$abc$51270$n701 I3=$abc$51270$n700 O=$abc$51270$n1335
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000110000001010
.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[7] I1=$abc$51270$n1337 I2=$abc$51270$n704 I3=$false O=$abc$51270$n2279
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10100011
.gate SB_LUT4 I0=KEYBOARD.temp[7] I1=KEYBOARD.RAM.r_data[7] I2=$abc$51270$n700 I3=$false O=$abc$51270$n1337
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=$abc$51270$n712 I1=$abc$51270$n1339 I2=$false I3=$false O=$abc$51270$n2280
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=KEYBOARD.temp[1] I1=KEYBOARD.RAM.r_data[1] I2=$abc$51270$n713 I3=$abc$51270$n700 O=$abc$51270$n1339
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000110000001010
.gate SB_LUT4 I0=$abc$51270$n721 I1=$abc$51270$n709 I2=$abc$51270$n708 I3=$false O=$abc$51270$n2281
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11110001
.gate SB_LUT4 I0=$abc$51270$n710 I1=$abc$51270$n1342 I2=$false I3=$false O=$abc$51270$n2282
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=KEYBOARD.temp[5] I1=KEYBOARD.RAM.r_data[5] I2=$abc$51270$n711 I3=$abc$51270$n700 O=$abc$51270$n1342
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000110000001010
.gate SB_LUT4 I0=$abc$51270$n1344 I1=$abc$51270$n697 I2=$abc$51270$n705 I3=$false O=$abc$51270$n2283
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=KEYBOARD.temp[6] I1=KEYBOARD.RAM.r_data[6] I2=$abc$51270$n700 I3=$false O=$abc$51270$n1344
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$51270$n27 I1=$abc$51270$n953 I2=$abc$51270$n924 I3=$abc$51270$n911 O=$abc$51270$n2285
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000011101111
.gate SB_LUT4 I0=KEYBOARD.report[0][0] I1=$abc$51270$n27 I2=$abc$51270$n912 I3=$false O=$abc$51270$n2289
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=KEYBOARD.report[0][1] I1=$abc$51270$n27 I2=$abc$51270$n1348 I3=$false O=$abc$51270$n2292
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$51270$n1348
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=KEYBOARD.report[0][2] I1=$abc$51270$n27 I2=$abc$51270$n1350 I3=$false O=$abc$51270$n2295
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.kbd_code_hid[1] I3=$false O=$abc$51270$n1350
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=KEYBOARD.report[0][3] I1=$abc$51270$n27 I2=$abc$51270$n1352 I3=$false O=$abc$51270$n2298
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$51270$n1352
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=KEYBOARD.report[0][4] I1=$abc$51270$n27 I2=$abc$51270$n1354_1 I3=$false O=$abc$51270$n2301
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$51270$n1354_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=KEYBOARD.report[0][5] I1=$abc$51270$n27 I2=$abc$51270$n1356 I3=$false O=$abc$51270$n2304
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$51270$n1356
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=KEYBOARD.report[0][6] I1=$abc$51270$n27 I2=$abc$51270$n1358 I3=$false O=$abc$51270$n2307
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$51270$n1358
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=KEYBOARD.report[0][7] I1=$abc$51270$n27 I2=$abc$51270$n1360 I3=$false O=$abc$51270$n2310
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$51270$n1360
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$51270$n27 I1=KEYBOARD.kbd_code_hid[0] I2=$false I3=$false O=$abc$51270$n2311
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51270$n27 I1=KEYBOARD.kbd_code_hid[1] I2=$false I3=$false O=$abc$51270$n2312
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51270$n27 I1=KEYBOARD.kbd_code_hid[2] I2=$false I3=$false O=$abc$51270$n2313
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51270$n27 I1=KEYBOARD.kbd_code_hid[3] I2=$false I3=$false O=$abc$51270$n2314
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51270$n27 I1=KEYBOARD.kbd_code_hid[4] I2=$false I3=$false O=$abc$51270$n2315
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51270$n27 I1=KEYBOARD.kbd_code_hid[5] I2=$false I3=$false O=$abc$51270$n2316
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51270$n27 I1=KEYBOARD.kbd_code_hid[6] I2=$false I3=$false O=$abc$51270$n2317
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51270$n27 I1=KEYBOARD.kbd_code_hid[7] I2=$false I3=$false O=$abc$51270$n2318
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=I2C.byte_counter[0] I1=$abc$51270$n2324 I2=I2C_OUTPUT_TYPE[1] I3=I2C_OUTPUT_TYPE[0] O=$abc$51270$n2325
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100101011001100
.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=I2C_OUTPUT_TYPE[0] I2=I2C.byte_counter[5] I3=$false O=$abc$51270$n2327
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01001011
.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=I2C_OUTPUT_TYPE[0] I2=I2C.byte_counter[5] I3=I2C.byte_counter[6] O=$abc$51270$n2329
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100111110110000
.gate SB_LUT4 I0=I2C.byte_counter[7] I1=$abc$51270$n2330 I2=I2C_OUTPUT_TYPE[1] I3=I2C_OUTPUT_TYPE[0] O=$abc$51270$n2331
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100101011001100
.gate SB_LUT4 I0=$abc$51270$n1011 I1=$abc$51270$n1629 I2=$false I3=$false O=$abc$51270$n2332
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$abc$51270$n1636 O=$abc$51270$n2337
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111100000001
.gate SB_LUT4 I0=init_ram_cnt[1] I1=init_ram_cnt[0] I2=$false I3=$false O=$2\init_ram_cnt[7:0][1]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0110
.gate SB_LUT4 I0=$2\ring_wr[3:0][1] I1=$2\ring_wr[3:0][3] I2=$false I3=$false O=$abc$51270$n2512
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[1] I2=ring_wr[3] I3=ring_wr[2] O=$abc$51270$n2519
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001011111000000
.gate SB_LUT4 I0=ring_wr[3] I1=$abc$51270$n1219 I2=$false I3=$false O=$abc$51270$n2521
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[1] I2=ring_wr[3] I3=ring_wr[2] O=$abc$51270$n2522
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1110100000000000
.gate SB_LUT4 I0=$10\ring_rd[3:0][1] I1=$10\ring_rd[3:0][3] I2=$false I3=$false O=$abc$51270$n2532
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51270$n2193 I1=$abc$51270$n2199 I2=KEYBOARD.row_counter[0] I3=KEYBOARD.row_counter[1] O=$abc$51270$n2575
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000001
.gate SB_LUT4 I0=$abc$51270$n2199 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$51270$n2193 O=$abc$51270$n2576
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000100000000
.gate SB_LUT4 I0=$abc$51270$n2193 I1=$abc$51270$n2199 I2=KEYBOARD.row_counter[0] I3=KEYBOARD.row_counter[1] O=$abc$51270$n2577
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100010000
.gate SB_LUT4 I0=$abc$51270$n2199 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$51270$n2193 O=$abc$51270$n2578
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001010000000000
.gate SB_LUT4 I0=$abc$51270$n2193 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$51270$n2199 O=$abc$51270$n2579
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000100000000
.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=KEYBOARD.row_counter[1] I2=$abc$51270$n2193 I3=$abc$51270$n2199 O=$abc$51270$n2580
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000000000
.gate SB_LUT4 I0=$abc$51270$n2193 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$51270$n2199 O=$abc$51270$n2581
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001010000000000
.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=KEYBOARD.row_counter[1] I2=$abc$51270$n2193 I3=$abc$51270$n2199 O=$abc$51270$n2582
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0110000000000000
.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$false I2=$false I3=$false O=$abc$51270$n5
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$false I2=$false I3=$false O=$abc$51270$n7
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$51270$n8 I1=$false I2=$false I3=$false O=COM_DSR
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$51270$n10 I1=$false I2=$false I3=$false O=COM_TX
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=LED1 I1=$false I2=$false I3=$false O=COM_DCD
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$51270$n22 I1=$false I2=$false I3=$false O=$abc$51270$n23
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=UART.tx_activity I1=$false I2=$false I3=$false O=$abc$51270$n25
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$51270$n27 I1=$false I2=$false I3=$false O=$abc$51270$n26
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$51270$n30 I1=$false I2=$false I3=$false O=$abc$51270$n31
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[8] I1=$false I2=$false I3=$false O=$abc$51270$n33
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$false I2=$false I3=$false O=$abc$51270$n35
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=init_ram_cnt[7] I1=$false I2=$false I3=$false O=$abc$51270$n184
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=init_ram_cnt[6] I1=$false I2=$false I3=$false O=$abc$51270$n185
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=init_ram_cnt[5] I1=$false I2=$false I3=$false O=$abc$51270$n187
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=init_ram_cnt[4] I1=$false I2=$false I3=$false O=$abc$51270$n188
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=init_ram_cnt[2] I1=$false I2=$false I3=$false O=$abc$51270$n191
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=init_ram_cnt[0] I1=$false I2=$false I3=$false O=$abc$51270$n194
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=i2c_input_data_type[3] I1=$false I2=$false I3=$false O=$abc$51270$n214
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=i2c_input_data_type[1] I1=$false I2=$false I3=$false O=$abc$51270$n217
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=i2c_input_data_type[0] I1=$false I2=$false I3=$false O=$abc$51270$n218
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.received_byte[7] I1=$false I2=$false I3=$false O=$abc$51270$n221
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.received_byte[6] I1=$false I2=$false I3=$false O=$abc$51270$n222
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.received_byte[5] I1=$false I2=$false I3=$false O=$abc$51270$n224
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.received_byte[4] I1=$false I2=$false I3=$false O=$abc$51270$n225
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.received_byte[3] I1=$false I2=$false I3=$false O=$abc$51270$n227
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.received_byte[2] I1=$false I2=$false I3=$false O=$abc$51270$n228
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.received_byte[1] I1=$false I2=$false I3=$false O=$abc$51270$n230
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$51270$n1320 I1=$false I2=$false I3=$false O=$abc$51270$n1392
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=init_ram_cnt[1] I1=$false I2=$false I3=$false O=$abc$51270$n1918
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$51270$n2461 I1=$false I2=$false I3=$false O=$abc$51270$n2014
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$51270$n2383 I1=$false I2=$false I3=$false O=$abc$51270$n2382
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$51270$n1494 I1=$false I2=$false I3=$false O=$abc$51270$n2393
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$51270$n2010 I1=$false I2=$false I3=$false O=$abc$51270$n2395
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=KEYBOARD.init_delay_cnt[1] I1=$false I2=$false I3=$false O=$abc$51270$n2399
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[1] I1=$false I2=$false I3=$false O=$abc$51270$n2400
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$51270$n2384 I1=$false I2=$false I3=$false O=$abc$51270$n2451
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C_INPUT_LEN[1] I1=$false I2=$false I3=$false O=$abc$51270$n2452
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=$false I2=$false I3=$false O=$abc$51270$n2453
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=$false I2=$false I3=$false O=$abc$51270$n2454
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C_INPUT_LEN[4] I1=$false I2=$false I3=$false O=$abc$51270$n2455
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C_INPUT_LEN[5] I1=$false I2=$false I3=$false O=$abc$51270$n2456
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C_INPUT_LEN[6] I1=$false I2=$false I3=$false O=$abc$51270$n2457
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C_INPUT_LEN[7] I1=$false I2=$false I3=$false O=$abc$51270$n2458
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$51270$n2008 I1=$false I2=$false I3=$false O=$abc$51270$n2459
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$51270$n2012 I1=$false I2=$false I3=$false O=$abc$51270$n2460
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=i2c_input_data_type[2] I1=$false I2=$false I3=$false O=$abc$51270$n2463
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$51270$n2465 I1=$false I2=$false I3=$false O=$abc$51270$n2466
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$51270$n2467 I1=$false I2=$false I3=$false O=$abc$51270$n2468
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$51270$n1499 I1=$false I2=$false I3=$false O=$abc$51270$n2469
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$51270$n1500 I1=$false I2=$false I3=$false O=$abc$51270$n2470
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$51270$n1503 I1=$false I2=$false I3=$false O=$abc$51270$n2471
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$51270$n1496 I1=$false I2=$false I3=$false O=$abc$51270$n2472
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$51270$n1497 I1=$false I2=$false I3=$false O=$abc$51270$n2473
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$51270$n1502 I1=$false I2=$false I3=$false O=$abc$51270$n2474
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=init_ram_cnt[3] I1=$false I2=$false I3=$false O=$abc$51270$n2475
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$51270$n721 I1=KEYBOARD.temp[1] I2=$abc$51270$n383 I3=$abc$51270$n394 O=$abc$51270$n1445_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000010111111100
.gate SB_LUT4 I0=KEYBOARD.temp[4] I1=KEYBOARD.temp[5] I2=$abc$51270$n383 I3=$abc$51270$n396 O=$abc$51270$n1446
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100111110100000
.gate SB_LUT4 I0=$abc$51270$n1446 I1=$abc$51270$n1445_1 I2=$abc$51270$n718 I3=$false O=$abc$51270$n1447
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=UART_WR I1=$abc$51270$n747 I2=$abc$51270$n763 I3=$abc$51270$n761 O=$abc$51270$n1448
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000011111111
.gate SB_LUT4 I0=$abc$51270$n765 I1=$abc$51270$n768 I2=$abc$51270$n1448 I3=$abc$51270$n733 O=$abc$51270$n788
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$51270$n812 I1=$abc$51270$n813 I2=I2C.byte_counter[3] I3=I2C.byte_counter[2] O=$abc$51270$n1450
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000101011000000
.gate SB_LUT4 I0=I2C.byte_counter[0] I1=$abc$51270$n1450 I2=I2C.byte_counter[1] I3=$abc$51270$n695 O=$abc$51270$n1451
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=$abc$51270$n1451 I1=$abc$51270$n790 I2=$false I3=$false O=$abc$51270$n1046
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51270$n827 I1=$abc$51270$n831 I2=$abc$51270$n1499_1 I3=$abc$51270$n791 O=$abc$51270$n1455
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111000011111111
.gate SB_LUT4 I0=$abc$51270$n1455 I1=$abc$51270$n836 I2=$abc$51270$n838 I3=$abc$51270$n839 O=$abc$51270$n1112
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$abc$51270$n952_1 I1=$abc$51270$n946 I2=$abc$51270$n27 I3=$abc$51270$n910 O=$abc$51270$n1457_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100010100000000
.gate SB_LUT4 I0=$abc$51270$n920 I1=$abc$51270$n899 I2=$abc$51270$n1457_1 I3=$abc$51270$n935 O=$abc$51270$n1313
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111000000000000
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.report[4][3] I2=KEYBOARD.kbd_code_hid[4] I3=KEYBOARD.report[4][4] O=$abc$51270$n1459
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.report[4][1] I2=KEYBOARD.kbd_code_hid[6] I3=KEYBOARD.report[4][6] O=$abc$51270$n1460_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=$abc$51270$n1459 I1=$abc$51270$n1460_1 I2=$abc$51270$n963 I3=$abc$51270$n966 O=$abc$51270$n1461
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.report[1][5] I2=KEYBOARD.kbd_code_hid[6] I3=KEYBOARD.report[1][6] O=$abc$51270$n1463
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=KEYBOARD.report[0][1] I1=$abc$51270$n1128 I2=KEYBOARD.report[1][1] I3=$abc$51270$n1127 O=$abc$51270$n1466
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=wr_cnt[1] I1=$abc$51270$n1466 I2=wr_cnt[0] I3=wr_cnt[3] O=$abc$51270$n1467
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000011111000
.gate SB_LUT4 I0=wr_cnt[2] I1=$abc$51270$n1467 I2=$abc$51270$n1132 I3=$abc$51270$n690 O=$3\report_data_wr[7:0][1]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111100001011
.gate SB_LUT4 I0=$abc$51270$n1121 I1=KEYBOARD.report[4][6] I2=$abc$51270$n1181 I3=$abc$51270$n1183 O=$abc$51270$n1469
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111000000000000
.gate SB_LUT4 I0=$abc$51270$n1180 I1=$abc$51270$n1469 I2=$abc$51270$n1185 I3=$abc$51270$n1120 O=$abc$51270$n1470_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111000001000100
.gate SB_LUT4 I0=$abc$51270$n1128 I1=$abc$51270$n1118 I2=$abc$51270$n1120 I3=KEYBOARD.report[0][6] O=$abc$51270$n1471
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0101001111111111
.gate SB_LUT4 I0=$abc$51270$n1471 I1=$abc$51270$n1470_1 I2=$abc$51270$n1129 I3=$false O=$3\report_data_wr[7:0][6]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=KEYBOARD.report[3][7] I1=$abc$51270$n1123 I2=KEYBOARD.report[4][7] I3=$abc$51270$n1121 O=$abc$51270$n1473
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=$abc$51270$n1128 I1=$abc$51270$n1118 I2=$abc$51270$n1120 I3=KEYBOARD.report[0][7] O=$abc$51270$n1475_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0101001111111111
.gate SB_LUT4 I0=$abc$51270$n1046_1 I1=$abc$51270$n1054 I2=$abc$51270$n307 I3=$abc$51270$n877 O=$abc$51270$n1478_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100101011111111
.gate SB_LUT4 I0=$abc$51270$n1050 I1=$abc$51270$n1058 I2=$abc$51270$n307 I3=$abc$51270$n880 O=$abc$51270$n1479
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100101011111111
.gate SB_LUT4 I0=I2C.is_ack I1=$abc$51270$n1248 I2=$abc$51270$n1507 I3=$abc$51270$n1178 O=$abc$51270$n1481
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111011100001111
.gate SB_LUT4 I0=$abc$51270$n1248 I1=$abc$51270$n1481 I2=$abc$51270$n1246 I3=$false O=$abc$51270$n1482
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11000101
.gate SB_LUT4 I0=$abc$51270$n1258_1 I1=$abc$51270$n868 I2=I2C.i2c_state_machine I3=$abc$51270$n1248 O=$abc$51270$n1483_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1011000011111111
.gate SB_LUT4 I0=$abc$51270$n1252 I1=$abc$51270$n868 I2=$abc$51270$n1256 I3=$abc$51270$n1483_1 O=$abc$51270$n1484
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1011111100000000
.gate SB_LUT4 I0=$abc$51270$n1178 I1=$abc$51270$n1246 I2=$abc$51270$n873 I3=$abc$51270$n869 O=$abc$51270$n1485
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100010011110000
.gate SB_LUT4 I0=$abc$51270$n308 I1=$abc$51270$n1485 I2=$abc$51270$n871_1 I3=$abc$51270$n2461 O=$abc$51270$n1486
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111000001001111
.gate SB_LUT4 I0=$abc$51270$n1269 I1=$abc$51270$n1263 I2=$abc$51270$n869 I3=$false O=$abc$51270$n1487
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$51270$n1487 I1=$abc$51270$n1486 I2=$abc$51270$n871_1 I3=$false O=$abc$51270$n2034
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10111100
.gate SB_LUT4 I0=$abc$51270$n1269 I1=$abc$51270$n868 I2=I2C.i2c_state_machine I3=$abc$51270$n1300 O=$abc$51270$n1489
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1011000011111111
.gate SB_LUT4 I0=$abc$51270$n2431 I1=$abc$51270$n868 I2=$abc$51270$n1263 I3=$abc$51270$n1489 O=$abc$51270$n1490
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1011111100000000
.gate SB_LUT4 I0=$abc$51270$n1262 I1=$abc$51270$n1301 I2=$abc$51270$n1490 I3=$false O=$abc$51270$n2054
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01001111
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.report[1][3] I2=KEYBOARD.kbd_code_hid[4] I3=KEYBOARD.report[1][4] O=$abc$51270$n1492
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.report[1][0] I2=KEYBOARD.kbd_code_hid[2] I3=KEYBOARD.report[1][2] O=$abc$51270$n1493_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=$abc$51270$n1492 I1=$abc$51270$n1493_1 I2=$abc$51270$n994 I3=$abc$51270$n1463 O=$abc$51270$n1494_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111111111111111
.gate SB_LUT4 I0=$abc$51270$n902 I1=$abc$51270$n1494_1 I2=$abc$51270$n971 I3=$false O=$abc$51270$n1495
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[3] I2=$abc$51270$n823 I3=$false O=$abc$51270$n1496_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$51270$n809 I1=I2C.byte_counter[0] I2=I2C.received_byte[2] I3=I2C.received_byte[1] O=$abc$51270$n1497_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0010000000001100
.gate SB_LUT4 I0=$abc$51270$n814 I1=$abc$51270$n809 I2=$abc$51270$n1496_1 I3=$abc$51270$n1497_1 O=$abc$51270$n1498
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111010001000100
.gate SB_LUT4 I0=$abc$51270$n809 I1=$abc$51270$n694 I2=$abc$51270$n1498 I3=I2C.byte_counter[1] O=$abc$51270$n1499_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001111111111111
.gate SB_LUT4 I0=KEYBOARD.report[5][7] I1=KEYBOARD.report[1][7] I2=$abc$51270$n1120 I3=$abc$51270$n260 O=$abc$51270$n1500_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100101011001100
.gate SB_LUT4 I0=$abc$51270$n1115 I1=KEYBOARD.report[2][7] I2=KEYBOARD.report[6][7] I3=$abc$51270$n1124 O=$abc$51270$n1501
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=$abc$51270$n1501 I1=$abc$51270$n1473 I2=$abc$51270$n1127 I3=$abc$51270$n1120 O=$abc$51270$n1502_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111000010001000
.gate SB_LUT4 I0=$abc$51270$n1114 I1=$abc$51270$n1500_1 I2=$abc$51270$n1120 I3=$abc$51270$n1502_1 O=$abc$51270$n1503_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0011011111110000
.gate SB_LUT4 I0=$abc$51270$n1475_1 I1=$abc$51270$n1503_1 I2=$abc$51270$n1129 I3=$false O=$3\report_data_wr[7:0][7]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=$abc$51270$n1056 I1=$abc$51270$n1052 I2=$abc$51270$n307 I3=$abc$51270$n875 O=$abc$51270$n1505
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0011111101010000
.gate SB_LUT4 I0=$abc$51270$n1048 I1=$abc$51270$n1044 I2=$abc$51270$n307 I3=$abc$51270$n1505 O=$abc$51270$n1506
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111001100000101
.gate SB_LUT4 I0=$abc$51270$n1506 I1=$abc$51270$n305 I2=$abc$51270$n1478_1 I3=$abc$51270$n1479 O=$abc$51270$n1507
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1101000000000000
.gate SB_CARRY CI=$abc$51270$n2451 CO=$auto$alumacc.cc:474:replace_alu$9509.C[2] I0=$false I1=$abc$51270$n2383
.attr src "top.v:30|uart.v:39|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9509.C[2] CO=$auto$alumacc.cc:474:replace_alu$9509.C[3] I0=$false I1=$abc$51270$n2466
.attr src "top.v:30|uart.v:39|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9509.C[3] CO=$abc$51270$n2192 I0=$false I1=$abc$51270$n2468
.attr src "top.v:30|uart.v:39|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$abc$51270$n230 CO=$auto$alumacc.cc:474:replace_alu$9514.C[3] I0=$true I1=$abc$51270$n228
.attr src "top.v:136|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9514.C[3] CO=$auto$alumacc.cc:474:replace_alu$9514.C[4] I0=$false I1=$abc$51270$n227
.attr src "top.v:136|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9514.C[4] CO=$auto$alumacc.cc:474:replace_alu$9514.C[5] I0=$false I1=$abc$51270$n225
.attr src "top.v:136|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9514.C[5] CO=$auto$alumacc.cc:474:replace_alu$9514.C[6] I0=$false I1=$abc$51270$n224
.attr src "top.v:136|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9514.C[6] CO=$auto$alumacc.cc:474:replace_alu$9514.C[7] I0=$false I1=$abc$51270$n222
.attr src "top.v:136|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9514.C[7] CO=$abc$51270$n2421 I0=$false I1=$abc$51270$n221
.attr src "top.v:136|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$abc$51270$n2452 CO=$auto$alumacc.cc:474:replace_alu$9519.C[3] I0=$false I1=$abc$51270$n2453
.attr src "top.v:243|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9519.C[3] CO=$auto$alumacc.cc:474:replace_alu$9519.C[4] I0=$false I1=$abc$51270$n2454
.attr src "top.v:243|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9519.C[4] CO=$auto$alumacc.cc:474:replace_alu$9519.C[5] I0=$false I1=$abc$51270$n2455
.attr src "top.v:243|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9519.C[5] CO=$auto$alumacc.cc:474:replace_alu$9519.C[6] I0=$false I1=$abc$51270$n2456
.attr src "top.v:243|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9519.C[6] CO=$auto$alumacc.cc:474:replace_alu$9519.C[7] I0=$false I1=$abc$51270$n2457
.attr src "top.v:243|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9519.C[7] CO=$abc$51270$n2422 I0=$false I1=$abc$51270$n2458
.attr src "top.v:243|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$abc$51270$n2459 CO=$auto$alumacc.cc:474:replace_alu$9529.C[2] I0=$false I1=$abc$51270$n2395
.attr src "top.v:21|i2c_slave.v:104|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9529.C[2] CO=$auto$alumacc.cc:474:replace_alu$9529.C[3] I0=$false I1=$abc$51270$n2460
.attr src "top.v:21|i2c_slave.v:104|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9529.C[3] CO=$abc$51270$n1178 I0=$false I1=$abc$51270$n2461
.attr src "top.v:21|i2c_slave.v:104|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$abc$51270$n194 CO=$auto$alumacc.cc:474:replace_alu$9534.C[2] I0=$true I1=$abc$51270$n1918
.attr src "top.v:87|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9534.C[2] CO=$auto$alumacc.cc:474:replace_alu$9534.C[3] I0=$false I1=$abc$51270$n191
.attr src "top.v:87|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9534.C[3] CO=$auto$alumacc.cc:474:replace_alu$9534.C[4] I0=$true I1=$abc$51270$n2475
.attr src "top.v:87|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9534.C[4] CO=$auto$alumacc.cc:474:replace_alu$9534.C[5] I0=$false I1=$abc$51270$n188
.attr src "top.v:87|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9534.C[5] CO=$auto$alumacc.cc:474:replace_alu$9534.C[6] I0=$false I1=$abc$51270$n187
.attr src "top.v:87|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9534.C[6] CO=$auto$alumacc.cc:474:replace_alu$9534.C[7] I0=$false I1=$abc$51270$n185
.attr src "top.v:87|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9534.C[7] CO=$abc$51270$n2423 I0=$false I1=$abc$51270$n184
.attr src "top.v:87|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=init_ram_cnt[1] CO=$auto$alumacc.cc:474:replace_alu$9545.C[3] I0=init_ram_cnt[2] I1=$true
.attr src "top.v:85|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9545.C[3] CO=$auto$alumacc.cc:474:replace_alu$9545.C[4] I0=init_ram_cnt[3] I1=$false
.attr src "top.v:85|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9545.C[4] CO=$auto$alumacc.cc:474:replace_alu$9545.C[5] I0=init_ram_cnt[4] I1=$true
.attr src "top.v:85|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9545.C[5] CO=$auto$alumacc.cc:474:replace_alu$9545.C[6] I0=init_ram_cnt[5] I1=$false
.attr src "top.v:85|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9545.C[6] CO=$auto$alumacc.cc:474:replace_alu$9545.C[7] I0=init_ram_cnt[6] I1=$true
.attr src "top.v:85|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9545.C[7] CO=$abc$51270$n22 I0=init_ram_cnt[7] I1=$false
.attr src "top.v:85|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$abc$51270$n218 CO=$auto$alumacc.cc:474:replace_alu$9552.C[2] I0=$false I1=$abc$51270$n217
.attr src "top.v:225|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9552.C[2] CO=$auto$alumacc.cc:474:replace_alu$9552.C[3] I0=$true I1=$abc$51270$n2463
.attr src "top.v:225|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9552.C[3] CO=$abc$51270$n2425 I0=$false I1=$abc$51270$n214
.attr src "top.v:225|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$abc$51270$n230 CO=$auto$alumacc.cc:474:replace_alu$9563.C[3] I0=$false I1=$abc$51270$n228
.attr src "top.v:136|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9563.C[3] CO=$auto$alumacc.cc:474:replace_alu$9563.C[4] I0=$false I1=$abc$51270$n227
.attr src "top.v:136|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9563.C[4] CO=$auto$alumacc.cc:474:replace_alu$9563.C[5] I0=$false I1=$abc$51270$n225
.attr src "top.v:136|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9563.C[5] CO=$auto$alumacc.cc:474:replace_alu$9563.C[6] I0=$false I1=$abc$51270$n224
.attr src "top.v:136|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9563.C[6] CO=$auto$alumacc.cc:474:replace_alu$9563.C[7] I0=$false I1=$abc$51270$n222
.attr src "top.v:136|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9563.C[7] CO=$abc$51270$n2428 I0=$false I1=$abc$51270$n221
.attr src "top.v:136|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$abc$51270$n2451 I3=$true O=$abc$51270$n2362
.attr src "top.v:30|uart.v:40|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$abc$51270$n2451 CO=$auto$alumacc.cc:474:replace_alu$9576.C[2] I0=$false I1=$abc$51270$n2383
.attr src "top.v:30|uart.v:40|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$abc$51270$n2466 I3=$auto$alumacc.cc:474:replace_alu$9576.C[2] O=$abc$51270$n2348
.attr src "top.v:30|uart.v:40|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$true I2=ring_wr[0] I3=$false O=$abc$51270$n1865
.attr src "top.v:100|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=ring_wr[0] CO=$auto$alumacc.cc:474:replace_alu$9579.C[2] I0=$false I1=ring_wr[1]
.attr src "top.v:100|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=ring_wr[2] I3=$auto$alumacc.cc:474:replace_alu$9579.C[2] O=$abc$51270$n1871
.attr src "top.v:100|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9579.C[2] CO=$auto$alumacc.cc:474:replace_alu$9579.C[3] I0=$false I1=ring_wr[2]
.attr src "top.v:100|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=ring_wr[3] I3=$auto$alumacc.cc:474:replace_alu$9579.C[3] O=$abc$51270$n1874
.attr src "top.v:100|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9579.C[3] CO=$auto$alumacc.cc:474:replace_alu$9579.C[4] I0=$false I1=ring_wr[3]
.attr src "top.v:100|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$alumacc.cc:474:replace_alu$9579.C[4] O=$abc$51270$n1454
.attr src "top.v:100|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$true I2=wr_cnt[0] I3=$false O=$abc$51270$n1857
.attr src "top.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=wr_cnt[0] CO=$auto$alumacc.cc:474:replace_alu$9582.C[2] I0=$false I1=wr_cnt[1]
.attr src "top.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[2] I3=$auto$alumacc.cc:474:replace_alu$9582.C[2] O=$abc$51270$n1860
.attr src "top.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9582.C[2] CO=$auto$alumacc.cc:474:replace_alu$9582.C[3] I0=$false I1=wr_cnt[2]
.attr src "top.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[3] I3=$auto$alumacc.cc:474:replace_alu$9582.C[3] O=$abc$51270$n1862
.attr src "top.v:127|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$true I2=ring_rd[0] I3=$false O=$abc$51270$n1775
.attr src "top.v:178|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=ring_rd[0] CO=$auto$alumacc.cc:474:replace_alu$9585.C[2] I0=$false I1=ring_rd[1]
.attr src "top.v:178|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=ring_rd[2] I3=$auto$alumacc.cc:474:replace_alu$9585.C[2] O=$abc$51270$n1781
.attr src "top.v:178|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9585.C[2] CO=$auto$alumacc.cc:474:replace_alu$9585.C[3] I0=$false I1=ring_rd[2]
.attr src "top.v:178|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=ring_rd[3] I3=$auto$alumacc.cc:474:replace_alu$9585.C[3] O=$abc$51270$n1784
.attr src "top.v:178|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$true I2=report_data_radr[0] I3=$false O=$add$top.v:182$302_Y[0]
.attr src "top.v:182|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=report_data_radr[0] CO=$auto$alumacc.cc:474:replace_alu$9588.C[2] I0=$false I1=report_data_radr[1]
.attr src "top.v:182|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[2] I3=$auto$alumacc.cc:474:replace_alu$9588.C[2] O=$abc$51270$n1788
.attr src "top.v:182|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9588.C[2] CO=$auto$alumacc.cc:474:replace_alu$9588.C[3] I0=$false I1=report_data_radr[2]
.attr src "top.v:182|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[3] I3=$auto$alumacc.cc:474:replace_alu$9588.C[3] O=$abc$51270$n1791
.attr src "top.v:182|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9588.C[3] CO=$auto$alumacc.cc:474:replace_alu$9588.C[4] I0=$false I1=report_data_radr[3]
.attr src "top.v:182|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[4] I3=$auto$alumacc.cc:474:replace_alu$9588.C[4] O=$abc$51270$n1794
.attr src "top.v:182|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9588.C[4] CO=$auto$alumacc.cc:474:replace_alu$9588.C[5] I0=$false I1=report_data_radr[4]
.attr src "top.v:182|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[5] I3=$auto$alumacc.cc:474:replace_alu$9588.C[5] O=$abc$51270$n1797
.attr src "top.v:182|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9588.C[5] CO=$auto$alumacc.cc:474:replace_alu$9588.C[6] I0=$false I1=report_data_radr[5]
.attr src "top.v:182|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[6] I3=$auto$alumacc.cc:474:replace_alu$9588.C[6] O=$abc$51270$n1800
.attr src "top.v:182|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9588.C[6] CO=$auto$alumacc.cc:474:replace_alu$9588.C[7] I0=$false I1=report_data_radr[6]
.attr src "top.v:182|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[7] I3=$auto$alumacc.cc:474:replace_alu$9588.C[7] O=$abc$51270$n1803
.attr src "top.v:182|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$true I2=int_tmr[0] I3=$false O=$13\int_tmr[14:0][0]
.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[10] I3=$auto$alumacc.cc:474:replace_alu$9591.C[10] O=$13\int_tmr[14:0][10]
.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9591.C[10] CO=$auto$alumacc.cc:474:replace_alu$9591.C[11] I0=$false I1=int_tmr[10]
.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[11] I3=$auto$alumacc.cc:474:replace_alu$9591.C[11] O=$13\int_tmr[14:0][11]
.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9591.C[11] CO=$auto$alumacc.cc:474:replace_alu$9591.C[12] I0=$false I1=int_tmr[11]
.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[12] I3=$auto$alumacc.cc:474:replace_alu$9591.C[12] O=$13\int_tmr[14:0][12]
.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9591.C[12] CO=$auto$alumacc.cc:474:replace_alu$9591.C[13] I0=$false I1=int_tmr[12]
.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[13] I3=$auto$alumacc.cc:474:replace_alu$9591.C[13] O=$13\int_tmr[14:0][13]
.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9591.C[13] CO=$auto$alumacc.cc:474:replace_alu$9591.C[14] I0=$false I1=int_tmr[13]
.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[14] I3=$auto$alumacc.cc:474:replace_alu$9591.C[14] O=$13\int_tmr[14:0][14]
.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=int_tmr[0] CO=$auto$alumacc.cc:474:replace_alu$9591.C[2] I0=$false I1=int_tmr[1]
.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[2] I3=$auto$alumacc.cc:474:replace_alu$9591.C[2] O=$13\int_tmr[14:0][2]
.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9591.C[2] CO=$auto$alumacc.cc:474:replace_alu$9591.C[3] I0=$false I1=int_tmr[2]
.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[3] I3=$auto$alumacc.cc:474:replace_alu$9591.C[3] O=$13\int_tmr[14:0][3]
.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9591.C[3] CO=$auto$alumacc.cc:474:replace_alu$9591.C[4] I0=$false I1=int_tmr[3]
.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[4] I3=$auto$alumacc.cc:474:replace_alu$9591.C[4] O=$13\int_tmr[14:0][4]
.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9591.C[4] CO=$auto$alumacc.cc:474:replace_alu$9591.C[5] I0=$false I1=int_tmr[4]
.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[5] I3=$auto$alumacc.cc:474:replace_alu$9591.C[5] O=$13\int_tmr[14:0][5]
.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9591.C[5] CO=$auto$alumacc.cc:474:replace_alu$9591.C[6] I0=$false I1=int_tmr[5]
.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[6] I3=$auto$alumacc.cc:474:replace_alu$9591.C[6] O=$13\int_tmr[14:0][6]
.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9591.C[6] CO=$auto$alumacc.cc:474:replace_alu$9591.C[7] I0=$false I1=int_tmr[6]
.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[7] I3=$auto$alumacc.cc:474:replace_alu$9591.C[7] O=$13\int_tmr[14:0][7]
.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9591.C[7] CO=$auto$alumacc.cc:474:replace_alu$9591.C[8] I0=$false I1=int_tmr[7]
.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[8] I3=$auto$alumacc.cc:474:replace_alu$9591.C[8] O=$13\int_tmr[14:0][8]
.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9591.C[8] CO=$auto$alumacc.cc:474:replace_alu$9591.C[9] I0=$false I1=int_tmr[8]
.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[9] I3=$auto$alumacc.cc:474:replace_alu$9591.C[9] O=$13\int_tmr[14:0][9]
.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9591.C[9] CO=$auto$alumacc.cc:474:replace_alu$9591.C[10] I0=$false I1=int_tmr[9]
.attr src "top.v:273|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$abc$51270$n35 I2=rststate[0] I3=$false O=$abc$51270$n1940
.attr src "top.v:70|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$false CO=$auto$alumacc.cc:474:replace_alu$9594.C[1] I0=$abc$51270$n35 I1=rststate[0]
.attr src "top.v:70|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=rststate[1] I3=$auto$alumacc.cc:474:replace_alu$9594.C[1] O=$abc$51270$n1942
.attr src "top.v:70|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9594.C[1] CO=$auto$alumacc.cc:474:replace_alu$9594.C[2] I0=$false I1=rststate[1]
.attr src "top.v:70|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=rststate[2] I3=$auto$alumacc.cc:474:replace_alu$9594.C[2] O=$abc$51270$n1944
.attr src "top.v:70|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9594.C[2] CO=$auto$alumacc.cc:474:replace_alu$9594.C[3] I0=$false I1=rststate[2]
.attr src "top.v:70|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=rststate[3] I3=$auto$alumacc.cc:474:replace_alu$9594.C[3] O=$abc$51270$n1946
.attr src "top.v:70|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$true I2=init_ram_cnt[0] I3=$false O=$2\init_ram_cnt[7:0][0]
.attr src "top.v:92|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=init_ram_cnt[0] CO=$auto$alumacc.cc:474:replace_alu$9597.C[2] I0=$false I1=init_ram_cnt[1]
.attr src "top.v:92|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[2] I3=$auto$alumacc.cc:474:replace_alu$9597.C[2] O=$2\init_ram_cnt[7:0][2]
.attr src "top.v:92|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9597.C[2] CO=$auto$alumacc.cc:474:replace_alu$9597.C[3] I0=$false I1=init_ram_cnt[2]
.attr src "top.v:92|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[3] I3=$auto$alumacc.cc:474:replace_alu$9597.C[3] O=$2\init_ram_cnt[7:0][3]
.attr src "top.v:92|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9597.C[3] CO=$auto$alumacc.cc:474:replace_alu$9597.C[4] I0=$false I1=init_ram_cnt[3]
.attr src "top.v:92|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[4] I3=$auto$alumacc.cc:474:replace_alu$9597.C[4] O=$2\init_ram_cnt[7:0][4]
.attr src "top.v:92|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9597.C[4] CO=$auto$alumacc.cc:474:replace_alu$9597.C[5] I0=$false I1=init_ram_cnt[4]
.attr src "top.v:92|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[5] I3=$auto$alumacc.cc:474:replace_alu$9597.C[5] O=$2\init_ram_cnt[7:0][5]
.attr src "top.v:92|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9597.C[5] CO=$auto$alumacc.cc:474:replace_alu$9597.C[6] I0=$false I1=init_ram_cnt[5]
.attr src "top.v:92|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[6] I3=$auto$alumacc.cc:474:replace_alu$9597.C[6] O=$2\init_ram_cnt[7:0][6]
.attr src "top.v:92|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9597.C[6] CO=$auto$alumacc.cc:474:replace_alu$9597.C[7] I0=$false I1=init_ram_cnt[6]
.attr src "top.v:92|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[7] I3=$auto$alumacc.cc:474:replace_alu$9597.C[7] O=$2\init_ram_cnt[7:0][7]
.attr src "top.v:92|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=wr_cnt[0] I2=$true I3=$true O=$abc$51270$n269
.attr src "top.v:124|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=wr_cnt[0] I2=$false I3=$true O=$abc$51270$n253
.attr src "top.v:126|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=wr_cnt[0] CO=$auto$alumacc.cc:474:replace_alu$9603.C[2] I0=wr_cnt[1] I1=$false
.attr src "top.v:126|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=wr_cnt[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9603.C[2] O=$abc$51270$n260
.attr src "top.v:126|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=I2C.byte_counter[0] I2=$false I3=$true O=$2\I2C_INPUT_LEN[7:0][0]
.attr src "top.v:132|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=I2C.byte_counter[0] CO=$auto$alumacc.cc:474:replace_alu$9606.C[2] I0=I2C.byte_counter[1] I1=$true
.attr src "top.v:132|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.byte_counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9606.C[2] O=$2\I2C_INPUT_LEN[7:0][2]
.attr src "top.v:132|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9606.C[2] CO=$auto$alumacc.cc:474:replace_alu$9606.C[3] I0=I2C.byte_counter[2] I1=$true
.attr src "top.v:132|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.byte_counter[3] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9606.C[3] O=$2\I2C_INPUT_LEN[7:0][3]
.attr src "top.v:132|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9606.C[3] CO=$auto$alumacc.cc:474:replace_alu$9606.C[4] I0=I2C.byte_counter[3] I1=$true
.attr src "top.v:132|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.byte_counter[4] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9606.C[4] O=$2\I2C_INPUT_LEN[7:0][4]
.attr src "top.v:132|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9606.C[4] CO=$auto$alumacc.cc:474:replace_alu$9606.C[5] I0=I2C.byte_counter[4] I1=$true
.attr src "top.v:132|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.byte_counter[5] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9606.C[5] O=$2\I2C_INPUT_LEN[7:0][5]
.attr src "top.v:132|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9606.C[5] CO=$auto$alumacc.cc:474:replace_alu$9606.C[6] I0=I2C.byte_counter[5] I1=$true
.attr src "top.v:132|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.byte_counter[6] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9606.C[6] O=$2\I2C_INPUT_LEN[7:0][6]
.attr src "top.v:132|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9606.C[6] CO=$auto$alumacc.cc:474:replace_alu$9606.C[7] I0=I2C.byte_counter[6] I1=$true
.attr src "top.v:132|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.byte_counter[7] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9606.C[7] O=$2\I2C_INPUT_LEN[7:0][7]
.attr src "top.v:132|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=init_ram_cnt[0] I2=$true I3=$true O=$abc$51270$n1916
.attr src "top.v:90|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=init_ram_cnt[1] CO=$auto$alumacc.cc:474:replace_alu$9609.C[3] I0=init_ram_cnt[2] I1=$true
.attr src "top.v:90|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=init_ram_cnt[3] I2=$false I3=$auto$alumacc.cc:474:replace_alu$9609.C[3] O=$abc$51270$n1922
.attr src "top.v:90|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9609.C[3] CO=$auto$alumacc.cc:474:replace_alu$9609.C[4] I0=init_ram_cnt[3] I1=$false
.attr src "top.v:90|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=init_ram_cnt[4] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9609.C[4] O=$abc$51270$n1924
.attr src "top.v:90|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9609.C[4] CO=$auto$alumacc.cc:474:replace_alu$9609.C[5] I0=init_ram_cnt[4] I1=$true
.attr src "top.v:90|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=init_ram_cnt[5] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9609.C[5] O=$abc$51270$n1926
.attr src "top.v:90|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9609.C[5] CO=$auto$alumacc.cc:474:replace_alu$9609.C[6] I0=init_ram_cnt[5] I1=$true
.attr src "top.v:90|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=init_ram_cnt[6] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9609.C[6] O=$abc$51270$n1928
.attr src "top.v:90|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9609.C[6] CO=$auto$alumacc.cc:474:replace_alu$9609.C[7] I0=init_ram_cnt[6] I1=$true
.attr src "top.v:90|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=init_ram_cnt[7] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9609.C[7] O=$abc$51270$n1930
.attr src "top.v:90|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$abc$51270$n2393 CO=$auto$alumacc.cc:474:replace_alu$9612.C[3] I0=$false I1=$abc$51270$n2472
.attr src "top.v:21|i2c_slave.v:128|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9612.C[3] CO=$auto$alumacc.cc:474:replace_alu$9612.C[4] I0=$false I1=$abc$51270$n2473
.attr src "top.v:21|i2c_slave.v:128|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9612.C[4] CO=$auto$alumacc.cc:474:replace_alu$9612.C[5] I0=$false I1=$abc$51270$n2469
.attr src "top.v:21|i2c_slave.v:128|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9612.C[5] CO=$auto$alumacc.cc:474:replace_alu$9612.C[6] I0=$false I1=$abc$51270$n2470
.attr src "top.v:21|i2c_slave.v:128|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9612.C[6] CO=$auto$alumacc.cc:474:replace_alu$9612.C[7] I0=$false I1=$abc$51270$n2474
.attr src "top.v:21|i2c_slave.v:128|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9612.C[7] CO=$abc$51270$n2431 I0=$false I1=$abc$51270$n2471
.attr src "top.v:21|i2c_slave.v:128|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$true I2=$abc$51270$n1493 I3=$false O=$abc$51270$n2173
.attr src "top.v:21|i2c_slave.v:121|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$abc$51270$n1493 CO=$auto$alumacc.cc:474:replace_alu$9617.C[2] I0=$false I1=$abc$51270$n1494
.attr src "top.v:21|i2c_slave.v:121|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$abc$51270$n1496 I3=$auto$alumacc.cc:474:replace_alu$9617.C[2] O=$abc$51270$n2175
.attr src "top.v:21|i2c_slave.v:121|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9617.C[2] CO=$auto$alumacc.cc:474:replace_alu$9617.C[3] I0=$false I1=$abc$51270$n1496
.attr src "top.v:21|i2c_slave.v:121|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$abc$51270$n1497 I3=$auto$alumacc.cc:474:replace_alu$9617.C[3] O=$abc$51270$n2176
.attr src "top.v:21|i2c_slave.v:121|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9617.C[3] CO=$auto$alumacc.cc:474:replace_alu$9617.C[4] I0=$false I1=$abc$51270$n1497
.attr src "top.v:21|i2c_slave.v:121|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$abc$51270$n1499 I3=$auto$alumacc.cc:474:replace_alu$9617.C[4] O=$abc$51270$n2177
.attr src "top.v:21|i2c_slave.v:121|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9617.C[4] CO=$auto$alumacc.cc:474:replace_alu$9617.C[5] I0=$false I1=$abc$51270$n1499
.attr src "top.v:21|i2c_slave.v:121|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$abc$51270$n1500 I3=$auto$alumacc.cc:474:replace_alu$9617.C[5] O=$abc$51270$n2178
.attr src "top.v:21|i2c_slave.v:121|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9617.C[5] CO=$auto$alumacc.cc:474:replace_alu$9617.C[6] I0=$false I1=$abc$51270$n1500
.attr src "top.v:21|i2c_slave.v:121|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$abc$51270$n1502 I3=$auto$alumacc.cc:474:replace_alu$9617.C[6] O=$abc$51270$n2179
.attr src "top.v:21|i2c_slave.v:121|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9617.C[6] CO=$auto$alumacc.cc:474:replace_alu$9617.C[7] I0=$false I1=$abc$51270$n1502
.attr src "top.v:21|i2c_slave.v:121|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$abc$51270$n1503 I3=$auto$alumacc.cc:474:replace_alu$9617.C[7] O=$abc$51270$n2180
.attr src "top.v:21|i2c_slave.v:121|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$abc$51270$n2008 I2=$false I3=$true O=$abc$51270$n305
.attr src "top.v:21|i2c_slave.v:106|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$abc$51270$n2008 CO=$auto$alumacc.cc:474:replace_alu$9620.C[2] I0=$abc$51270$n2010 I1=$true
.attr src "top.v:21|i2c_slave.v:106|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$abc$51270$n2012 I2=$true I3=$auto$alumacc.cc:474:replace_alu$9620.C[2] O=$abc$51270$n307
.attr src "top.v:21|i2c_slave.v:106|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9620.C[2] CO=$auto$alumacc.cc:474:replace_alu$9620.C[3] I0=$abc$51270$n2012 I1=$true
.attr src "top.v:21|i2c_slave.v:106|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$abc$51270$n2014 I2=$true I3=$auto$alumacc.cc:474:replace_alu$9620.C[3] O=$abc$51270$n308
.attr src "top.v:21|i2c_slave.v:106|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9620.C[3] CO=$abc$51270$n2394 I0=$abc$51270$n2014 I1=$true
.attr src "top.v:21|i2c_slave.v:106|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$true I3=$abc$51270$n2394 O=$abc$51270$n319
.attr src "top.v:21|i2c_slave.v:106|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[0] I2=$false I3=$true O=$abc$51270$n1633
.attr src "top.v:21|i2c_slave.v:45|simple_filter.v:14|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=I2C.FLT_SCL.counter[0] CO=$auto$alumacc.cc:474:replace_alu$9623.C[2] I0=I2C.FLT_SCL.counter[1] I1=$true
.attr src "top.v:21|i2c_slave.v:45|simple_filter.v:14|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9623.C[2] O=$abc$51270$n1636
.attr src "top.v:21|i2c_slave.v:45|simple_filter.v:14|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[0] I2=$false I3=$true O=$abc$51270$n1629
.attr src "top.v:21|i2c_slave.v:46|simple_filter.v:14|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=I2C.FLT_SDA.counter[0] CO=$auto$alumacc.cc:474:replace_alu$9626.C[2] I0=I2C.FLT_SDA.counter[1] I1=$true
.attr src "top.v:21|i2c_slave.v:46|simple_filter.v:14|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9626.C[2] O=$abc$51270$n1632
.attr src "top.v:21|i2c_slave.v:46|simple_filter.v:14|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$false I2=I2C.byte_counter[0] I3=$false O=$abc$51270$n2324
.attr src "top.v:41|descriptors.v:36|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=I2C.byte_counter[5] CO=$auto$alumacc.cc:474:replace_alu$9629.C[7] I0=$false I1=I2C.byte_counter[6]
.attr src "top.v:41|descriptors.v:36|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=I2C.byte_counter[7] I3=$auto$alumacc.cc:474:replace_alu$9629.C[7] O=$abc$51270$n2330
.attr src "top.v:41|descriptors.v:36|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$abc$51270$n396 I2=$false I3=$false O=$abc$51270$n2265
.attr src "top.v:39|matrix_kbd.v:171|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.init_delay_cnt[0] I3=$false O=$auto$wreduce.cc:347:run$9491[0]
.attr src "top.v:39|matrix_kbd.v:60|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=KEYBOARD.init_delay_cnt[0] CO=$auto$alumacc.cc:474:replace_alu$9635.C[2] I0=$false I1=KEYBOARD.init_delay_cnt[1]
.attr src "top.v:39|matrix_kbd.v:60|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_delay_cnt[2] I3=$auto$alumacc.cc:474:replace_alu$9635.C[2] O=$auto$wreduce.cc:347:run$9491[2]
.attr src "top.v:39|matrix_kbd.v:60|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9635.C[2] CO=$auto$alumacc.cc:474:replace_alu$9635.C[3] I0=$false I1=KEYBOARD.init_delay_cnt[2]
.attr src "top.v:39|matrix_kbd.v:60|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_delay_cnt[3] I3=$auto$alumacc.cc:474:replace_alu$9635.C[3] O=$auto$wreduce.cc:347:run$9491[3]
.attr src "top.v:39|matrix_kbd.v:60|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.init_ram_cnt[0] I3=$false O=$auto$wreduce.cc:347:run$9492[0]
.attr src "top.v:39|matrix_kbd.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=KEYBOARD.init_ram_cnt[0] CO=$auto$alumacc.cc:474:replace_alu$9638.C[2] I0=$false I1=KEYBOARD.init_ram_cnt[1]
.attr src "top.v:39|matrix_kbd.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[2] I3=$auto$alumacc.cc:474:replace_alu$9638.C[2] O=$auto$wreduce.cc:347:run$9492[2]
.attr src "top.v:39|matrix_kbd.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9638.C[2] CO=$auto$alumacc.cc:474:replace_alu$9638.C[3] I0=$false I1=KEYBOARD.init_ram_cnt[2]
.attr src "top.v:39|matrix_kbd.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[3] I3=$auto$alumacc.cc:474:replace_alu$9638.C[3] O=$auto$wreduce.cc:347:run$9492[3]
.attr src "top.v:39|matrix_kbd.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9638.C[3] CO=$auto$alumacc.cc:474:replace_alu$9638.C[4] I0=$false I1=KEYBOARD.init_ram_cnt[3]
.attr src "top.v:39|matrix_kbd.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[4] I3=$auto$alumacc.cc:474:replace_alu$9638.C[4] O=$auto$wreduce.cc:347:run$9492[4]
.attr src "top.v:39|matrix_kbd.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9638.C[4] CO=$auto$alumacc.cc:474:replace_alu$9638.C[5] I0=$false I1=KEYBOARD.init_ram_cnt[4]
.attr src "top.v:39|matrix_kbd.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[5] I3=$auto$alumacc.cc:474:replace_alu$9638.C[5] O=$auto$wreduce.cc:347:run$9492[5]
.attr src "top.v:39|matrix_kbd.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9638.C[5] CO=$auto$alumacc.cc:474:replace_alu$9638.C[6] I0=$false I1=KEYBOARD.init_ram_cnt[5]
.attr src "top.v:39|matrix_kbd.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[6] I3=$auto$alumacc.cc:474:replace_alu$9638.C[6] O=$auto$wreduce.cc:347:run$9492[6]
.attr src "top.v:39|matrix_kbd.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9638.C[6] CO=$auto$alumacc.cc:474:replace_alu$9638.C[7] I0=$false I1=KEYBOARD.init_ram_cnt[6]
.attr src "top.v:39|matrix_kbd.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[7] I3=$auto$alumacc.cc:474:replace_alu$9638.C[7] O=$auto$wreduce.cc:347:run$9492[7]
.attr src "top.v:39|matrix_kbd.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9638.C[7] CO=$auto$alumacc.cc:474:replace_alu$9638.C[8] I0=$false I1=KEYBOARD.init_ram_cnt[7]
.attr src "top.v:39|matrix_kbd.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[8] I3=$auto$alumacc.cc:474:replace_alu$9638.C[8] O=$auto$wreduce.cc:347:run$9492[8]
.attr src "top.v:39|matrix_kbd.v:65|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_time[0] I3=$false O=$abc$51270$n1609
.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[10] I3=$auto$alumacc.cc:474:replace_alu$9641.C[10] O=$abc$51270$n1620
.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9641.C[10] CO=$auto$alumacc.cc:474:replace_alu$9641.C[11] I0=$false I1=KEYBOARD.row_time[10]
.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[11] I3=$auto$alumacc.cc:474:replace_alu$9641.C[11] O=$abc$51270$n1621
.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9641.C[11] CO=$auto$alumacc.cc:474:replace_alu$9641.C[12] I0=$false I1=KEYBOARD.row_time[11]
.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[12] I3=$auto$alumacc.cc:474:replace_alu$9641.C[12] O=$abc$51270$n1626
.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=KEYBOARD.row_time[0] CO=$auto$alumacc.cc:474:replace_alu$9641.C[2] I0=$false I1=KEYBOARD.row_time[1]
.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[2] I3=$auto$alumacc.cc:474:replace_alu$9641.C[2] O=$abc$51270$n1612
.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9641.C[2] CO=$auto$alumacc.cc:474:replace_alu$9641.C[3] I0=$false I1=KEYBOARD.row_time[2]
.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[3] I3=$auto$alumacc.cc:474:replace_alu$9641.C[3] O=$abc$51270$n1613
.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9641.C[3] CO=$auto$alumacc.cc:474:replace_alu$9641.C[4] I0=$false I1=KEYBOARD.row_time[3]
.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[4] I3=$auto$alumacc.cc:474:replace_alu$9641.C[4] O=$abc$51270$n1607
.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9641.C[4] CO=$auto$alumacc.cc:474:replace_alu$9641.C[5] I0=$false I1=KEYBOARD.row_time[4]
.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[5] I3=$auto$alumacc.cc:474:replace_alu$9641.C[5] O=$abc$51270$n396
.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9641.C[5] CO=$auto$alumacc.cc:474:replace_alu$9641.C[6] I0=$false I1=KEYBOARD.row_time[5]
.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[6] I3=$auto$alumacc.cc:474:replace_alu$9641.C[6] O=$abc$51270$n394
.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9641.C[6] CO=$auto$alumacc.cc:474:replace_alu$9641.C[7] I0=$false I1=KEYBOARD.row_time[6]
.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[7] I3=$auto$alumacc.cc:474:replace_alu$9641.C[7] O=$abc$51270$n383
.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9641.C[7] CO=$auto$alumacc.cc:474:replace_alu$9641.C[8] I0=$false I1=KEYBOARD.row_time[7]
.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[8] I3=$auto$alumacc.cc:474:replace_alu$9641.C[8] O=$abc$51270$n1617
.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9641.C[8] CO=$auto$alumacc.cc:474:replace_alu$9641.C[9] I0=$false I1=KEYBOARD.row_time[8]
.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[9] I3=$auto$alumacc.cc:474:replace_alu$9641.C[9] O=$abc$51270$n1618
.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9641.C[9] CO=$auto$alumacc.cc:474:replace_alu$9641.C[10] I0=$false I1=KEYBOARD.row_time[9]
.attr src "top.v:39|matrix_kbd.v:72|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_counter[0] I3=$false O=$abc$51270$n2193
.attr src "top.v:39|matrix_kbd.v:76|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=KEYBOARD.row_counter[0] CO=$auto$alumacc.cc:474:replace_alu$9644.C[2] I0=$false I1=KEYBOARD.row_counter[1]
.attr src "top.v:39|matrix_kbd.v:76|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[2] I3=$auto$alumacc.cc:474:replace_alu$9644.C[2] O=$abc$51270$n2199
.attr src "top.v:39|matrix_kbd.v:76|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9644.C[2] CO=$auto$alumacc.cc:474:replace_alu$9644.C[3] I0=$false I1=KEYBOARD.row_counter[2]
.attr src "top.v:39|matrix_kbd.v:76|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[3] I3=$auto$alumacc.cc:474:replace_alu$9644.C[3] O=$abc$51270$n30
.attr src "top.v:39|matrix_kbd.v:76|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[0] I2=$false I3=$true O=$abc$51270$n1514
.attr src "top.v:30|uart.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=UART.tx_clk_counter[0] CO=$auto$alumacc.cc:474:replace_alu$9647.C[2] I0=UART.tx_clk_counter[1] I1=$true
.attr src "top.v:30|uart.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9647.C[2] O=$abc$51270$n1517
.attr src "top.v:30|uart.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9647.C[2] CO=$auto$alumacc.cc:474:replace_alu$9647.C[3] I0=UART.tx_clk_counter[2] I1=$true
.attr src "top.v:30|uart.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[3] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9647.C[3] O=$abc$51270$n1518
.attr src "top.v:30|uart.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[0] I2=$false I3=$true O=$abc$51270$n2384
.attr src "top.v:30|uart.v:38|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=UART.tx_bit_counter[0] CO=$auto$alumacc.cc:474:replace_alu$9650.C[2] I0=UART.tx_bit_counter[1] I1=$true
.attr src "top.v:30|uart.v:38|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9650.C[2] O=$abc$51270$n2465
.attr src "top.v:30|uart.v:38|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9650.C[2] CO=$auto$alumacc.cc:474:replace_alu$9650.C[3] I0=UART.tx_bit_counter[2] I1=$true
.attr src "top.v:30|uart.v:38|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[3] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9650.C[3] O=$abc$51270$n2467
.attr src "top.v:30|uart.v:38|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$false CO=$auto$maccmap.cc:240:synth$10473.C[5] I0=$abc$51270$n2411 I1=$abc$51270$n2413
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$10\ring_rd[3:0][2] I2=$abc$51270$n2532 I3=$auto$maccmap.cc:240:synth$10473.C[5] O=$abc$51270$n1796
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$10473.C[5] CO=$auto$maccmap.cc:240:synth$10473.C[6] I0=$10\ring_rd[3:0][2] I1=$abc$51270$n2532
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$10\ring_rd[3:0][3] I2=$false I3=$auto$maccmap.cc:240:synth$10473.C[6] O=$abc$51270$n1799
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$10473.C[6] CO=$auto$maccmap.cc:240:synth$10473.C[7] I0=$10\ring_rd[3:0][3] I1=$false
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$maccmap.cc:240:synth$10473.C[7] O=$abc$51270$n1802
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=wr_cnt[0] I2=$false I3=$false O=$6\report_data_wadr[7:0][0]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$false CO=$auto$maccmap.cc:240:synth$10495.C[5] I0=$abc$51270$n2414 I1=$abc$51270$n2416
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$abc$51270$n2519 I2=$abc$51270$n2521 I3=$auto$maccmap.cc:240:synth$10495.C[5] O=$6\report_data_wadr[7:0][5]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$10495.C[5] CO=$auto$maccmap.cc:240:synth$10495.C[6] I0=$abc$51270$n2519 I1=$abc$51270$n2521
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=ring_wr[3] I2=$abc$51270$n2522 I3=$auto$maccmap.cc:240:synth$10495.C[6] O=$6\report_data_wadr[7:0][6]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$10495.C[6] CO=$auto$maccmap.cc:240:synth$10495.C[7] I0=ring_wr[3] I1=$abc$51270$n2522
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$maccmap.cc:240:synth$10495.C[7] O=$6\report_data_wadr[7:0][7]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$false CO=$auto$maccmap.cc:240:synth$10566.C[5] I0=$abc$51270$n2417 I1=$abc$51270$n2419
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$2\ring_wr[3:0][2] I2=$abc$51270$n2512 I3=$auto$maccmap.cc:240:synth$10566.C[5] O=$abc$51270$n1906
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$10566.C[5] CO=$auto$maccmap.cc:240:synth$10566.C[6] I0=$2\ring_wr[3:0][2] I1=$abc$51270$n2512
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$2\ring_wr[3:0][3] I2=$false I3=$auto$maccmap.cc:240:synth$10566.C[6] O=$abc$51270$n1909
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$10566.C[6] CO=$auto$maccmap.cc:240:synth$10566.C[7] I0=$2\ring_wr[3:0][3] I1=$false
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$maccmap.cc:240:synth$10566.C[7] O=$abc$51270$n1912
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_DFFE C=CLK D=$abc$51270$n3 E=$abc$51270$n788 Q=LED1
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][0] E=$abc$51270$n764 Q=init_ram_cnt[0] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][1] E=$abc$51270$n764 Q=init_ram_cnt[1] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][2] E=$abc$51270$n764 Q=init_ram_cnt[2] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][3] E=$abc$51270$n764 Q=init_ram_cnt[3] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][4] E=$abc$51270$n764 Q=init_ram_cnt[4] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][5] E=$abc$51270$n764 Q=init_ram_cnt[5] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][6] E=$abc$51270$n764 Q=init_ram_cnt[6] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][7] E=$abc$51270$n764 Q=init_ram_cnt[7] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFF C=CLK D=$0\rststate[3:0][0] Q=rststate[0]
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$0\rststate[3:0][1] Q=rststate[1]
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$0\rststate[3:0][2] Q=rststate[2]
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$0\rststate[3:0][3] Q=rststate[3]
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFFESR C=CLK D=$2\UART_WR[0:0] E=$abc$51270$n796 Q=UART_WR R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][0] E=$abc$51270$n799 Q=UART_TX_DATA[0] S=$abc$51270$n19
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][1] E=$abc$51270$n799 Q=UART_TX_DATA[1] S=$abc$51270$n19
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][2] E=$abc$51270$n799 Q=UART_TX_DATA[2] S=$abc$51270$n19
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][3] E=$abc$51270$n799 Q=UART_TX_DATA[3] S=$abc$51270$n19
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][4] E=$abc$51270$n799 Q=UART_TX_DATA[4] S=$abc$51270$n19
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][5] E=$abc$51270$n799 Q=UART_TX_DATA[5] S=$abc$51270$n19
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][6] E=$abc$51270$n799 Q=UART_TX_DATA[6] S=$abc$51270$n19
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][7] E=$abc$51270$n799 Q=UART_TX_DATA[7] S=$abc$51270$n19
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][0] E=$abc$51270$n871 Q=int_tmr[0] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][1] E=$abc$51270$n867 Q=int_tmr[1] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][2] E=$abc$51270$n871 Q=int_tmr[2] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][3] E=$abc$51270$n871 Q=int_tmr[3] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][4] E=$abc$51270$n871 Q=int_tmr[4] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][5] E=$abc$51270$n871 Q=int_tmr[5] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][6] E=$abc$51270$n871 Q=int_tmr[6] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][7] E=$abc$51270$n871 Q=int_tmr[7] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][8] E=$abc$51270$n871 Q=int_tmr[8] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][9] E=$abc$51270$n871 Q=int_tmr[9] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][10] E=$abc$51270$n871 Q=int_tmr[10] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][11] E=$abc$51270$n871 Q=int_tmr[11] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][12] E=$abc$51270$n871 Q=int_tmr[12] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][13] E=$abc$51270$n871 Q=int_tmr[13] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][14] E=$abc$51270$n871 Q=int_tmr[14] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$true E=$abc$51270$n917 Q=$abc$51270$n8
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][0] E=$abc$51270$n921 Q=ring_wr[0] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][1] E=$abc$51270$n921 Q=ring_wr[1] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][2] E=$abc$51270$n921 Q=ring_wr[2] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][3] E=$abc$51270$n921 Q=ring_wr[3] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$10\ring_rd[3:0][0] E=$abc$51270$n934 Q=ring_rd[0] S=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$10\ring_rd[3:0][1] E=$abc$51270$n934 Q=ring_rd[1] S=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$10\ring_rd[3:0][2] E=$abc$51270$n934 Q=ring_rd[2] S=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$10\ring_rd[3:0][3] E=$abc$51270$n934 Q=ring_rd[3] S=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][0] E=$abc$51270$n937 Q=wr_cnt[0] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][1] E=$abc$51270$n937 Q=wr_cnt[1] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][2] E=$abc$51270$n937 Q=wr_cnt[2] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][3] E=$abc$51270$n937 Q=wr_cnt[3] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$3\report_wr_en[0:0] E=$abc$51270$n945 Q=report_wr_en S=$abc$51270$n23
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][0] E=$abc$51270$n950 Q=report_data_wadr[0]
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][1] E=$abc$51270$n950 Q=report_data_wadr[1]
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][2] E=$abc$51270$n950 Q=report_data_wadr[2]
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][3] E=$abc$51270$n950 Q=report_data_wadr[3]
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][4] E=$abc$51270$n950 Q=report_data_wadr[4]
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][5] E=$abc$51270$n950 Q=report_data_wadr[5]
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][6] E=$abc$51270$n950 Q=report_data_wadr[6]
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][7] E=$abc$51270$n950 Q=report_data_wadr[7]
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$add$top.v:182$302_Y[0] E=$abc$51270$n974 Q=report_data_radr[0] R=$abc$51270$n21
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][1] E=$abc$51270$n968 Q=report_data_radr[1]
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][2] E=$abc$51270$n974 Q=report_data_radr[2]
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][3] E=$abc$51270$n974 Q=report_data_radr[3]
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][4] E=$abc$51270$n974 Q=report_data_radr[4]
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][5] E=$abc$51270$n974 Q=report_data_radr[5]
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][6] E=$abc$51270$n974 Q=report_data_radr[6]
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][7] E=$abc$51270$n974 Q=report_data_radr[7]
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][0] E=$abc$51270$n950 Q=report_data_wr[0] R=$abc$51270$n23
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][1] E=$abc$51270$n950 Q=report_data_wr[1] R=$abc$51270$n23
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][2] E=$abc$51270$n950 Q=report_data_wr[2] R=$abc$51270$n23
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][3] E=$abc$51270$n950 Q=report_data_wr[3] R=$abc$51270$n23
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][4] E=$abc$51270$n950 Q=report_data_wr[4] R=$abc$51270$n23
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][5] E=$abc$51270$n950 Q=report_data_wr[5] R=$abc$51270$n23
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][6] E=$abc$51270$n950 Q=report_data_wr[6] R=$abc$51270$n23
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][7] E=$abc$51270$n950 Q=report_data_wr[7] R=$abc$51270$n23
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$abc$51270$n1046 Q=temp_output_report[0]
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$abc$51270$n1046 Q=temp_output_report[1]
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$abc$51270$n1046 Q=temp_output_report[2]
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][0] E=$abc$51270$n1112 Q=i2c_input_data_type[0]
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][1] E=$abc$51270$n1112 Q=i2c_input_data_type[1]
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][2] E=$abc$51270$n1112 Q=i2c_input_data_type[2]
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][3] E=$abc$51270$n1112 Q=i2c_input_data_type[3]
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][0] E=$abc$51270$n1117 Q=I2C_INPUT_LEN[0] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][1] E=$abc$51270$n1117 Q=I2C_INPUT_LEN[1] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][2] E=$abc$51270$n1117 Q=I2C_INPUT_LEN[2] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][3] E=$abc$51270$n1117 Q=I2C_INPUT_LEN[3] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][4] E=$abc$51270$n1117 Q=I2C_INPUT_LEN[4] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][5] E=$abc$51270$n1117 Q=I2C_INPUT_LEN[5] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][6] E=$abc$51270$n1117 Q=I2C_INPUT_LEN[6] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][7] E=$abc$51270$n1117 Q=I2C_INPUT_LEN[7] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][0] E=$abc$51270$n1119 Q=I2C_OUTPUT_TYPE[0] S=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][1] E=$abc$51270$n1119 Q=I2C_OUTPUT_TYPE[1] S=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][2] E=$abc$51270$n1119 Q=I2C_OUTPUT_TYPE[2] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51270$n1119 Q=I2C_OUT_DESC_MASK[0] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51270$n1119 Q=I2C_OUT_DESC_MASK[1] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51270$n1119 Q=I2C_OUT_DESC_MASK[2] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51270$n1119 Q=I2C_OUT_DESC_MASK[3] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51270$n1119 Q=I2C_OUT_DESC_MASK[4] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51270$n1119 Q=I2C_OUT_DESC_MASK[5] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51270$n1119 Q=I2C_OUT_DESC_MASK[6] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51270$n1119 Q=I2C_OUT_DESC_MASK[7] R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=temp_output_report[0] E=$abc$51270$n1138 Q=LED2 S=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=temp_output_report[1] E=$abc$51270$n1138 Q=LED3 R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=temp_output_report[2] E=$abc$51270$n1138 Q=LED4 S=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.wr E=$abc$51270$n1149 Q=last_wr
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=I2C_TRANS E=$abc$51270$n1152 Q=last_trans R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=UART.tx_activity E=$abc$51270$n1159 Q=last_uart_active R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.isr E=$abc$51270$n1163 Q=last_isr R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\uart_double_ff[0:0] E=$abc$51270$n1168 Q=uart_double_ff R=$abc$51270$n35
.attr src "top.v:67|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFN C=CLK D=SCL Q=I2C.SCLF
.attr src "top.v:21|i2c_slave.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1"
.gate SB_DFFN C=CLK D=I2C.SDA_IN Q=I2C.SDAF
.attr src "top.v:21|i2c_slave.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1"
.gate SB_DFF C=CLK D=$abc$51270$n2054 Q=I2C.wr
.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$abc$51270$n2052 Q=I2C.is_ack
.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$abc$51270$n2036 Q=I2C.byte_counter[0]
.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$abc$51270$n2038 Q=I2C.byte_counter[1]
.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$abc$51270$n2040 Q=I2C.byte_counter[2]
.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$abc$51270$n2042 Q=I2C.byte_counter[3]
.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$abc$51270$n2044 Q=I2C.byte_counter[4]
.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$abc$51270$n2046 Q=I2C.byte_counter[5]
.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$abc$51270$n2048 Q=I2C.byte_counter[6]
.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$abc$51270$n2050 Q=I2C.byte_counter[7]
.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51270$n1177 Q=I2C.received_byte[0]
.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51270$n1190 Q=I2C.received_byte[1]
.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51270$n1195 Q=I2C.received_byte[2]
.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51270$n1200 Q=I2C.received_byte[3]
.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51270$n1205 Q=I2C.received_byte[4]
.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51270$n1210 Q=I2C.received_byte[5]
.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51270$n1217 Q=I2C.received_byte[6]
.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51270$n1225 Q=I2C.received_byte[7]
.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFF C=CLK D=$abc$51270$n2028 Q=I2C.i2c_bit_counter[0]
.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$abc$51270$n2030 Q=I2C.i2c_bit_counter[1]
.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$abc$51270$n2032 Q=I2C.i2c_bit_counter[2]
.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$abc$51270$n2034 Q=I2C.i2c_bit_counter[3]
.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$abc$51270$n2026 Q=I2C.is_read
.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFFE C=CLK D=$abc$51270$n2006 E=$abc$51270$n1228 Q=I2C.i2c_start_latency
.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFF C=CLK D=$abc$51270$n5 Q=$abc$51270$n14
.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$abc$51270$n7 Q=$abc$51270$n16
.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$abc$51270$n2022 Q=I2C.SDA_DIR
.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFFE C=CLK D=$abc$51270$n2189 E=I2C.FLT_SCL.RESET Q=UART.tx_activity
.attr src "top.v:30|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$51270$n1 E=$abc$51270$n1231 Q=$abc$51270$n10
.attr src "top.v:30|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2182 E=$abc$51270$n1238 Q=UART.tx_clk_counter[0] R=$abc$51270$n35
.attr src "top.v:30|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2184 E=$abc$51270$n1238 Q=UART.tx_clk_counter[1] R=$abc$51270$n35
.attr src "top.v:30|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2186 E=$abc$51270$n1238 Q=UART.tx_clk_counter[2] R=$abc$51270$n35
.attr src "top.v:30|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2188 E=$abc$51270$n1238 Q=UART.tx_clk_counter[3] R=$abc$51270$n35
.attr src "top.v:30|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$51270$n2384 E=$abc$51270$n1231 Q=UART.tx_bit_counter[0] S=$abc$51270$n25
.attr src "top.v:30|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2382 E=$abc$51270$n1231 Q=UART.tx_bit_counter[1] R=$abc$51270$n25
.attr src "top.v:30|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2465 E=$abc$51270$n1231 Q=UART.tx_bit_counter[2] R=$abc$51270$n25
.attr src "top.v:30|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$51270$n2467 E=$abc$51270$n1231 Q=UART.tx_bit_counter[3] S=$abc$51270$n25
.attr src "top.v:30|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=UART_WR E=I2C.FLT_SCL.RESET Q=UART.TX_sig_last
.attr src "top.v:30|uart.v:25|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2311 E=$abc$51270$n1258 Q=KEYBOARD.report[5][0] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2312 E=$abc$51270$n1258 Q=KEYBOARD.report[5][1] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2313 E=$abc$51270$n1258 Q=KEYBOARD.report[5][2] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2314 E=$abc$51270$n1258 Q=KEYBOARD.report[5][3] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2315 E=$abc$51270$n1258 Q=KEYBOARD.report[5][4] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2316 E=$abc$51270$n1258 Q=KEYBOARD.report[5][5] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2317 E=$abc$51270$n1258 Q=KEYBOARD.report[5][6] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2318 E=$abc$51270$n1258 Q=KEYBOARD.report[5][7] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[0] E=$abc$51270$n1313 Q=KEYBOARD.report[6][0] R=$abc$51270$n27
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[1] E=$abc$51270$n1313 Q=KEYBOARD.report[6][1] R=$abc$51270$n27
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[2] E=$abc$51270$n1313 Q=KEYBOARD.report[6][2] R=$abc$51270$n27
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[3] E=$abc$51270$n1313 Q=KEYBOARD.report[6][3] R=$abc$51270$n27
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[4] E=$abc$51270$n1313 Q=KEYBOARD.report[6][4] R=$abc$51270$n27
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[5] E=$abc$51270$n1313 Q=KEYBOARD.report[6][5] R=$abc$51270$n27
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[6] E=$abc$51270$n1313 Q=KEYBOARD.report[6][6] R=$abc$51270$n27
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[7] E=$abc$51270$n1313 Q=KEYBOARD.report[6][7] R=$abc$51270$n27
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2311 E=$abc$51270$n1325 Q=KEYBOARD.report[4][0] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2312 E=$abc$51270$n1325 Q=KEYBOARD.report[4][1] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2313 E=$abc$51270$n1325 Q=KEYBOARD.report[4][2] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2314 E=$abc$51270$n1325 Q=KEYBOARD.report[4][3] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2315 E=$abc$51270$n1325 Q=KEYBOARD.report[4][4] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2316 E=$abc$51270$n1325 Q=KEYBOARD.report[4][5] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2317 E=$abc$51270$n1325 Q=KEYBOARD.report[4][6] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2318 E=$abc$51270$n1325 Q=KEYBOARD.report[4][7] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2311 E=$abc$51270$n1354 Q=KEYBOARD.report[3][0] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2312 E=$abc$51270$n1354 Q=KEYBOARD.report[3][1] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2313 E=$abc$51270$n1354 Q=KEYBOARD.report[3][2] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2314 E=$abc$51270$n1354 Q=KEYBOARD.report[3][3] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2315 E=$abc$51270$n1354 Q=KEYBOARD.report[3][4] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2316 E=$abc$51270$n1354 Q=KEYBOARD.report[3][5] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2317 E=$abc$51270$n1354 Q=KEYBOARD.report[3][6] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2318 E=$abc$51270$n1354 Q=KEYBOARD.report[3][7] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2311 E=$abc$51270$n1365 Q=KEYBOARD.report[2][0] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2312 E=$abc$51270$n1365 Q=KEYBOARD.report[2][1] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2313 E=$abc$51270$n1365 Q=KEYBOARD.report[2][2] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2314 E=$abc$51270$n1365 Q=KEYBOARD.report[2][3] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2315 E=$abc$51270$n1365 Q=KEYBOARD.report[2][4] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2316 E=$abc$51270$n1365 Q=KEYBOARD.report[2][5] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2317 E=$abc$51270$n1365 Q=KEYBOARD.report[2][6] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2318 E=$abc$51270$n1365 Q=KEYBOARD.report[2][7] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2311 E=$abc$51270$n1374 Q=KEYBOARD.report[1][0] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2312 E=$abc$51270$n1374 Q=KEYBOARD.report[1][1] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2313 E=$abc$51270$n1374 Q=KEYBOARD.report[1][2] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2314 E=$abc$51270$n1374 Q=KEYBOARD.report[1][3] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2315 E=$abc$51270$n1374 Q=KEYBOARD.report[1][4] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2316 E=$abc$51270$n1374 Q=KEYBOARD.report[1][5] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2317 E=$abc$51270$n1374 Q=KEYBOARD.report[1][6] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2318 E=$abc$51270$n1374 Q=KEYBOARD.report[1][7] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2289 E=$abc$51270$n1381 Q=KEYBOARD.report[0][0] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2292 E=$abc$51270$n1381 Q=KEYBOARD.report[0][1] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2295 E=$abc$51270$n1381 Q=KEYBOARD.report[0][2] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2298 E=$abc$51270$n1381 Q=KEYBOARD.report[0][3] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2301 E=$abc$51270$n1381 Q=KEYBOARD.report[0][4] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2304 E=$abc$51270$n1381 Q=KEYBOARD.report[0][5] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2307 E=$abc$51270$n1381 Q=KEYBOARD.report[0][6] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2310 E=$abc$51270$n1381 Q=KEYBOARD.report[0][7] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9492[0] E=$abc$51270$n1388 Q=KEYBOARD.init_ram_cnt[0] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2400 E=$abc$51270$n1387 Q=KEYBOARD.init_ram_cnt[1] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9492[2] E=$abc$51270$n1388 Q=KEYBOARD.init_ram_cnt[2] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9492[3] E=$abc$51270$n1388 Q=KEYBOARD.init_ram_cnt[3] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9492[4] E=$abc$51270$n1388 Q=KEYBOARD.init_ram_cnt[4] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9492[5] E=$abc$51270$n1388 Q=KEYBOARD.init_ram_cnt[5] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9492[6] E=$abc$51270$n1388 Q=KEYBOARD.init_ram_cnt[6] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9492[7] E=$abc$51270$n1388 Q=KEYBOARD.init_ram_cnt[7] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9492[8] E=$abc$51270$n1388 Q=KEYBOARD.init_ram_cnt[8] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9491[0] E=$abc$51270$n1392 Q=KEYBOARD.init_delay_cnt[0] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2399 E=$abc$51270$n1393 Q=KEYBOARD.init_delay_cnt[1] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9491[2] E=$abc$51270$n1392 Q=KEYBOARD.init_delay_cnt[2] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9491[3] E=$abc$51270$n1392 Q=KEYBOARD.init_delay_cnt[3] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$51270$n2194 E=$abc$51270$n1398 Q=KEYBOARD.ram_adr[0]
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$51270$n2197 E=$abc$51270$n1398 Q=KEYBOARD.ram_adr[1]
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$51270$n2200 E=$abc$51270$n1398 Q=KEYBOARD.ram_adr[2]
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$51270$n2202 E=$abc$51270$n1398 Q=KEYBOARD.ram_adr[3]
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[4] E=$abc$51270$n1398 Q=KEYBOARD.ram_adr[4] R=KEYBOARD.init_ram_cnt[8]
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[5] E=$abc$51270$n1398 Q=KEYBOARD.ram_adr[5] R=KEYBOARD.init_ram_cnt[8]
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[6] E=$abc$51270$n1398 Q=KEYBOARD.ram_adr[6] R=KEYBOARD.init_ram_cnt[8]
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[7] E=$abc$51270$n1398 Q=KEYBOARD.ram_adr[7] R=KEYBOARD.init_ram_cnt[8]
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[8] E=$abc$51270$n1398 Q=KEYBOARD.ram_adr[8] R=KEYBOARD.init_ram_cnt[8]
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$51270$n2216 E=$abc$51270$n1320 Q=KEYBOARD.ram_wr S=$abc$51270$n33
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$51270$n26 E=$abc$51270$n1418 Q=KEYBOARD.is_pressed
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$51270$n2267 E=$abc$51270$n1418 Q=KEYBOARD.kbd_code[0] S=$abc$51270$n29
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$51270$n2268 E=$abc$51270$n1418 Q=KEYBOARD.kbd_code[1] S=$abc$51270$n29
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$51270$n2269 E=$abc$51270$n1418 Q=KEYBOARD.kbd_code[2] S=$abc$51270$n29
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$51270$n2270 E=$abc$51270$n1418 Q=KEYBOARD.kbd_code[3] S=$abc$51270$n29
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$51270$n2271 E=$abc$51270$n1418 Q=KEYBOARD.kbd_code[4] S=$abc$51270$n29
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$51270$n2272 E=$abc$51270$n1418 Q=KEYBOARD.kbd_code[5] S=$abc$51270$n29
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$51270$n2273 E=$abc$51270$n1418 Q=KEYBOARD.kbd_code[6] S=$abc$51270$n29
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$51270$n2274 E=$abc$51270$n1418 Q=KEYBOARD.kbd_code[7] S=$abc$51270$n29
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$51270$n2246 E=$abc$51270$n1402 Q=KEYBOARD.COLS_SHADOW[1]
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$51270$n2249 E=$abc$51270$n1402 Q=KEYBOARD.COLS_SHADOW[2]
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$51270$n2252 E=$abc$51270$n1402 Q=KEYBOARD.COLS_SHADOW[3]
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$51270$n2255 E=$abc$51270$n1402 Q=KEYBOARD.COLS_SHADOW[4]
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$51270$n2258 E=$abc$51270$n1402 Q=KEYBOARD.COLS_SHADOW[5]
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$51270$n2261 E=$abc$51270$n1402 Q=KEYBOARD.COLS_SHADOW[6]
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$51270$n2264 E=$abc$51270$n1402 Q=KEYBOARD.COLS_SHADOW[7]
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2575 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[0] R=$abc$51270$n30
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2576 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[1] R=$abc$51270$n30
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2577 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[2] R=$abc$51270$n30
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2578 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[3] R=$abc$51270$n30
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2579 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[4] R=$abc$51270$n30
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2580 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[5] R=$abc$51270$n30
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2581 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[6] R=$abc$51270$n30
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2582 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[7] R=$abc$51270$n30
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2575 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[8] R=$abc$51270$n31
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2576 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[9] R=$abc$51270$n31
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2577 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[10] R=$abc$51270$n31
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2578 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[11] R=$abc$51270$n31
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2579 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[12] R=$abc$51270$n31
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2580 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[13] R=$abc$51270$n31
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2581 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[14] R=$abc$51270$n31
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2582 E=$abc$51270$n1404 Q=KEYBOARD.ROWS_EN[15] R=$abc$51270$n31
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2285 E=$abc$51270$n1415 Q=KEYBOARD.isr R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$51270$n2276 E=$abc$51270$n1417 Q=KEYBOARD.temp[0] S=$abc$51270$n33
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$51270$n2280 E=$abc$51270$n1417 Q=KEYBOARD.temp[1] S=$abc$51270$n33
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$51270$n2278 E=$abc$51270$n1417 Q=KEYBOARD.temp[2] S=$abc$51270$n33
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$51270$n2281 E=$abc$51270$n1417 Q=KEYBOARD.temp[3] S=$abc$51270$n33
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$51270$n2277 E=$abc$51270$n1417 Q=KEYBOARD.temp[4] S=$abc$51270$n33
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$51270$n2282 E=$abc$51270$n1417 Q=KEYBOARD.temp[5] S=$abc$51270$n33
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$51270$n2283 E=$abc$51270$n1417 Q=KEYBOARD.temp[6] S=$abc$51270$n33
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$51270$n2279 E=$abc$51270$n1417 Q=KEYBOARD.temp[7] S=$abc$51270$n33
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$51270$n2206 E=$abc$51270$n1418 Q=KEYBOARD.row_counter[0]
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$51270$n2208 E=$abc$51270$n1418 Q=KEYBOARD.row_counter[1]
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$51270$n2210 E=$abc$51270$n1418 Q=KEYBOARD.row_counter[2]
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$51270$n2212 E=$abc$51270$n1418 Q=KEYBOARD.row_counter[3]
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n1609 E=$abc$51270$n1257 Q=KEYBOARD.row_time[0] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n1610 E=$abc$51270$n1257 Q=KEYBOARD.row_time[1] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n1612 E=$abc$51270$n1257 Q=KEYBOARD.row_time[2] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n1613 E=$abc$51270$n1257 Q=KEYBOARD.row_time[3] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n1607 E=$abc$51270$n1257 Q=KEYBOARD.row_time[4] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n396 E=$abc$51270$n1257 Q=KEYBOARD.row_time[5] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n394 E=$abc$51270$n1257 Q=KEYBOARD.row_time[6] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n383 E=$abc$51270$n1257 Q=KEYBOARD.row_time[7] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n1617 E=$abc$51270$n1257 Q=KEYBOARD.row_time[8] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n1618 E=$abc$51270$n1257 Q=KEYBOARD.row_time[9] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n1620 E=$abc$51270$n1257 Q=KEYBOARD.row_time[10] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n1621 E=$abc$51270$n1257 Q=KEYBOARD.row_time[11] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n1626 E=$abc$51270$n1257 Q=KEYBOARD.row_time[12] R=$abc$51270$n35
.attr src "top.v:39|matrix_kbd.v:48|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2325 E=$abc$51270$n1420 Q=I2C_HID_DESC.real_adress[0] R=$abc$51270$n35
.attr src "top.v:41|descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=I2C.byte_counter[1] E=$abc$51270$n1420 Q=I2C_HID_DESC.real_adress[1] R=$abc$51270$n35
.attr src "top.v:41|descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=I2C.byte_counter[2] E=$abc$51270$n1420 Q=I2C_HID_DESC.real_adress[2] R=$abc$51270$n35
.attr src "top.v:41|descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=I2C.byte_counter[3] E=$abc$51270$n1420 Q=I2C_HID_DESC.real_adress[3] R=$abc$51270$n35
.attr src "top.v:41|descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=I2C.byte_counter[4] E=$abc$51270$n1420 Q=I2C_HID_DESC.real_adress[4] R=$abc$51270$n35
.attr src "top.v:41|descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2327 E=$abc$51270$n1420 Q=I2C_HID_DESC.real_adress[5] R=$abc$51270$n35
.attr src "top.v:41|descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2329 E=$abc$51270$n1420 Q=I2C_HID_DESC.real_adress[6] R=$abc$51270$n35
.attr src "top.v:41|descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51270$n2331 E=$abc$51270$n1420 Q=I2C_HID_DESC.real_adress[7] R=$abc$51270$n35
.attr src "top.v:41|descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFSR C=CLK D=I2C.wr Q=I2C_HID_DESC.last_rd_request R=$abc$51270$n35
.attr src "top.v:41|descriptors.v:15|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFFR C=CLK D=$abc$51270$n2024 Q=I2C.i2c_state_machine R=$abc$51270$n35
.attr src "top.v:21|i2c_slave.v:53|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:12"
.gate SB_DFFES C=CLK D=I2C.SDAF E=$abc$51270$n1429 Q=I2C.FLT_SDA.out S=$abc$51270$n35
.attr src "top.v:21|i2c_slave.v:46|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:23"
.gate SB_DFFER C=CLK D=$abc$51270$n2332 E=$abc$51270$n1430 Q=I2C.FLT_SDA.counter[0] R=$abc$51270$n35
.attr src "top.v:21|i2c_slave.v:46|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22"
.gate SB_DFFER C=CLK D=$abc$51270$n2333 E=$abc$51270$n1430 Q=I2C.FLT_SDA.counter[1] R=$abc$51270$n35
.attr src "top.v:21|i2c_slave.v:46|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22"
.gate SB_DFFER C=CLK D=$abc$51270$n2334 E=$abc$51270$n1430 Q=I2C.FLT_SDA.counter[2] R=$abc$51270$n35
.attr src "top.v:21|i2c_slave.v:46|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22"
.gate SB_DFFES C=CLK D=I2C.SCLF E=$abc$51270$n1439 Q=I2C.FLT_SCL.out S=$abc$51270$n35
.attr src "top.v:21|i2c_slave.v:45|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:23"
.gate SB_DFFER C=CLK D=$abc$51270$n2335 E=$abc$51270$n1440 Q=I2C.FLT_SCL.counter[0] R=$abc$51270$n35
.attr src "top.v:21|i2c_slave.v:45|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22"
.gate SB_DFFER C=CLK D=$abc$51270$n2336 E=$abc$51270$n1440 Q=I2C.FLT_SCL.counter[1] R=$abc$51270$n35
.attr src "top.v:21|i2c_slave.v:45|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22"
.gate SB_DFFER C=CLK D=$abc$51270$n2337 E=$abc$51270$n1440 Q=I2C.FLT_SCL.counter[2] R=$abc$51270$n35
.attr src "top.v:21|i2c_slave.v:45|simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22"
.gate SB_IO D_IN_0=I2C.SDA_IN D_OUT_0=$false OUTPUT_ENABLE=I2C.SDA_DIR PACKAGE_PIN=SDA
.attr src "top.v:21|i2c_slave.v:178"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_RAM40_4K RADDR[0]=I2C_HID_DESC.real_adress[0] RADDR[1]=I2C_HID_DESC.real_adress[1] RADDR[2]=I2C_HID_DESC.real_adress[2] RADDR[3]=I2C_HID_DESC.real_adress[3] RADDR[4]=I2C_HID_DESC.real_adress[4] RADDR[5]=I2C_HID_DESC.real_adress[5] RADDR[6]=I2C_HID_DESC.real_adress[6] RADDR[7]=I2C_HID_DESC.real_adress[7] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=I2C_HID_DESC.VAL[0] RDATA[1]=I2C_HID_DESC.VAL[1] RDATA[2]=I2C_HID_DESC.VAL[2] RDATA[3]=I2C_TX_DESC[3] RDATA[4]=I2C_TX_DESC[4] RDATA[5]=I2C_TX_DESC[5] RDATA[6]=I2C_TX_DESC[6] RDATA[7]=I2C_TX_DESC[7] RDATA[8]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$391[0] RDATA[9]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$391[1] RDATA[10]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$391[2] RDATA[11]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$391[3] RDATA[12]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$391[4] RDATA[13]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$391[5] RDATA[14]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$391[6] RDATA[15]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:709:execute$391[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WDATA[8]=$false WDATA[9]=$false WDATA[10]=$false WDATA[11]=$false WDATA[12]=$false WDATA[13]=$false WDATA[14]=$false WDATA[15]=$false WE=$false
.attr src "top.v:41|descriptors.v:143"
.param INIT_0 0000000000000000000000000000010000000000000000000000000000001010000000000000000000000000000000110000000000000000000000000000001000000000000000000000000000111111000000000000000100000000000000000000000000000000000000000001111000000000000000000000000000000000
.param INIT_1 0000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000001000000000000000100000000000001000000000010011111000000000000000000000000000001100000000000000000000000000000010100000000000000000000000000000011
.param INIT_2 0000000000000000000000000001010100000000111001110000000000101001000000001110000000000000000110010000000000000111000000000000010100000000000000010000000010100001000000000000011000000000000010010000000000000001000000000000010100000000000000000000000000000000
.param INIT_3 0000000000000101000000001001010100000000000000010000000010000001000000000000100000000000011101010000000000000001000000001001010100000000000000100000000010000001000000000000100000000000100101010000000000000001000000000111010100000000000000010000000000100101
.param INIT_4 0000000000000011000000001001000100000000000000110000000001110101000000000000000100000000100101010000000000000010000000001001000100000000000001010000000000101001000000000000000100000000000110010000000000001000000000000000010100000000000000010000000001110101
.param INIT_5 0000000000000000000000001000000100000000011001010000000000101001000000000000000000000000000110010000000000000111000000000000010100000000011001010000000000100101000000000000000000000000000101010000000000001000000000000111010100000000000001100000000010010101
.param INIT_6 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000
.param READ_MODE 00000000000000000000000000000001
.param WRITE_MODE 00000000000000000000000000000001
.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=KEYBOARD.ram_adr[0] RADDR[1]=KEYBOARD.ram_adr[1] RADDR[2]=KEYBOARD.ram_adr[2] RADDR[3]=KEYBOARD.ram_adr[3] RADDR[4]=KEYBOARD.ram_adr[4] RADDR[5]=KEYBOARD.ram_adr[5] RADDR[6]=KEYBOARD.ram_adr[6] RADDR[7]=KEYBOARD.ram_adr[7] RADDR[8]=KEYBOARD.ram_adr[8] RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.RAM.r_data[0] RDATA[1]=$techmap9664\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[1] RDATA[2]=KEYBOARD.RAM.r_data[1] RDATA[3]=$techmap9664\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[3] RDATA[4]=KEYBOARD.RAM.r_data[2] RDATA[5]=$techmap9664\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[5] RDATA[6]=KEYBOARD.RAM.r_data[3] RDATA[7]=$techmap9664\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[7] RDATA[8]=KEYBOARD.RAM.r_data[4] RDATA[9]=$techmap9664\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[9] RDATA[10]=KEYBOARD.RAM.r_data[5] RDATA[11]=$techmap9664\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[11] RDATA[12]=KEYBOARD.RAM.r_data[6] RDATA[13]=$techmap9664\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[13] RDATA[14]=KEYBOARD.RAM.r_data[7] RDATA[15]=$techmap9664\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=KEYBOARD.ram_adr[0] WADDR[1]=KEYBOARD.ram_adr[1] WADDR[2]=KEYBOARD.ram_adr[2] WADDR[3]=KEYBOARD.ram_adr[3] WADDR[4]=KEYBOARD.ram_adr[4] WADDR[5]=KEYBOARD.ram_adr[5] WADDR[6]=KEYBOARD.ram_adr[6] WADDR[7]=KEYBOARD.ram_adr[7] WADDR[8]=KEYBOARD.ram_adr[8] WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=KEYBOARD.ram_wr WDATA[0]=KEYBOARD.temp[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.temp[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.temp[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.temp[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.temp[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.temp[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.temp[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.temp[7] WDATA[15]=$undef WE=$true
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:277|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:35"
.param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1
.param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_2 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_3 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_4 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_5 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_6 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_7 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_8 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_9 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_A xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_B xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_C xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_D xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_E xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param READ_MODE 00000000000000000000000000000001
.param WRITE_MODE 00000000000000000000000000000001
.gate SB_RAM40_4K RADDR[0]=KEYBOARD.kbd_code[0] RADDR[1]=KEYBOARD.kbd_code[1] RADDR[2]=KEYBOARD.kbd_code[2] RADDR[3]=KEYBOARD.kbd_code[3] RADDR[4]=KEYBOARD.kbd_code[4] RADDR[5]=KEYBOARD.kbd_code[5] RADDR[6]=KEYBOARD.kbd_code[6] RADDR[7]=KEYBOARD.kbd_code[7] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.kbd_code_hid[0] RDATA[1]=KEYBOARD.kbd_code_hid[1] RDATA[2]=KEYBOARD.kbd_code_hid[2] RDATA[3]=KEYBOARD.kbd_code_hid[3] RDATA[4]=KEYBOARD.kbd_code_hid[4] RDATA[5]=KEYBOARD.kbd_code_hid[5] RDATA[6]=KEYBOARD.kbd_code_hid[6] RDATA[7]=KEYBOARD.kbd_code_hid[7] RDATA[8]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$390[0] RDATA[9]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$390[1] RDATA[10]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$390[2] RDATA[11]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$390[3] RDATA[12]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$390[4] RDATA[13]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$390[5] RDATA[14]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$390[6] RDATA[15]=$techmap\KEYBOARD.$auto$hierarchy.cc:709:execute$390[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WDATA[8]=$false WDATA[9]=$false WDATA[10]=$false WDATA[11]=$false WDATA[12]=$false WDATA[13]=$false WDATA[14]=$false WDATA[15]=$false WE=$false
.attr src "top.v:39|matrix_kbd.v:189"
.param INIT_0 0000000000000000000000000000000100000000000000010000000000000001000000001110011100000000010110000000000001001100000000000101001100000000000000010000000000000001000000000000000100000000000000010000000011100000000000000011100100000000111000010000000000101001
.param INIT_1 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010
.param INIT_2 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010
.param INIT_3 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010
.param INIT_4 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010
.param INIT_5 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010
.param INIT_6 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010
.param INIT_7 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010
.param INIT_8 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010
.param INIT_9 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010
.param READ_MODE 00000000000000000000000000000001
.param WRITE_MODE 00000000000000000000000000000001
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[0] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[0] PACKAGE_PIN=KBD_ROWS[0]
.attr src "top.v:39|matrix_kbd.v:215"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[10] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[10] PACKAGE_PIN=KBD_ROWS[10]
.attr src "top.v:39|matrix_kbd.v:215"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[11] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[11] PACKAGE_PIN=KBD_ROWS[11]
.attr src "top.v:39|matrix_kbd.v:215"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[12] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[12] PACKAGE_PIN=KBD_ROWS[12]
.attr src "top.v:39|matrix_kbd.v:215"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[13] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[13] PACKAGE_PIN=KBD_ROWS[13]
.attr src "top.v:39|matrix_kbd.v:215"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[14] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[14] PACKAGE_PIN=KBD_ROWS[14]
.attr src "top.v:39|matrix_kbd.v:215"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[15] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[15] PACKAGE_PIN=KBD_ROWS[15]
.attr src "top.v:39|matrix_kbd.v:215"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[1] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[1] PACKAGE_PIN=KBD_ROWS[1]
.attr src "top.v:39|matrix_kbd.v:215"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[2] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[2] PACKAGE_PIN=KBD_ROWS[2]
.attr src "top.v:39|matrix_kbd.v:215"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[3] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[3] PACKAGE_PIN=KBD_ROWS[3]
.attr src "top.v:39|matrix_kbd.v:215"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[4] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[4] PACKAGE_PIN=KBD_ROWS[4]
.attr src "top.v:39|matrix_kbd.v:215"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[5] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[5] PACKAGE_PIN=KBD_ROWS[5]
.attr src "top.v:39|matrix_kbd.v:215"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[6] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[6] PACKAGE_PIN=KBD_ROWS[6]
.attr src "top.v:39|matrix_kbd.v:215"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[7] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[7] PACKAGE_PIN=KBD_ROWS[7]
.attr src "top.v:39|matrix_kbd.v:215"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[8] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[8] PACKAGE_PIN=KBD_ROWS[8]
.attr src "top.v:39|matrix_kbd.v:215"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[9] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[9] PACKAGE_PIN=KBD_ROWS[9]
.attr src "top.v:39|matrix_kbd.v:215"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=report_data_radr[0] RADDR[1]=report_data_radr[1] RADDR[2]=report_data_radr[2] RADDR[3]=report_data_radr[3] RADDR[4]=report_data_radr[4] RADDR[5]=report_data_radr[5] RADDR[6]=report_data_radr[6] RADDR[7]=report_data_radr[7] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=REPORT_DATA.r_data[0] RDATA[1]=$techmap9663\REPORT_DATA.mem.0.0.0.A1DATA_16[1] RDATA[2]=REPORT_DATA.r_data[1] RDATA[3]=$techmap9663\REPORT_DATA.mem.0.0.0.A1DATA_16[3] RDATA[4]=REPORT_DATA.r_data[2] RDATA[5]=$techmap9663\REPORT_DATA.mem.0.0.0.A1DATA_16[5] RDATA[6]=REPORT_DATA.r_data[3] RDATA[7]=$techmap9663\REPORT_DATA.mem.0.0.0.A1DATA_16[7] RDATA[8]=REPORT_DATA.r_data[4] RDATA[9]=$techmap9663\REPORT_DATA.mem.0.0.0.A1DATA_16[9] RDATA[10]=REPORT_DATA.r_data[5] RDATA[11]=$techmap9663\REPORT_DATA.mem.0.0.0.A1DATA_16[11] RDATA[12]=REPORT_DATA.r_data[6] RDATA[13]=$techmap9663\REPORT_DATA.mem.0.0.0.A1DATA_16[13] RDATA[14]=REPORT_DATA.r_data[7] RDATA[15]=$techmap9663\REPORT_DATA.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=report_data_wadr[0] WADDR[1]=report_data_wadr[1] WADDR[2]=report_data_wadr[2] WADDR[3]=report_data_wadr[3] WADDR[4]=report_data_wadr[4] WADDR[5]=report_data_wadr[5] WADDR[6]=report_data_wadr[6] WADDR[7]=report_data_wadr[7] WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=report_wr_en WDATA[0]=report_data_wr[0] WDATA[1]=$undef WDATA[2]=report_data_wr[1] WDATA[3]=$undef WDATA[4]=report_data_wr[2] WDATA[5]=$undef WDATA[6]=report_data_wr[3] WDATA[7]=$undef WDATA[8]=report_data_wr[4] WDATA[9]=$undef WDATA[10]=report_data_wr[5] WDATA[11]=$undef WDATA[12]=report_data_wr[6] WDATA[13]=$undef WDATA[14]=report_data_wr[7] WDATA[15]=$undef WE=$true
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:277|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/brams_map.v:35"
.param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1
.param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_2 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_3 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_4 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_5 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_6 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_7 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_8 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_9 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_A xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_B xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_C xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_D xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_E xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param READ_MODE 00000000000000000000000000000001
.param WRITE_MODE 00000000000000000000000000000001
.names I2C.is_read COM_RTS
1 1
.names CLK I2C.CLK
1 1
.names I2C.byte_counter[0] I2C.COUNTER[0]
1 1
.names I2C.byte_counter[1] I2C.COUNTER[1]
1 1
.names I2C.byte_counter[2] I2C.COUNTER[2]
1 1
.names I2C.byte_counter[3] I2C.COUNTER[3]
1 1
.names I2C.byte_counter[4] I2C.COUNTER[4]
1 1
.names I2C.byte_counter[5] I2C.COUNTER[5]
1 1
.names I2C.byte_counter[6] I2C.COUNTER[6]
1 1
.names I2C.byte_counter[7] I2C.COUNTER[7]
1 1
.names CLK I2C.FLT_SCL.CLK
1 1
.names I2C.SCLF I2C.FLT_SCL.IN
1 1
.names I2C.FLT_SCL.out I2C.FLT_SCL.OUT
1 1
.names CLK I2C.FLT_SDA.CLK
1 1
.names I2C.SDAF I2C.FLT_SDA.IN
1 1
.names I2C.FLT_SDA.out I2C.FLT_SDA.OUT
1 1
.names I2C.FLT_SCL.RESET I2C.FLT_SDA.RESET
1 1
.names I2C.is_ack I2C.IS_ACK
1 1
.names I2C.is_read I2C.IS_READ
1 1
.names I2C_TRANS I2C.IS_TRANSMISSION
1 1
.names I2C.received_byte[0] I2C.RECEIVED_BYTE[0]
1 1
.names I2C.received_byte[1] I2C.RECEIVED_BYTE[1]
1 1
.names I2C.received_byte[2] I2C.RECEIVED_BYTE[2]
1 1
.names I2C.received_byte[3] I2C.RECEIVED_BYTE[3]
1 1
.names I2C.received_byte[4] I2C.RECEIVED_BYTE[4]
1 1
.names I2C.received_byte[5] I2C.RECEIVED_BYTE[5]
1 1
.names I2C.received_byte[6] I2C.RECEIVED_BYTE[6]
1 1
.names I2C.received_byte[7] I2C.RECEIVED_BYTE[7]
1 1
.names I2C.FLT_SCL.RESET I2C.RESET
1 1
.names SCL I2C.SCL
1 1
.names I2C.FLT_SCL.out I2C.SCLD
1 1
.names SDA I2C.SDA
1 1
.names I2C.FLT_SDA.out I2C.SDAD
1 1
.names I2C.wr I2C.WR
1 1
.names I2C.is_ack I2C_ACK
1 1
.names I2C.byte_counter[0] I2C_COUNTER[0]
1 1
.names I2C.byte_counter[1] I2C_COUNTER[1]
1 1
.names I2C.byte_counter[2] I2C_COUNTER[2]
1 1
.names I2C.byte_counter[3] I2C_COUNTER[3]
1 1
.names I2C.byte_counter[4] I2C_COUNTER[4]
1 1
.names I2C.byte_counter[5] I2C_COUNTER[5]
1 1
.names I2C.byte_counter[6] I2C_COUNTER[6]
1 1
.names I2C.byte_counter[7] I2C_COUNTER[7]
1 1
.names I2C.byte_counter[0] I2C_HID_DESC.ADR[0]
1 1
.names I2C.byte_counter[1] I2C_HID_DESC.ADR[1]
1 1
.names I2C.byte_counter[2] I2C_HID_DESC.ADR[2]
1 1
.names I2C.byte_counter[3] I2C_HID_DESC.ADR[3]
1 1
.names I2C.byte_counter[4] I2C_HID_DESC.ADR[4]
1 1
.names I2C.byte_counter[5] I2C_HID_DESC.ADR[5]
1 1
.names I2C.byte_counter[6] I2C_HID_DESC.ADR[6]
1 1
.names I2C.byte_counter[7] I2C_HID_DESC.ADR[7]
1 1
.names CLK I2C_HID_DESC.CLK
1 1
.names I2C_OUTPUT_TYPE[0] I2C_HID_DESC.DESC_TYPE[0]
1 1
.names I2C_OUTPUT_TYPE[1] I2C_HID_DESC.DESC_TYPE[1]
1 1
.names I2C.wr I2C_HID_DESC.RD_REQUEST
1 1
.names I2C.FLT_SCL.RESET I2C_HID_DESC.RESET
1 1
.names I2C.is_read I2C_READ
1 1
.names I2C.received_byte[0] I2C_RX[0]
1 1
.names I2C.received_byte[1] I2C_RX[1]
1 1
.names I2C.received_byte[2] I2C_RX[2]
1 1
.names I2C.received_byte[3] I2C_RX[3]
1 1
.names I2C.received_byte[4] I2C_RX[4]
1 1
.names I2C.received_byte[5] I2C_RX[5]
1 1
.names I2C.received_byte[6] I2C_RX[6]
1 1
.names I2C.received_byte[7] I2C_RX[7]
1 1
.names I2C_HID_DESC.VAL[0] I2C_TX_DESC[0]
1 1
.names I2C_HID_DESC.VAL[1] I2C_TX_DESC[1]
1 1
.names I2C_HID_DESC.VAL[2] I2C_TX_DESC[2]
1 1
.names I2C.wr I2C_WR
1 1
.names COM_DCD INT
1 1
.names COM_DCD INTERRUPT
1 1
.names KEYBOARD.isr ISR
1 1
.names COM_DSR KBD_FREEZE
1 1
.names LED2 KBD_LED_STATUS[0]
1 1
.names LED3 KBD_LED_STATUS[1]
1 1
.names LED4 KBD_LED_STATUS[2]
1 1
.names $undef KBD_LED_STATUS[3]
1 1
.names $undef KBD_LED_STATUS[4]
1 1
.names $undef KBD_LED_STATUS[5]
1 1
.names $undef KBD_LED_STATUS[6]
1 1
.names $undef KBD_LED_STATUS[7]
1 1
.names CLK KEYBOARD.CLK
1 1
.names KBD_COLUMNS[0] KEYBOARD.COLUMNS[0]
1 1
.names KBD_COLUMNS[1] KEYBOARD.COLUMNS[1]
1 1
.names KBD_COLUMNS[2] KEYBOARD.COLUMNS[2]
1 1
.names KBD_COLUMNS[3] KEYBOARD.COLUMNS[3]
1 1
.names KBD_COLUMNS[4] KEYBOARD.COLUMNS[4]
1 1
.names KBD_COLUMNS[5] KEYBOARD.COLUMNS[5]
1 1
.names KBD_COLUMNS[6] KEYBOARD.COLUMNS[6]
1 1
.names KBD_COLUMNS[7] KEYBOARD.COLUMNS[7]
1 1
.names KEYBOARD.isr KEYBOARD.INT
1 1
.names CLK KEYBOARD.RAM.clk
1 1
.names KEYBOARD.ram_adr[0] KEYBOARD.RAM.raddr[0]
1 1
.names KEYBOARD.ram_adr[1] KEYBOARD.RAM.raddr[1]
1 1
.names KEYBOARD.ram_adr[2] KEYBOARD.RAM.raddr[2]
1 1
.names KEYBOARD.ram_adr[3] KEYBOARD.RAM.raddr[3]
1 1
.names KEYBOARD.ram_adr[4] KEYBOARD.RAM.raddr[4]
1 1
.names KEYBOARD.ram_adr[5] KEYBOARD.RAM.raddr[5]
1 1
.names KEYBOARD.ram_adr[6] KEYBOARD.RAM.raddr[6]
1 1
.names KEYBOARD.ram_adr[7] KEYBOARD.RAM.raddr[7]
1 1
.names KEYBOARD.ram_adr[8] KEYBOARD.RAM.raddr[8]
1 1
.names KEYBOARD.RAM.r_data[0] KEYBOARD.RAM.rdata[0]
1 1
.names KEYBOARD.RAM.r_data[1] KEYBOARD.RAM.rdata[1]
1 1
.names KEYBOARD.RAM.r_data[2] KEYBOARD.RAM.rdata[2]
1 1
.names KEYBOARD.RAM.r_data[3] KEYBOARD.RAM.rdata[3]
1 1
.names KEYBOARD.RAM.r_data[4] KEYBOARD.RAM.rdata[4]
1 1
.names KEYBOARD.RAM.r_data[5] KEYBOARD.RAM.rdata[5]
1 1
.names KEYBOARD.RAM.r_data[6] KEYBOARD.RAM.rdata[6]
1 1
.names KEYBOARD.RAM.r_data[7] KEYBOARD.RAM.rdata[7]
1 1
.names KEYBOARD.ram_adr[0] KEYBOARD.RAM.waddr[0]
1 1
.names KEYBOARD.ram_adr[1] KEYBOARD.RAM.waddr[1]
1 1
.names KEYBOARD.ram_adr[2] KEYBOARD.RAM.waddr[2]
1 1
.names KEYBOARD.ram_adr[3] KEYBOARD.RAM.waddr[3]
1 1
.names KEYBOARD.ram_adr[4] KEYBOARD.RAM.waddr[4]
1 1
.names KEYBOARD.ram_adr[5] KEYBOARD.RAM.waddr[5]
1 1
.names KEYBOARD.ram_adr[6] KEYBOARD.RAM.waddr[6]
1 1
.names KEYBOARD.ram_adr[7] KEYBOARD.RAM.waddr[7]
1 1
.names KEYBOARD.ram_adr[8] KEYBOARD.RAM.waddr[8]
1 1
.names KEYBOARD.temp[0] KEYBOARD.RAM.wdata[0]
1 1
.names KEYBOARD.temp[1] KEYBOARD.RAM.wdata[1]
1 1
.names KEYBOARD.temp[2] KEYBOARD.RAM.wdata[2]
1 1
.names KEYBOARD.temp[3] KEYBOARD.RAM.wdata[3]
1 1
.names KEYBOARD.temp[4] KEYBOARD.RAM.wdata[4]
1 1
.names KEYBOARD.temp[5] KEYBOARD.RAM.wdata[5]
1 1
.names KEYBOARD.temp[6] KEYBOARD.RAM.wdata[6]
1 1
.names KEYBOARD.temp[7] KEYBOARD.RAM.wdata[7]
1 1
.names KEYBOARD.ram_wr KEYBOARD.RAM.wen
1 1
.names I2C.FLT_SCL.RESET KEYBOARD.RESET
1 1
.names KBD_ROWS[0] KEYBOARD.ROWS[0]
1 1
.names KBD_ROWS[1] KEYBOARD.ROWS[1]
1 1
.names KBD_ROWS[2] KEYBOARD.ROWS[2]
1 1
.names KBD_ROWS[3] KEYBOARD.ROWS[3]
1 1
.names KBD_ROWS[4] KEYBOARD.ROWS[4]
1 1
.names KBD_ROWS[5] KEYBOARD.ROWS[5]
1 1
.names KBD_ROWS[6] KEYBOARD.ROWS[6]
1 1
.names KBD_ROWS[7] KEYBOARD.ROWS[7]
1 1
.names KBD_ROWS[8] KEYBOARD.ROWS[8]
1 1
.names KBD_ROWS[9] KEYBOARD.ROWS[9]
1 1
.names KBD_ROWS[10] KEYBOARD.ROWS[10]
1 1
.names KBD_ROWS[11] KEYBOARD.ROWS[11]
1 1
.names KBD_ROWS[12] KEYBOARD.ROWS[12]
1 1
.names KBD_ROWS[13] KEYBOARD.ROWS[13]
1 1
.names KBD_ROWS[14] KEYBOARD.ROWS[14]
1 1
.names KBD_ROWS[15] KEYBOARD.ROWS[15]
1 1
.names KEYBOARD.report[0][0] KEYBOARD.kbd_r0[0]
1 1
.names KEYBOARD.report[0][1] KEYBOARD.kbd_r0[1]
1 1
.names KEYBOARD.report[0][2] KEYBOARD.kbd_r0[2]
1 1
.names KEYBOARD.report[0][3] KEYBOARD.kbd_r0[3]
1 1
.names KEYBOARD.report[0][4] KEYBOARD.kbd_r0[4]
1 1
.names KEYBOARD.report[0][5] KEYBOARD.kbd_r0[5]
1 1
.names KEYBOARD.report[0][6] KEYBOARD.kbd_r0[6]
1 1
.names KEYBOARD.report[0][7] KEYBOARD.kbd_r0[7]
1 1
.names KEYBOARD.report[1][0] KEYBOARD.kbd_r2[0]
1 1
.names KEYBOARD.report[1][1] KEYBOARD.kbd_r2[1]
1 1
.names KEYBOARD.report[1][2] KEYBOARD.kbd_r2[2]
1 1
.names KEYBOARD.report[1][3] KEYBOARD.kbd_r2[3]
1 1
.names KEYBOARD.report[1][4] KEYBOARD.kbd_r2[4]
1 1
.names KEYBOARD.report[1][5] KEYBOARD.kbd_r2[5]
1 1
.names KEYBOARD.report[1][6] KEYBOARD.kbd_r2[6]
1 1
.names KEYBOARD.report[1][7] KEYBOARD.kbd_r2[7]
1 1
.names KEYBOARD.report[2][0] KEYBOARD.kbd_r3[0]
1 1
.names KEYBOARD.report[2][1] KEYBOARD.kbd_r3[1]
1 1
.names KEYBOARD.report[2][2] KEYBOARD.kbd_r3[2]
1 1
.names KEYBOARD.report[2][3] KEYBOARD.kbd_r3[3]
1 1
.names KEYBOARD.report[2][4] KEYBOARD.kbd_r3[4]
1 1
.names KEYBOARD.report[2][5] KEYBOARD.kbd_r3[5]
1 1
.names KEYBOARD.report[2][6] KEYBOARD.kbd_r3[6]
1 1
.names KEYBOARD.report[2][7] KEYBOARD.kbd_r3[7]
1 1
.names KEYBOARD.report[3][0] KEYBOARD.kbd_r4[0]
1 1
.names KEYBOARD.report[3][1] KEYBOARD.kbd_r4[1]
1 1
.names KEYBOARD.report[3][2] KEYBOARD.kbd_r4[2]
1 1
.names KEYBOARD.report[3][3] KEYBOARD.kbd_r4[3]
1 1
.names KEYBOARD.report[3][4] KEYBOARD.kbd_r4[4]
1 1
.names KEYBOARD.report[3][5] KEYBOARD.kbd_r4[5]
1 1
.names KEYBOARD.report[3][6] KEYBOARD.kbd_r4[6]
1 1
.names KEYBOARD.report[3][7] KEYBOARD.kbd_r4[7]
1 1
.names KEYBOARD.report[4][0] KEYBOARD.kbd_r5[0]
1 1
.names KEYBOARD.report[4][1] KEYBOARD.kbd_r5[1]
1 1
.names KEYBOARD.report[4][2] KEYBOARD.kbd_r5[2]
1 1
.names KEYBOARD.report[4][3] KEYBOARD.kbd_r5[3]
1 1
.names KEYBOARD.report[4][4] KEYBOARD.kbd_r5[4]
1 1
.names KEYBOARD.report[4][5] KEYBOARD.kbd_r5[5]
1 1
.names KEYBOARD.report[4][6] KEYBOARD.kbd_r5[6]
1 1
.names KEYBOARD.report[4][7] KEYBOARD.kbd_r5[7]
1 1
.names KEYBOARD.report[5][0] KEYBOARD.kbd_r6[0]
1 1
.names KEYBOARD.report[5][1] KEYBOARD.kbd_r6[1]
1 1
.names KEYBOARD.report[5][2] KEYBOARD.kbd_r6[2]
1 1
.names KEYBOARD.report[5][3] KEYBOARD.kbd_r6[3]
1 1
.names KEYBOARD.report[5][4] KEYBOARD.kbd_r6[4]
1 1
.names KEYBOARD.report[5][5] KEYBOARD.kbd_r6[5]
1 1
.names KEYBOARD.report[5][6] KEYBOARD.kbd_r6[6]
1 1
.names KEYBOARD.report[5][7] KEYBOARD.kbd_r6[7]
1 1
.names KEYBOARD.report[6][0] KEYBOARD.kbd_r7[0]
1 1
.names KEYBOARD.report[6][1] KEYBOARD.kbd_r7[1]
1 1
.names KEYBOARD.report[6][2] KEYBOARD.kbd_r7[2]
1 1
.names KEYBOARD.report[6][3] KEYBOARD.kbd_r7[3]
1 1
.names KEYBOARD.report[6][4] KEYBOARD.kbd_r7[4]
1 1
.names KEYBOARD.report[6][5] KEYBOARD.kbd_r7[5]
1 1
.names KEYBOARD.report[6][6] KEYBOARD.kbd_r7[6]
1 1
.names KEYBOARD.report[6][7] KEYBOARD.kbd_r7[7]
1 1
.names KEYBOARD.RAM.r_data[0] KEYBOARD.ram_rd[0]
1 1
.names KEYBOARD.RAM.r_data[1] KEYBOARD.ram_rd[1]
1 1
.names KEYBOARD.RAM.r_data[2] KEYBOARD.ram_rd[2]
1 1
.names KEYBOARD.RAM.r_data[3] KEYBOARD.ram_rd[3]
1 1
.names KEYBOARD.RAM.r_data[4] KEYBOARD.ram_rd[4]
1 1
.names KEYBOARD.RAM.r_data[5] KEYBOARD.ram_rd[5]
1 1
.names KEYBOARD.RAM.r_data[6] KEYBOARD.ram_rd[6]
1 1
.names KEYBOARD.RAM.r_data[7] KEYBOARD.ram_rd[7]
1 1
.names I2C_TRANS LED5
1 1
.names CLK REPORT_DATA.clk
1 1
.names report_data_radr[0] REPORT_DATA.raddr[0]
1 1
.names report_data_radr[1] REPORT_DATA.raddr[1]
1 1
.names report_data_radr[2] REPORT_DATA.raddr[2]
1 1
.names report_data_radr[3] REPORT_DATA.raddr[3]
1 1
.names report_data_radr[4] REPORT_DATA.raddr[4]
1 1
.names report_data_radr[5] REPORT_DATA.raddr[5]
1 1
.names report_data_radr[6] REPORT_DATA.raddr[6]
1 1
.names report_data_radr[7] REPORT_DATA.raddr[7]
1 1
.names $false REPORT_DATA.raddr[8]
1 1
.names REPORT_DATA.r_data[0] REPORT_DATA.rdata[0]
1 1
.names REPORT_DATA.r_data[1] REPORT_DATA.rdata[1]
1 1
.names REPORT_DATA.r_data[2] REPORT_DATA.rdata[2]
1 1
.names REPORT_DATA.r_data[3] REPORT_DATA.rdata[3]
1 1
.names REPORT_DATA.r_data[4] REPORT_DATA.rdata[4]
1 1
.names REPORT_DATA.r_data[5] REPORT_DATA.rdata[5]
1 1
.names REPORT_DATA.r_data[6] REPORT_DATA.rdata[6]
1 1
.names REPORT_DATA.r_data[7] REPORT_DATA.rdata[7]
1 1
.names report_data_wadr[0] REPORT_DATA.waddr[0]
1 1
.names report_data_wadr[1] REPORT_DATA.waddr[1]
1 1
.names report_data_wadr[2] REPORT_DATA.waddr[2]
1 1
.names report_data_wadr[3] REPORT_DATA.waddr[3]
1 1
.names report_data_wadr[4] REPORT_DATA.waddr[4]
1 1
.names report_data_wadr[5] REPORT_DATA.waddr[5]
1 1
.names report_data_wadr[6] REPORT_DATA.waddr[6]
1 1
.names report_data_wadr[7] REPORT_DATA.waddr[7]
1 1
.names $false REPORT_DATA.waddr[8]
1 1
.names report_data_wr[0] REPORT_DATA.wdata[0]
1 1
.names report_data_wr[1] REPORT_DATA.wdata[1]
1 1
.names report_data_wr[2] REPORT_DATA.wdata[2]
1 1
.names report_data_wr[3] REPORT_DATA.wdata[3]
1 1
.names report_data_wr[4] REPORT_DATA.wdata[4]
1 1
.names report_data_wr[5] REPORT_DATA.wdata[5]
1 1
.names report_data_wr[6] REPORT_DATA.wdata[6]
1 1
.names report_data_wr[7] REPORT_DATA.wdata[7]
1 1
.names report_wr_en REPORT_DATA.wen
1 1
.names I2C.FLT_SCL.RESET RESET
1 1
.names CLK UART.CLK
1 1
.names I2C.FLT_SCL.RESET UART.RESET
1 1
.names UART.tx_activity UART.TX_ACTIVITY
1 1
.names UART_TX_DATA[0] UART.TX_BYTE[0]
1 1
.names UART_TX_DATA[1] UART.TX_BYTE[1]
1 1
.names UART_TX_DATA[2] UART.TX_BYTE[2]
1 1
.names UART_TX_DATA[3] UART.TX_BYTE[3]
1 1
.names UART_TX_DATA[4] UART.TX_BYTE[4]
1 1
.names UART_TX_DATA[5] UART.TX_BYTE[5]
1 1
.names UART_TX_DATA[6] UART.TX_BYTE[6]
1 1
.names UART_TX_DATA[7] UART.TX_BYTE[7]
1 1
.names COM_TX UART.TX_LINE
1 1
.names UART_WR UART.TX_SIGNAL
1 1
.names COM_TX UART.tx_line
1 1
.names UART.tx_activity UART_ACTIVE
1 1
.names COM_TX UART_TX_LINE
1 1
.names KEYBOARD.report[0][0] kbd_report[0][0]
1 1
.names KEYBOARD.report[0][1] kbd_report[0][1]
1 1
.names KEYBOARD.report[0][2] kbd_report[0][2]
1 1
.names KEYBOARD.report[0][3] kbd_report[0][3]
1 1
.names KEYBOARD.report[0][4] kbd_report[0][4]
1 1
.names KEYBOARD.report[0][5] kbd_report[0][5]
1 1
.names KEYBOARD.report[0][6] kbd_report[0][6]
1 1
.names KEYBOARD.report[0][7] kbd_report[0][7]
1 1
.names KEYBOARD.report[1][0] kbd_report[1][0]
1 1
.names KEYBOARD.report[1][1] kbd_report[1][1]
1 1
.names KEYBOARD.report[1][2] kbd_report[1][2]
1 1
.names KEYBOARD.report[1][3] kbd_report[1][3]
1 1
.names KEYBOARD.report[1][4] kbd_report[1][4]
1 1
.names KEYBOARD.report[1][5] kbd_report[1][5]
1 1
.names KEYBOARD.report[1][6] kbd_report[1][6]
1 1
.names KEYBOARD.report[1][7] kbd_report[1][7]
1 1
.names KEYBOARD.report[2][0] kbd_report[2][0]
1 1
.names KEYBOARD.report[2][1] kbd_report[2][1]
1 1
.names KEYBOARD.report[2][2] kbd_report[2][2]
1 1
.names KEYBOARD.report[2][3] kbd_report[2][3]
1 1
.names KEYBOARD.report[2][4] kbd_report[2][4]
1 1
.names KEYBOARD.report[2][5] kbd_report[2][5]
1 1
.names KEYBOARD.report[2][6] kbd_report[2][6]
1 1
.names KEYBOARD.report[2][7] kbd_report[2][7]
1 1
.names KEYBOARD.report[3][0] kbd_report[3][0]
1 1
.names KEYBOARD.report[3][1] kbd_report[3][1]
1 1
.names KEYBOARD.report[3][2] kbd_report[3][2]
1 1
.names KEYBOARD.report[3][3] kbd_report[3][3]
1 1
.names KEYBOARD.report[3][4] kbd_report[3][4]
1 1
.names KEYBOARD.report[3][5] kbd_report[3][5]
1 1
.names KEYBOARD.report[3][6] kbd_report[3][6]
1 1
.names KEYBOARD.report[3][7] kbd_report[3][7]
1 1
.names KEYBOARD.report[4][0] kbd_report[4][0]
1 1
.names KEYBOARD.report[4][1] kbd_report[4][1]
1 1
.names KEYBOARD.report[4][2] kbd_report[4][2]
1 1
.names KEYBOARD.report[4][3] kbd_report[4][3]
1 1
.names KEYBOARD.report[4][4] kbd_report[4][4]
1 1
.names KEYBOARD.report[4][5] kbd_report[4][5]
1 1
.names KEYBOARD.report[4][6] kbd_report[4][6]
1 1
.names KEYBOARD.report[4][7] kbd_report[4][7]
1 1
.names KEYBOARD.report[5][0] kbd_report[5][0]
1 1
.names KEYBOARD.report[5][1] kbd_report[5][1]
1 1
.names KEYBOARD.report[5][2] kbd_report[5][2]
1 1
.names KEYBOARD.report[5][3] kbd_report[5][3]
1 1
.names KEYBOARD.report[5][4] kbd_report[5][4]
1 1
.names KEYBOARD.report[5][5] kbd_report[5][5]
1 1
.names KEYBOARD.report[5][6] kbd_report[5][6]
1 1
.names KEYBOARD.report[5][7] kbd_report[5][7]
1 1
.names KEYBOARD.report[6][0] kbd_report[6][0]
1 1
.names KEYBOARD.report[6][1] kbd_report[6][1]
1 1
.names KEYBOARD.report[6][2] kbd_report[6][2]
1 1
.names KEYBOARD.report[6][3] kbd_report[6][3]
1 1
.names KEYBOARD.report[6][4] kbd_report[6][4]
1 1
.names KEYBOARD.report[6][5] kbd_report[6][5]
1 1
.names KEYBOARD.report[6][6] kbd_report[6][6]
1 1
.names KEYBOARD.report[6][7] kbd_report[6][7]
1 1
.names REPORT_DATA.r_data[0] report_data_rd[0]
1 1
.names REPORT_DATA.r_data[1] report_data_rd[1]
1 1
.names REPORT_DATA.r_data[2] report_data_rd[2]
1 1
.names REPORT_DATA.r_data[3] report_data_rd[3]
1 1
.names REPORT_DATA.r_data[4] report_data_rd[4]
1 1
.names REPORT_DATA.r_data[5] report_data_rd[5]
1 1
.names REPORT_DATA.r_data[6] report_data_rd[6]
1 1
.names REPORT_DATA.r_data[7] report_data_rd[7]
1 1
.names $undef temp_output_report[3]
1 1
.names $undef temp_output_report[4]
1 1
.names $undef temp_output_report[5]
1 1
.names $undef temp_output_report[6]
1 1
.names $undef temp_output_report[7]
1 1
.end