You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

5512 lines
358 KiB

# Generated by Yosys 0.8+53 (git sha1 ab97edd, clang 3.8.0-2ubuntu4 -fPIC -Os)
.model top
.inputs CLK SCL SDA COM_RX KBD_COLUMNS[0] KBD_COLUMNS[1] KBD_COLUMNS[2] KBD_COLUMNS[3] KBD_COLUMNS[4] KBD_COLUMNS[5] KBD_COLUMNS[6] KBD_COLUMNS[7] KBD_ROWS[0] KBD_ROWS[1] KBD_ROWS[2] KBD_ROWS[3] KBD_ROWS[4] KBD_ROWS[5] KBD_ROWS[6] KBD_ROWS[7] KBD_ROWS[8] KBD_ROWS[9] KBD_ROWS[10] KBD_ROWS[11] KBD_ROWS[12] KBD_ROWS[13] KBD_ROWS[14] KBD_ROWS[15]
.outputs LED1 LED2 LED3 LED4 LED5 SDA INTERRUPT COM_TX COM_DCD COM_DSR COM_RTS KBD_ROWS[0] KBD_ROWS[1] KBD_ROWS[2] KBD_ROWS[3] KBD_ROWS[4] KBD_ROWS[5] KBD_ROWS[6] KBD_ROWS[7] KBD_ROWS[8] KBD_ROWS[9] KBD_ROWS[10] KBD_ROWS[11] KBD_ROWS[12] KBD_ROWS[13] KBD_ROWS[14] KBD_ROWS[15]
.names $false
.names $true
1
.names $undef
.gate SB_LUT4 I0=$abc$51271$n681 I1=$abc$51271$n678 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$51271$n1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10110000
.gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=$false I3=$false O=$abc$51271$n678
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=I2C.i2c_state_machine I1=I2C.i2c_start_latency I2=$false I3=$false O=I2C_TRANS
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=rststate[3] I1=rststate[2] I2=rststate[1] I3=rststate[0] O=I2C.FLT_SCL.RESET
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=last_isr I1=KEYBOARD.isr I2=$false I3=$false O=$abc$51271$n681
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51271$n2189 I1=$abc$51271$n688 I2=$abc$51271$n683 I3=UART.tx_activity O=$abc$51271$n3
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000111111111
.gate SB_LUT4 I0=$abc$51271$n687 I1=$abc$51271$n684 I2=$abc$51271$n2359 I3=$abc$51271$n2345 O=$abc$51271$n683
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000110000001010
.gate SB_LUT4 I0=UART_TX_DATA[6] I1=UART_TX_DATA[4] I2=$abc$51271$n685 I3=$false O=$abc$51271$n684
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$51271$n2380 I1=$abc$51271$n2381 I2=$false I3=$false O=$abc$51271$n685
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0110
.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=$false I3=$false O=$abc$51271$n2380
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0110
.gate SB_LUT4 I0=UART_TX_DATA[2] I1=UART_TX_DATA[0] I2=$abc$51271$n685 I3=$false O=$abc$51271$n687
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$51271$n690 I1=$abc$51271$n689 I2=$abc$51271$n2345 I3=$abc$51271$n2359 O=$abc$51271$n688
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100010100000000
.gate SB_LUT4 I0=UART_TX_DATA[7] I1=UART_TX_DATA[5] I2=$abc$51271$n685 I3=$false O=$abc$51271$n689
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=UART_TX_DATA[3] I1=UART_TX_DATA[1] I2=$abc$51271$n685 I3=$false O=$abc$51271$n690
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$false I3=$false O=$abc$51271$n19
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1011
.gate SB_LUT4 I0=I2C.byte_counter[0] I1=$abc$51271$n693 I2=$false I3=$false O=$abc$51271$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=I2C.byte_counter[3] I1=I2C.byte_counter[2] I2=$abc$51271$n694 I3=I2C.byte_counter[1] O=$abc$51271$n693
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=I2C.byte_counter[4] I1=I2C.byte_counter[5] I2=I2C.byte_counter[6] I3=I2C.byte_counter[7] O=$abc$51271$n694
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$51271$n696 I1=$abc$51271$n29 I2=$abc$51271$n1499_1 I3=KEYBOARD.is_pressed O=$abc$51271$n27
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000011111101
.gate SB_LUT4 I0=$abc$51271$n697 I1=$abc$51271$n710 I2=$abc$51271$n712 I3=$abc$51271$n701 O=$abc$51271$n696
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$abc$51271$n2246 I1=$abc$51271$n700 I2=$false I3=$false O=$abc$51271$n697
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[2] I1=KBD_COLUMNS[2] I2=$abc$51271$n699 I3=$false O=$abc$51271$n2246
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$51271$n383 I1=$abc$51271$n394 I2=$abc$51271$n396 I3=$false O=$abc$51271$n699
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=$abc$51271$n383 I1=$abc$51271$n396 I2=$abc$51271$n394 I3=$false O=$abc$51271$n700
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$51271$n702 I1=$abc$51271$n704 I2=$abc$51271$n706 I3=$abc$51271$n708 O=$abc$51271$n701
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[5] I1=$abc$51271$n703 I2=$false I3=$false O=$abc$51271$n702
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51271$n394 I1=$abc$51271$n383 I2=$abc$51271$n396 I3=$false O=$abc$51271$n703
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[1] I1=$abc$51271$n705 I2=$false I3=$false O=$abc$51271$n704
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51271$n383 I1=$abc$51271$n394 I2=$abc$51271$n396 I3=$false O=$abc$51271$n705
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[3] I1=$abc$51271$n707 I2=$false I3=$false O=$abc$51271$n706
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51271$n383 I1=$abc$51271$n394 I2=$abc$51271$n396 I3=$false O=$abc$51271$n707
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$51271$n709 I1=KEYBOARD.COLS_SHADOW[7] I2=$abc$51271$n699 I3=KBD_COLUMNS[0] O=$abc$51271$n708
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=$abc$51271$n383 I1=$abc$51271$n394 I2=$abc$51271$n396 I3=$false O=$abc$51271$n709
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$51271$n711 I1=KEYBOARD.COLS_SHADOW[6] I2=$false I3=$false O=$abc$51271$n710
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51271$n396 I1=$abc$51271$n394 I2=$abc$51271$n383 I3=$false O=$abc$51271$n711
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$51271$n394 I1=$abc$51271$n396 I2=$abc$51271$n383 I3=KEYBOARD.COLS_SHADOW[4] O=$abc$51271$n712
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$51271$n722 I1=$abc$51271$n725 I2=$abc$51271$n1618 I3=$false O=$abc$51271$n29
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01111111
.gate SB_LUT4 I0=$abc$51271$n1607 I1=$abc$51271$n724 I2=$false I3=$false O=$abc$51271$n722
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=KEYBOARD.row_time[1] I1=KEYBOARD.row_time[0] I2=$false I3=$false O=$abc$51271$n1607
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0110
.gate SB_LUT4 I0=$abc$51271$n1604 I1=$abc$51271$n1606 I2=$abc$51271$n1609 I3=$abc$51271$n1610 O=$abc$51271$n724
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$51271$n1614 I1=$abc$51271$n1615 I2=$abc$51271$n1617 I3=$abc$51271$n1623 O=$abc$51271$n725
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$abc$51271$n754 I1=$abc$51271$n746 I2=$abc$51271$n922 I3=$abc$51271$n727 O=$abc$51271$n751
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011100000000
.gate SB_LUT4 I0=$abc$51271$n737 I1=$abc$51271$n741 I2=$abc$51271$n745 I3=$abc$51271$n728 O=$abc$51271$n727
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$abc$51271$n778 I1=KEYBOARD.isr I2=last_isr I3=$abc$51271$n734 O=$abc$51271$n728
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000011101011
.gate SB_LUT4 I0=$abc$51271$n730 I1=$abc$51271$n22 I2=$false I3=$false O=$abc$51271$n778
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0111
.gate SB_LUT4 I0=$abc$51271$n731 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$51271$n730
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51271$n732 I1=init_ram_cnt[7] I2=init_ram_cnt[5] I3=$false O=$abc$51271$n731
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=init_ram_cnt[0] I1=init_ram_cnt[1] I2=$abc$51271$n733 I3=$false O=$abc$51271$n732
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=init_ram_cnt[2] I1=init_ram_cnt[4] I2=init_ram_cnt[6] I3=init_ram_cnt[3] O=$abc$51271$n733
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$abc$51271$n731 I1=$abc$51271$n735 I2=$abc$51271$n22 I3=$false O=$abc$51271$n734
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$51271$n736 I1=KEYBOARD.isr I2=last_isr I3=I2C.FLT_SCL.RESET O=$abc$51271$n735
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000100000000
.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=wr_cnt[2] I3=wr_cnt[3] O=$abc$51271$n736
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$51271$n730 I1=$abc$51271$n738 I2=$abc$51271$n740 I3=$false O=$abc$51271$n737
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$51271$n739 I1=$abc$51271$n22 I2=$false I3=$false O=$abc$51271$n738
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$abc$51271$n736 I3=$false O=$abc$51271$n739
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10010000
.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=$false I3=$false O=$abc$51271$n740
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51271$n742 I1=I2C.FLT_SCL.RESET I2=$abc$51271$n744 I3=$false O=$abc$51271$n741
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$51271$n739 I1=$abc$51271$n743 I2=$false I3=$false O=$abc$51271$n742
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51271$n740 I1=I2C_TRANS I2=last_trans I3=$abc$51271$n19 O=$abc$51271$n743
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000100000000
.gate SB_LUT4 I0=UART.tx_activity I1=uart_double_ff I2=last_uart_active I3=$false O=$abc$51271$n744
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$51271$n22 I1=$abc$51271$n731 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$51271$n745
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11010000
.gate SB_LUT4 I0=$abc$51271$n747 I1=$abc$51271$n749 I2=$false I3=$false O=$abc$51271$n746
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51271$n730 I1=$abc$51271$n748 I2=$abc$51271$n22 I3=$false O=$abc$51271$n747
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$51271$n740 I1=$abc$51271$n739 I2=$false I3=$false O=$abc$51271$n748
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$2\UART_WR[0:0] I1=$abc$51271$n678 I2=$false I3=$false O=$abc$51271$n749
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=UART.tx_activity I1=last_uart_active I2=$abc$51271$n751_1 I3=$false O=$2\UART_WR[0:0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01001111
.gate SB_LUT4 I0=$2\uart_double_ff[0:0] I1=$abc$51271$n19 I2=$false I3=$false O=$abc$51271$n751_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=last_trans I1=I2C_TRANS I2=$false I3=$false O=$2\uart_double_ff[0:0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51271$n747 I1=$abc$51271$n678 I2=$abc$51271$n19 I3=$false O=$abc$51271$n922
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=last_uart_active I1=UART.tx_activity I2=$false I3=$false O=$abc$51271$n754
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51271$n746 I1=$abc$51271$n922 I2=$abc$51271$n756_1 I3=$false O=$abc$51271$n756
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$51271$n744 I1=$abc$51271$n757 I2=$abc$51271$n737 I3=$abc$51271$n759 O=$abc$51271$n756_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011100000000
.gate SB_LUT4 I0=$abc$51271$n758 I1=$abc$51271$n743 I2=$false I3=$false O=$abc$51271$n757
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51271$n730 I1=$abc$51271$n739 I2=$abc$51271$n22 I3=$false O=$abc$51271$n758
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$51271$n778 I1=$abc$51271$n734 I2=KEYBOARD.isr I3=last_isr O=$abc$51271$n759
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000001
.gate SB_LUT4 I0=$abc$51271$n768 I1=$abc$51271$n761 I2=$abc$51271$n780 I3=$false O=$abc$51271$n819
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$51271$n765 I1=$abc$51271$n922 I2=$abc$51271$n763 I3=$abc$51271$n762 O=$abc$51271$n761
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1011000000000000
.gate SB_LUT4 I0=$abc$51271$n751_1 I1=$abc$51271$n747 I2=$abc$51271$n734 I3=$abc$51271$n737 O=$abc$51271$n762
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000001011
.gate SB_LUT4 I0=$abc$51271$n678 I1=$abc$51271$n754 I2=$abc$51271$n749 I3=$abc$51271$n747 O=$abc$51271$n763
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0011111011111111
.gate SB_LUT4 I0=$abc$51271$n2419 I1=$abc$51271$n766_1 I2=$false I3=$false O=$abc$51271$n765
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51271$n767 I1=I2C.is_read I2=$false I3=$false O=$abc$51271$n766_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[2] I1=I2C_OUTPUT_TYPE[1] I2=I2C_OUTPUT_TYPE[0] I3=$false O=$abc$51271$n767
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$51271$n777 I1=$abc$51271$n771 I2=$abc$51271$n769 I3=$false O=$abc$51271$n768
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10110000
.gate SB_LUT4 I0=$abc$51271$n778 I1=$abc$51271$n742 I2=$false I3=$false O=$abc$51271$n769
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51271$n754 I1=$abc$51271$n749 I2=$abc$51271$n747 I3=$false O=$abc$51271$n770
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=I2C_TRANS I1=UART_WR I2=$abc$51271$n767 I3=$abc$51271$n772 O=$abc$51271$n771
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$51271$n773 I1=$abc$51271$n775 I2=$abc$51271$n776 I3=$false O=$abc$51271$n772
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$51271$n774 I1=int_tmr[12] I2=int_tmr[13] I3=int_tmr[14] O=$abc$51271$n773
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=int_tmr[8] I1=int_tmr[9] I2=int_tmr[10] I3=int_tmr[11] O=$abc$51271$n774
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=int_tmr[4] I1=int_tmr[5] I2=int_tmr[6] I3=int_tmr[7] O=$abc$51271$n775
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=int_tmr[0] I1=int_tmr[1] I2=int_tmr[2] I3=int_tmr[3] O=$abc$51271$n776
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=ring_rd[2] I1=ring_wr[2] I2=$abc$51271$n778_1 I3=$abc$51271$n779 O=$abc$51271$n777
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000000000
.gate SB_LUT4 I0=ring_rd[1] I1=ring_wr[1] I2=$false I3=$false O=$abc$51271$n778_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1001
.gate SB_LUT4 I0=ring_rd[0] I1=ring_wr[0] I2=ring_rd[3] I3=ring_wr[3] O=$abc$51271$n779
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=$abc$51271$n778 I1=KEYBOARD.isr I2=last_isr I3=$abc$51271$n745 O=$abc$51271$n780
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000011101111
.gate SB_LUT4 I0=$abc$51271$n785 I1=$abc$51271$n783 I2=$abc$51271$n787 I3=$abc$51271$n761 O=$abc$51271$n861
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=$abc$51271$n728 I1=$abc$51271$n784 I2=$false I3=$false O=$abc$51271$n783
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51271$n19 I1=$abc$51271$n758 I2=$abc$51271$n745 I3=$abc$51271$n737 O=$abc$51271$n784
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000001011
.gate SB_LUT4 I0=int_tmr[0] I1=$abc$51271$n770 I2=$false I3=$false O=$abc$51271$n785
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51271$n772 I1=UART_WR I2=$false I3=$false O=$abc$51271$n786
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$51271$n786 I1=$abc$51271$n769 I2=$abc$51271$n788 I3=$false O=$abc$51271$n787
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00001011
.gate SB_LUT4 I0=$abc$51271$n747 I1=$2\uart_double_ff[0:0] I2=$false I3=$false O=$abc$51271$n788
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51271$n786 I1=$abc$51271$n769 I2=$abc$51271$n790 I3=$abc$51271$n761 O=$abc$51271$n871
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1011000000000000
.gate SB_LUT4 I0=$abc$51271$n778 I1=KEYBOARD.isr I2=last_isr I3=$abc$51271$n745 O=$abc$51271$n790
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000011101011
.gate SB_LUT4 I0=$abc$51271$n681 I1=$abc$51271$n778 I2=$abc$51271$n745 I3=$false O=$abc$51271$n926
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00001110
.gate SB_LUT4 I0=$abc$51271$n728 I1=$abc$51271$n793 I2=$abc$51271$n794 I3=$false O=$abc$51271$n940
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$51271$n766_1 I1=$abc$51271$n737 I2=$abc$51271$n747 I3=$false O=$abc$51271$n793
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00001011
.gate SB_LUT4 I0=$abc$51271$n21 I1=$abc$51271$n745 I2=$abc$51271$n737 I3=$false O=$abc$51271$n794
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10100011
.gate SB_LUT4 I0=$abc$51271$n758 I1=$abc$51271$n780 I2=$false I3=$false O=$abc$51271$n943
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51271$n798 I1=$abc$51271$n734 I2=I2C.FLT_SCL.RESET I3=$abc$51271$n797 O=$abc$51271$n951
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1011000000000000
.gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$abc$51271$n739 I3=$abc$51271$n778 O=$abc$51271$n797
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111100001011
.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[2] I2=wr_cnt[1] I3=wr_cnt[3] O=$abc$51271$n798
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$51271$n790 I1=$abc$51271$n800 I2=$abc$51271$n798 I3=$abc$51271$n734 O=$abc$51271$n955
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000111100010001
.gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$abc$51271$n731 I3=$abc$51271$n22 O=$abc$51271$n800
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111010000000000
.gate SB_LUT4 I0=$abc$51271$n748 I1=$abc$51271$n803 I2=$abc$51271$n759 I3=$false O=$abc$51271$n971
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$51271$n21 I1=report_data_radr[0] I2=$abc$51271$n766_1 I3=$false O=$abc$51271$n803
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11100000
.gate SB_LUT4 I0=$abc$51271$n734 I1=$abc$51271$n793 I2=$abc$51271$n790 I3=I2C.FLT_SCL.RESET O=$abc$51271$n977
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=I2C.byte_counter[3] I1=$abc$51271$n694 I2=I2C.byte_counter[2] I3=$false O=$abc$51271$n809
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=i2c_input_data_type[1] I1=i2c_input_data_type[0] I2=$abc$51271$n811 I3=$false O=$abc$51271$n810
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[2] I2=$false I3=$false O=$abc$51271$n811
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51271$n814 I1=i2c_input_data_type[0] I2=$false I3=$false O=$abc$51271$n813
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51271$n811 I1=i2c_input_data_type[1] I2=$false I3=$false O=$abc$51271$n814
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51271$n826 I1=$abc$51271$n825 I2=$abc$51271$n821 I3=$false O=$abc$51271$n820
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11010000
.gate SB_LUT4 I0=$abc$51271$n814 I1=$abc$51271$n822 I2=I2C.byte_counter[1] I3=I2C.byte_counter[0] O=$abc$51271$n821
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000110100000000
.gate SB_LUT4 I0=I2C.received_byte[0] I1=i2c_input_data_type[0] I2=I2C.received_byte[1] I3=$abc$51271$n823 O=$abc$51271$n822
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000000000
.gate SB_LUT4 I0=I2C.received_byte[3] I1=I2C.received_byte[2] I2=$abc$51271$n824 I3=$false O=$abc$51271$n823
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[5] I2=I2C.received_byte[7] I3=I2C.received_byte[6] O=$abc$51271$n824
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=I2C.received_byte[1] I1=I2C.received_byte[0] I2=$abc$51271$n823 I3=$false O=$abc$51271$n825
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=i2c_input_data_type[1] I1=$abc$51271$n811 I2=i2c_input_data_type[0] I3=$false O=$abc$51271$n826
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[3] I2=I2C.received_byte[1] I3=I2C.received_byte[2] O=$abc$51271$n830
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$51271$n824 I1=$abc$51271$n833_1 I2=$false I3=$false O=$abc$51271$n832
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[3] I2=I2C.received_byte[1] I3=I2C.received_byte[2] O=$abc$51271$n833_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$51271$n832 I1=I2C.byte_counter[0] I2=$abc$51271$n835 I3=$abc$51271$n693 O=$abc$51271$n834_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111011111110000
.gate SB_LUT4 I0=I2C.byte_counter[1] I1=$abc$51271$n826 I2=I2C.byte_counter[0] I3=$abc$51271$n809 O=$abc$51271$n835
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111000000000
.gate SB_LUT4 I0=$abc$51271$n743 I1=$abc$51271$n19 I2=$abc$51271$n758 I3=$false O=$abc$51271$n837
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01001111
.gate SB_LUT4 I0=$abc$51271$n747 I1=$abc$51271$n734 I2=$abc$51271$n790 I3=$false O=$abc$51271$n1116
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$51271$n757 I1=$abc$51271$n788 I2=$abc$51271$n784 I3=$abc$51271$n842 O=$abc$51271$n1119
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$51271$n778 I1=KEYBOARD.isr I2=last_isr I3=$abc$51271$n734 O=$abc$51271$n842
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000011101111
.gate SB_LUT4 I0=$abc$51271$n845 I1=$abc$51271$n859 I2=I2C.FLT_SCL.RESET I3=$abc$51271$n922 O=$abc$51271$n1136
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000100000001111
.gate SB_LUT4 I0=i2c_input_data_type[3] I1=$abc$51271$n846 I2=$false I3=$false O=$abc$51271$n845
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51271$n858 I1=$abc$51271$n1458 I2=$abc$51271$n847 I3=i2c_input_data_type[2] O=$abc$51271$n846
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=$abc$51271$n850 I1=$abc$51271$n852 I2=$abc$51271$n813 I3=$abc$51271$n848 O=$abc$51271$n847
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000010001111
.gate SB_LUT4 I0=$abc$51271$n810 I1=$abc$51271$n849 I2=$abc$51271$n2423 I3=$false O=$abc$51271$n848
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=$abc$51271$n851 I2=$abc$51271$n850 I3=$false O=$abc$51271$n849
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=I2C_INPUT_LEN[4] I1=I2C_INPUT_LEN[5] I2=I2C_INPUT_LEN[6] I3=I2C_INPUT_LEN[7] O=$abc$51271$n850
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=I2C_INPUT_LEN[0] I2=I2C_INPUT_LEN[1] I3=$false O=$abc$51271$n851
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=I2C_INPUT_LEN[1] I2=I2C_INPUT_LEN[0] I3=I2C_INPUT_LEN[3] O=$abc$51271$n852
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=I2C_INPUT_LEN[2] I2=$abc$51271$n850 I3=$false O=$abc$51271$n855
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=i2c_input_data_type[0] I1=$abc$51271$n811 I2=$false I3=$false O=$abc$51271$n857
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=I2C_INPUT_LEN[0] I1=I2C_INPUT_LEN[1] I2=$abc$51271$n855 I3=$abc$51271$n826 O=$abc$51271$n858
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1110111100000000
.gate SB_LUT4 I0=I2C.is_read I1=$abc$51271$n860 I2=i2c_input_data_type[1] I3=$false O=$abc$51271$n859
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000001
.gate SB_LUT4 I0=$abc$51271$n858 I1=$abc$51271$n847 I2=i2c_input_data_type[0] I3=$false O=$abc$51271$n860
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$51271$n19 I1=$abc$51271$n758 I2=$abc$51271$n737 I3=$false O=$abc$51271$n1146
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11110100
.gate SB_LUT4 I0=$abc$51271$n863 I1=$abc$51271$n837 I2=$false I3=$false O=$abc$51271$n1149
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51271$n737 I1=$abc$51271$n734 I2=$abc$51271$n790 I3=$false O=$abc$51271$n863
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$51271$n863 I1=$abc$51271$n865 I2=$false I3=$false O=$abc$51271$n1156
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51271$n678 I1=$abc$51271$n751_1 I2=$abc$51271$n747 I3=$abc$51271$n770 O=$abc$51271$n865
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000001001111
.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=KEYBOARD.isr I2=last_isr I3=$abc$51271$n745 O=$abc$51271$n1160
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000001111101
.gate SB_LUT4 I0=$abc$51271$n746 I1=$abc$51271$n922 I2=$abc$51271$n783 I3=$false O=$abc$51271$n1165
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$51271$n869 I1=$abc$51271$n876 I2=$false I3=$false O=$abc$51271$n1174
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51271$n307 I1=$abc$51271$n874 I2=$abc$51271$n875 I3=$abc$51271$n870 O=$abc$51271$n869
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=$abc$51271$n871_1 I1=$abc$51271$n873 I2=$false I3=$false O=$abc$51271$n870
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=I2C.i2c_start_latency I1=I2C.i2c_state_machine I2=$abc$51271$n2003 I3=I2C.is_read O=$abc$51271$n871_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1011111100000000
.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$51271$n14 I2=I2C.FLT_SCL.out I3=$false O=$abc$51271$n2003
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11101111
.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$51271$n14 I2=I2C.FLT_SCL.out I3=I2C.i2c_state_machine O=$abc$51271$n873
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111111100010000
.gate SB_LUT4 I0=$abc$51271$n1177 I1=$abc$51271$n16 I2=I2C.FLT_SCL.out I3=$false O=$abc$51271$n874
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$51271$n308 I1=$abc$51271$n319 I2=$abc$51271$n2391 I3=$false O=$abc$51271$n875
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$51271$n305 I1=$abc$51271$n877 I2=$false I3=$false O=$abc$51271$n876
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=I2C.i2c_bit_counter[0] I1=I2C.i2c_bit_counter[1] I2=$abc$51271$n2003 I3=$false O=$abc$51271$n877
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01100000
.gate SB_LUT4 I0=$abc$51271$n869 I1=$abc$51271$n877 I2=$abc$51271$n305 I3=$false O=$abc$51271$n1188
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$51271$n869 I1=$abc$51271$n880 I2=$false I3=$false O=$abc$51271$n1193
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51271$n877 I1=$abc$51271$n305 I2=$false I3=$false O=$abc$51271$n880
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$51271$n877 I1=$abc$51271$n869 I2=$abc$51271$n305 I3=$false O=$abc$51271$n1198
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$51271$n883 I1=$abc$51271$n876 I2=$false I3=$false O=$abc$51271$n1203
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51271$n870 I1=$abc$51271$n874 I2=$abc$51271$n875 I3=$abc$51271$n307 O=$abc$51271$n883
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$abc$51271$n883 I1=$abc$51271$n877 I2=$abc$51271$n305 I3=$false O=$abc$51271$n1208
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$51271$n883 I1=$abc$51271$n880 I2=$false I3=$false O=$abc$51271$n1214
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51271$n877 I1=$abc$51271$n883 I2=$abc$51271$n305 I3=$false O=$abc$51271$n1222
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=I2C.i2c_start_latency I1=I2C.i2c_state_machine I2=$abc$51271$n2003 I3=$false O=$abc$51271$n1225
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01001111
.gate SB_LUT4 I0=$abc$51271$n889 I1=$abc$51271$n892 I2=$abc$51271$n894 I3=I2C.FLT_SCL.RESET O=$abc$51271$n1230
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$abc$51271$n890 I1=UART.tx_activity I2=$false I3=$false O=$abc$51271$n889
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=UART.tx_clk_counter[1] I1=UART.tx_clk_counter[0] I2=$abc$51271$n891_1 I3=$false O=$abc$51271$n890
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01100000
.gate SB_LUT4 I0=$abc$51271$n1511 I1=$abc$51271$n1514 I2=$abc$51271$n1515 I3=$false O=$abc$51271$n891_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=UART.tx_bit_counter[3] I1=$abc$51271$n893 I2=$false I3=$false O=$abc$51271$n892
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=UART.tx_bit_counter[2] I3=UART.tx_activity O=$abc$51271$n893
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=UART.TX_sig_last I1=UART_WR I2=UART.tx_activity I3=$false O=$abc$51271$n894
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00001011
.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$51271$n894 I2=$false I3=$false O=$abc$51271$n1235
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0111
.gate SB_LUT4 I0=$abc$51271$n897 I1=KEYBOARD.init_ram_cnt[8] I2=I2C.FLT_SCL.RESET I3=$false O=$abc$51271$n1273
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10001111
.gate SB_LUT4 I0=KEYBOARD.init_delay_cnt[0] I1=KEYBOARD.init_delay_cnt[1] I2=KEYBOARD.init_delay_cnt[2] I3=KEYBOARD.init_delay_cnt[3] O=$abc$51271$n897
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$abc$51271$n1408 I1=$abc$51271$n928 I2=$abc$51271$n899 I3=$abc$51271$n924 O=$abc$51271$n1274
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1101000000000000
.gate SB_LUT4 I0=$abc$51271$n921 I1=$abc$51271$n900 I2=$abc$51271$n920 I3=$false O=$abc$51271$n899
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000111
.gate SB_LUT4 I0=$abc$51271$n27 I1=$abc$51271$n915 I2=$false I3=$false O=$abc$51271$n900
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51271$n909 I1=$abc$51271$n903 I2=$false I3=$false O=$abc$51271$n902
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51271$n904 I1=$abc$51271$n906 I2=$false I3=$false O=$abc$51271$n903
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[4] I2=$abc$51271$n905 I3=$false O=$abc$51271$n904
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.kbd_code_hid[7] I3=$false O=$abc$51271$n905
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$51271$n907 I1=$abc$51271$n908 I2=$false I3=$false O=$abc$51271$n906
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=KEYBOARD.report[1][4] I1=KEYBOARD.report[1][5] I2=KEYBOARD.report[1][6] I3=KEYBOARD.report[1][7] O=$abc$51271$n907
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=KEYBOARD.report[1][0] I1=KEYBOARD.report[1][1] I2=KEYBOARD.report[1][2] I3=KEYBOARD.report[1][3] O=$abc$51271$n908
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$51271$n910 I1=$abc$51271$n911 I2=$false I3=$false O=$abc$51271$n909
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=KEYBOARD.report[2][4] I1=KEYBOARD.report[2][5] I2=KEYBOARD.report[2][6] I3=KEYBOARD.report[2][7] O=$abc$51271$n910
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=KEYBOARD.report[2][0] I1=KEYBOARD.report[2][1] I2=KEYBOARD.report[2][2] I3=KEYBOARD.report[2][3] O=$abc$51271$n911
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$51271$n913 I1=$abc$51271$n914 I2=$false I3=$false O=$abc$51271$n912
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=KEYBOARD.report[3][4] I1=KEYBOARD.report[3][5] I2=KEYBOARD.report[3][6] I3=KEYBOARD.report[3][7] O=$abc$51271$n913
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=KEYBOARD.report[3][0] I1=KEYBOARD.report[3][1] I2=KEYBOARD.report[3][2] I3=KEYBOARD.report[3][3] O=$abc$51271$n914
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$51271$n917 I1=$abc$51271$n1408 I2=$false I3=$false O=$abc$51271$n915
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$51271$n897 I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$abc$51271$n1408
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[6] I1=$abc$51271$n919 I2=$abc$51271$n918 I3=$false O=$abc$51271$n917
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$51271$n918
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[4] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.kbd_code_hid[7] O=$abc$51271$n919
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$51271$n917 I1=I2C.FLT_SCL.RESET I2=$abc$51271$n1273 I3=$false O=$abc$51271$n920
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10001111
.gate SB_LUT4 I0=$abc$51271$n922_1 I1=$abc$51271$n923 I2=$false I3=$false O=$abc$51271$n921
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=KEYBOARD.report[4][4] I1=KEYBOARD.report[4][5] I2=KEYBOARD.report[4][6] I3=KEYBOARD.report[4][7] O=$abc$51271$n922_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=KEYBOARD.report[4][0] I1=KEYBOARD.report[4][1] I2=KEYBOARD.report[4][2] I3=KEYBOARD.report[4][3] O=$abc$51271$n923
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$51271$n904 I1=$abc$51271$n1408 I2=$abc$51271$n925 I3=$false O=$abc$51271$n924
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=$abc$51271$n927 I1=$abc$51271$n926_1 I2=$abc$51271$n27 I3=$false O=$abc$51271$n925
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11110001
.gate SB_LUT4 I0=$abc$51271$n902 I1=$abc$51271$n1408 I2=$abc$51271$n912 I3=$false O=$abc$51271$n926_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$51271$n906 I1=$abc$51271$n909 I2=$abc$51271$n904 I3=$abc$51271$n1408 O=$abc$51271$n927
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000111000000000
.gate SB_LUT4 I0=$abc$51271$n929 I1=$abc$51271$n935 I2=$abc$51271$n27 I3=$false O=$abc$51271$n928
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11000101
.gate SB_LUT4 I0=$abc$51271$n931 I1=$abc$51271$n930 I2=$false I3=$false O=$abc$51271$n929
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51271$n921 I1=$abc$51271$n912 I2=$abc$51271$n909 I3=$abc$51271$n903 O=$abc$51271$n930
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$abc$51271$n932 I1=$abc$51271$n933 I2=$false I3=$false O=$abc$51271$n931
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=KEYBOARD.report[5][4] I1=KEYBOARD.report[5][5] I2=KEYBOARD.report[5][6] I3=KEYBOARD.report[5][7] O=$abc$51271$n932
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=KEYBOARD.report[5][0] I1=KEYBOARD.report[5][1] I2=KEYBOARD.report[5][2] I3=KEYBOARD.report[5][3] O=$abc$51271$n933
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$51271$n936 I1=$abc$51271$n937 I2=$abc$51271$n938 I3=$abc$51271$n939 O=$abc$51271$n935
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.report[5][3] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.report[5][5] O=$abc$51271$n936
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.report[5][4] I2=KEYBOARD.kbd_code_hid[7] I3=KEYBOARD.report[5][7] O=$abc$51271$n937
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.report[5][0] I2=KEYBOARD.kbd_code_hid[2] I3=KEYBOARD.report[5][2] O=$abc$51271$n938
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.report[5][1] I2=KEYBOARD.kbd_code_hid[6] I3=KEYBOARD.report[5][6] O=$abc$51271$n939
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$51271$n897 I2=$false I3=$false O=$abc$51271$n1313
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51271$n945 I1=$abc$51271$n944 I2=$abc$51271$n27 I3=$abc$51271$n929 O=$abc$51271$n943_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011100000000
.gate SB_LUT4 I0=kbd_report[6][4] I1=kbd_report[6][5] I2=kbd_report[6][6] I3=kbd_report[6][7] O=$abc$51271$n944
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=kbd_report[6][0] I1=kbd_report[6][1] I2=kbd_report[6][2] I3=kbd_report[6][3] O=$abc$51271$n945
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$51271$n950 I1=$abc$51271$n951_1 I2=$abc$51271$n952 I3=$abc$51271$n953 O=$abc$51271$n949
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=kbd_report[6][3] I2=KEYBOARD.kbd_code_hid[7] I3=kbd_report[6][7] O=$abc$51271$n950
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=kbd_report[6][0] I2=KEYBOARD.kbd_code_hid[6] I3=kbd_report[6][6] O=$abc$51271$n951_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=kbd_report[6][4] I2=KEYBOARD.kbd_code_hid[5] I3=kbd_report[6][5] O=$abc$51271$n952
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=kbd_report[6][1] I2=KEYBOARD.kbd_code_hid[2] I3=kbd_report[6][2] O=$abc$51271$n953
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=$abc$51271$n1464 I1=$abc$51271$n925 I2=$abc$51271$n955_1 I3=$false O=$abc$51271$n1336
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$51271$n921 I1=$abc$51271$n900 I2=$abc$51271$n956 I3=$false O=$abc$51271$n955_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10110000
.gate SB_LUT4 I0=$abc$51271$n904 I1=$abc$51271$n1408 I2=$abc$51271$n920 I3=$false O=$abc$51271$n956
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000111
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.report[4][4] I2=$abc$51271$n963 I3=$false O=$abc$51271$n962
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10010000
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.report[4][0] I2=KEYBOARD.kbd_code_hid[1] I3=KEYBOARD.report[4][1] O=$abc$51271$n963
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=$abc$51271$n927 I1=$abc$51271$n27 I2=$abc$51271$n956 I3=$abc$51271$n966 O=$abc$51271$n1349
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1101000000000000
.gate SB_LUT4 I0=$abc$51271$n967 I1=$abc$51271$n912 I2=$abc$51271$n27 I3=$abc$51271$n915 O=$abc$51271$n966
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1010110011111111
.gate SB_LUT4 I0=$abc$51271$n968 I1=$abc$51271$n969 I2=$abc$51271$n970 I3=$abc$51271$n971_1 O=$abc$51271$n967
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.report[3][3] I2=KEYBOARD.kbd_code_hid[4] I3=KEYBOARD.report[3][4] O=$abc$51271$n968
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.report[3][5] I2=KEYBOARD.kbd_code_hid[6] I3=KEYBOARD.report[3][6] O=$abc$51271$n969
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.report[3][1] I2=KEYBOARD.kbd_code_hid[2] I3=KEYBOARD.report[3][2] O=$abc$51271$n970
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.report[3][0] I2=KEYBOARD.kbd_code_hid[7] I3=KEYBOARD.report[3][7] O=$abc$51271$n971_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=$abc$51271$n904 I1=$abc$51271$n977_1 I2=$abc$51271$n1408 I3=$false O=$abc$51271$n976
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$51271$n978 I1=$abc$51271$n979 I2=$abc$51271$n980 I3=$abc$51271$n981 O=$abc$51271$n977_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.report[2][4] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.report[2][5] O=$abc$51271$n978
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.report[2][1] I2=KEYBOARD.kbd_code_hid[6] I3=KEYBOARD.report[2][6] O=$abc$51271$n979
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.report[2][2] I2=KEYBOARD.kbd_code_hid[7] I3=KEYBOARD.report[2][7] O=$abc$51271$n980
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.report[2][3] I2=KEYBOARD.report[2][0] I3=KEYBOARD.kbd_code_hid[0] O=$abc$51271$n981
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=$abc$51271$n1408 I1=$abc$51271$n983 I2=$abc$51271$n956 I3=$false O=$abc$51271$n1372
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11010000
.gate SB_LUT4 I0=$abc$51271$n903 I1=$abc$51271$n1470 I2=$abc$51271$n27 I3=$false O=$abc$51271$n983
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.report[1][5] I2=$false I3=$false O=$abc$51271$n988
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0110
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.report[1][1] I2=KEYBOARD.kbd_code_hid[7] I3=KEYBOARD.report[1][7] O=$abc$51271$n990
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=$abc$51271$n904 I1=$abc$51271$n1408 I2=$abc$51271$n920 I3=$false O=$abc$51271$n1378
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00001011
.gate SB_LUT4 I0=$abc$51271$n897 I1=KEYBOARD.init_delay_cnt[0] I2=I2C.FLT_SCL.RESET I3=$false O=$abc$51271$n1383
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01001111
.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[8] I1=$abc$51271$n995 I2=$abc$51271$n1313 I3=$false O=$abc$51271$n1386
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11010000
.gate SB_LUT4 I0=$abc$51271$n1615 I1=$abc$51271$n1617 I2=$abc$51271$n722 I3=$abc$51271$n996 O=$abc$51271$n995
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$51271$n1614 I1=$abc$51271$n1618 I2=$abc$51271$n1623 I3=$abc$51271$n699 O=$abc$51271$n996
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$abc$51271$n29 I1=$abc$51271$n1408 I2=$false I3=$false O=$abc$51271$n1390
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51271$n995 I1=$abc$51271$n1408 I2=$false I3=$false O=$abc$51271$n1392
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51271$n1464 I1=$abc$51271$n1470 I2=$abc$51271$n1000 I3=$abc$51271$n1273 O=$abc$51271$n1405
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111111100000000
.gate SB_LUT4 I0=$abc$51271$n935 I1=$abc$51271$n949 I2=$abc$51271$n967 I3=$abc$51271$n977_1 O=$abc$51271$n1000
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[8] I1=$abc$51271$n29 I2=$abc$51271$n1313 I3=$false O=$abc$51271$n1407
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[8] I1=$abc$51271$n897 I2=KEYBOARD.init_ram_cnt[0] I3=I2C.FLT_SCL.RESET O=$abc$51271$n1414
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000011111111
.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[8] I1=$abc$51271$n897 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$51271$n1415
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01001111
.gate SB_LUT4 I0=I2C_HID_DESC.last_rd_request I1=I2C.wr I2=I2C.FLT_SCL.RESET I3=$false O=$abc$51271$n1417
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01001111
.gate SB_LUT4 I0=$abc$51271$n2329 I1=$abc$51271$n1629 I2=$abc$51271$n1008 I3=$false O=$abc$51271$n1426
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$51271$n1007 I1=$abc$51271$n1626 I2=$false I3=$false O=$abc$51271$n2329
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=I2C.FLT_SDA.counter[2] I3=$false O=$abc$51271$n1007
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=$abc$51271$n2330 I1=I2C.FLT_SDA.out I2=I2C.SDAF I3=$false O=$abc$51271$n1008
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010100
.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=$false I3=$false O=$abc$51271$n2330
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1001
.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=I2C.SDAF I2=$abc$51271$n1007 I3=$false O=$abc$51271$n1427
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01101111
.gate SB_LUT4 I0=$abc$51271$n2332 I1=$abc$51271$n1013 I2=$abc$51271$n2333 I3=$abc$51271$n1633 O=$abc$51271$n1436
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$abc$51271$n1630 O=$abc$51271$n2332
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111100000001
.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.SCLF I2=$false I3=$false O=$abc$51271$n1013
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1001
.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=$false I3=$false O=$abc$51271$n2333
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1001
.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$abc$51271$n1013 O=$abc$51271$n1437
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111011111111
.gate SB_LUT4 I0=$abc$51271$n2003 I1=I2C.byte_counter[0] I2=$false I3=$false O=$abc$51271$n1490
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51271$n2003 I1=I2C.byte_counter[1] I2=$false I3=$false O=$abc$51271$n1491
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51271$n2003 I1=I2C.byte_counter[2] I2=$false I3=$false O=$abc$51271$n1493
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51271$n2003 I1=I2C.byte_counter[3] I2=$false I3=$false O=$abc$51271$n1494
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51271$n2003 I1=I2C.byte_counter[4] I2=$false I3=$false O=$abc$51271$n1496
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51271$n2003 I1=I2C.byte_counter[5] I2=$false I3=$false O=$abc$51271$n1497
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51271$n2003 I1=I2C.byte_counter[6] I2=$false I3=$false O=$abc$51271$n1499
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51271$n2003 I1=I2C.byte_counter[7] I2=$false I3=$false O=$abc$51271$n1500
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51271$n678 I1=$13\int_tmr[14:0][0] I2=$false I3=$false O=$10\int_tmr[14:0][0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51271$n678 I1=int_tmr[1] I2=$false I3=$false O=$10\int_tmr[14:0][1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$51271$n678 I1=$13\int_tmr[14:0][2] I2=$false I3=$false O=$10\int_tmr[14:0][2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51271$n678 I1=$13\int_tmr[14:0][3] I2=$false I3=$false O=$10\int_tmr[14:0][3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51271$n678 I1=$13\int_tmr[14:0][4] I2=$false I3=$false O=$10\int_tmr[14:0][4]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51271$n678 I1=$13\int_tmr[14:0][5] I2=$false I3=$false O=$10\int_tmr[14:0][5]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51271$n678 I1=$13\int_tmr[14:0][6] I2=$false I3=$false O=$10\int_tmr[14:0][6]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51271$n678 I1=$13\int_tmr[14:0][7] I2=$false I3=$false O=$10\int_tmr[14:0][7]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51271$n678 I1=$13\int_tmr[14:0][8] I2=$false I3=$false O=$10\int_tmr[14:0][8]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51271$n678 I1=$13\int_tmr[14:0][9] I2=$false I3=$false O=$10\int_tmr[14:0][9]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51271$n678 I1=$13\int_tmr[14:0][10] I2=$false I3=$false O=$10\int_tmr[14:0][10]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51271$n678 I1=$13\int_tmr[14:0][11] I2=$false I3=$false O=$10\int_tmr[14:0][11]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51271$n678 I1=$13\int_tmr[14:0][12] I2=$false I3=$false O=$10\int_tmr[14:0][12]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51271$n678 I1=$13\int_tmr[14:0][13] I2=$false I3=$false O=$10\int_tmr[14:0][13]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51271$n678 I1=$13\int_tmr[14:0][14] I2=$false I3=$false O=$10\int_tmr[14:0][14]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$51271$n1040 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=REPORT_DATA.r_data[0] I1=I2C_HID_DESC.VAL[0] I2=I2C_OUT_DESC_MASK[0] I3=$false O=$abc$51271$n1040
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=I2C.received_byte[1] I1=$abc$51271$n1042 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=REPORT_DATA.r_data[1] I1=I2C_HID_DESC.VAL[1] I2=I2C_OUT_DESC_MASK[1] I3=$false O=$abc$51271$n1042
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=I2C.received_byte[2] I1=$abc$51271$n1044 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=REPORT_DATA.r_data[2] I1=I2C_HID_DESC.VAL[2] I2=I2C_OUT_DESC_MASK[2] I3=$false O=$abc$51271$n1044
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=I2C.received_byte[3] I1=$abc$51271$n1046 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=REPORT_DATA.r_data[3] I1=I2C_HID_DESC.VAL[3] I2=I2C_OUT_DESC_MASK[3] I3=$false O=$abc$51271$n1046
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=I2C.received_byte[4] I1=$abc$51271$n1048 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][4]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=REPORT_DATA.r_data[4] I1=I2C_HID_DESC.VAL[4] I2=I2C_OUT_DESC_MASK[4] I3=$false O=$abc$51271$n1048
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=I2C.received_byte[5] I1=$abc$51271$n1050 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][5]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=REPORT_DATA.r_data[5] I1=I2C_HID_DESC.VAL[5] I2=I2C_OUT_DESC_MASK[5] I3=$false O=$abc$51271$n1050
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=I2C.received_byte[6] I1=$abc$51271$n1052 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][6]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=REPORT_DATA.r_data[6] I1=I2C_HID_DESC.VAL[6] I2=I2C_OUT_DESC_MASK[6] I3=$false O=$abc$51271$n1052
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=I2C.received_byte[7] I1=$abc$51271$n1054 I2=I2C.is_read I3=$false O=$9\UART_TX_DATA[7:0][7]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=REPORT_DATA.r_data[7] I1=I2C_HID_DESC.VAL[7] I2=I2C_OUT_DESC_MASK[7] I3=$false O=$abc$51271$n1054
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=$abc$51271$n1772 I1=ring_rd[0] I2=$abc$51271$n777 I3=$false O=$10\ring_rd[3:0][0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$51271$n777 I1=ring_rd[0] I2=ring_rd[1] I3=$false O=$10\ring_rd[3:0][1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10110100
.gate SB_LUT4 I0=$abc$51271$n1778 I1=ring_rd[2] I2=$abc$51271$n777 I3=$false O=$10\ring_rd[3:0][2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$51271$n1781 I1=ring_rd[3] I2=$abc$51271$n777 I3=$false O=$10\ring_rd[3:0][3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=report_data_radr[1] I1=$10\ring_rd[3:0][0] I2=$abc$51271$n21 I3=$false O=$0\report_data_radr[7:0][1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11000101
.gate SB_LUT4 I0=$abc$51271$n1785 I1=$10\ring_rd[3:0][1] I2=$abc$51271$n21 I3=$false O=$0\report_data_radr[7:0][2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$51271$n1788 I1=$10\ring_rd[3:0][0] I2=$10\ring_rd[3:0][2] I3=$abc$51271$n21 O=$0\report_data_radr[7:0][3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0011110010101010
.gate SB_LUT4 I0=$abc$51271$n1791 I1=$abc$51271$n2410 I2=$abc$51271$n2408 I3=$abc$51271$n21 O=$0\report_data_radr[7:0][4]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0011110010101010
.gate SB_LUT4 I0=$10\ring_rd[3:0][0] I1=$10\ring_rd[3:0][2] I2=$false I3=$false O=$abc$51271$n2410
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$10\ring_rd[3:0][1] I1=$10\ring_rd[3:0][3] I2=$false I3=$false O=$abc$51271$n2408
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0110
.gate SB_LUT4 I0=$abc$51271$n1794 I1=$abc$51271$n1793 I2=$abc$51271$n21 I3=$false O=$0\report_data_radr[7:0][5]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$51271$n1797 I1=$abc$51271$n1796 I2=$abc$51271$n21 I3=$false O=$0\report_data_radr[7:0][6]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$51271$n1800 I1=$abc$51271$n1799 I2=$abc$51271$n21 I3=$false O=$0\report_data_radr[7:0][7]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$2\uart_double_ff[0:0] I1=$abc$51271$n860 I2=$abc$51271$n1069 I3=$abc$51271$n740 O=$0\i2c_input_data_type[3:0][0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000111101000100
.gate SB_LUT4 I0=I2C.received_byte[4] I1=$abc$51271$n1071 I2=$abc$51271$n1070 I3=I2C.received_byte[0] O=$abc$51271$n1069
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000101110111011
.gate SB_LUT4 I0=$abc$51271$n2426 I1=$abc$51271$n825 I2=$abc$51271$n2418 I3=$abc$51271$n21 O=$abc$51271$n1070
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1101000000000000
.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$abc$51271$n809 I3=$abc$51271$n1072 O=$abc$51271$n1071
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=I2C.received_byte[7] I1=I2C.received_byte[6] I2=$abc$51271$n833_1 I3=$false O=$abc$51271$n1072
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$2\uart_double_ff[0:0] I1=$abc$51271$n1074 I2=$abc$51271$n1075 I3=$abc$51271$n740 O=$0\i2c_input_data_type[3:0][1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000111101000100
.gate SB_LUT4 I0=$abc$51271$n1458 I1=$abc$51271$n847 I2=i2c_input_data_type[1] I3=$false O=$abc$51271$n1074
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=I2C.received_byte[1] I1=$abc$51271$n1070 I2=$abc$51271$n1076 I3=$false O=$abc$51271$n1075
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000111
.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[5] I2=$abc$51271$n1071 I3=$false O=$abc$51271$n1076
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01100000
.gate SB_LUT4 I0=$2\uart_double_ff[0:0] I1=$abc$51271$n846 I2=$abc$51271$n1078 I3=$abc$51271$n740 O=$0\i2c_input_data_type[3:0][2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000111101000100
.gate SB_LUT4 I0=$abc$51271$n1076 I1=$abc$51271$n1069 I2=I2C.received_byte[2] I3=$abc$51271$n1070 O=$abc$51271$n1078
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000111101000100
.gate SB_LUT4 I0=$2\uart_double_ff[0:0] I1=$abc$51271$n740 I2=$abc$51271$n1081 I3=$abc$51271$n1082 O=$0\i2c_input_data_type[3:0][3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111100010000
.gate SB_LUT4 I0=$abc$51271$n847 I1=i2c_input_data_type[3] I2=$false I3=$false O=$abc$51271$n1081
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51271$n1070 I1=$abc$51271$n740 I2=I2C.received_byte[3] I3=$false O=$abc$51271$n1082
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$51271$n778_1 I1=$abc$51271$n1084 I2=ring_wr[0] I3=$abc$51271$n1862 O=$2\ring_wr[3:0][0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111011101000000
.gate SB_LUT4 I0=$abc$51271$n1451 I1=ring_rd[3] I2=$abc$51271$n1871 I3=$abc$51271$n1085 O=$abc$51271$n1084
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000100000000
.gate SB_LUT4 I0=ring_rd[0] I1=$abc$51271$n1862 I2=ring_rd[2] I3=$abc$51271$n1868 O=$abc$51271$n1085
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=$abc$51271$n1087 I1=ring_wr[0] I2=ring_wr[1] I3=$false O=$2\ring_wr[3:0][1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10110100
.gate SB_LUT4 I0=$abc$51271$n778_1 I1=ring_wr[0] I2=$abc$51271$n1084 I3=$false O=$abc$51271$n1087
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01100000
.gate SB_LUT4 I0=$abc$51271$n1868 I1=ring_wr[2] I2=$abc$51271$n1087 I3=$false O=$2\ring_wr[3:0][2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$51271$n1871 I1=ring_wr[3] I2=$abc$51271$n1087 I3=$false O=$2\ring_wr[3:0][3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$51271$n1091 I1=$abc$51271$n1094 I2=$abc$51271$n1095 I3=$false O=$2\I2C_OUT_DESC_MASK[7:0][7]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01100000
.gate SB_LUT4 I0=$abc$51271$n1093 I1=$abc$51271$n860 I2=$abc$51271$n1092 I3=$false O=$abc$51271$n1091
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=$abc$51271$n1074 I1=$abc$51271$n860 I2=$abc$51271$n846 I3=$abc$51271$n1081 O=$abc$51271$n1092
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000001110
.gate SB_LUT4 I0=$abc$51271$n857 I1=$abc$51271$n1074 I2=I2C_OUTPUT_TYPE[0] I3=$false O=$abc$51271$n1093
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000111
.gate SB_LUT4 I0=$abc$51271$n857 I1=$abc$51271$n1074 I2=$abc$51271$n1092 I3=I2C_OUTPUT_TYPE[1] O=$abc$51271$n1094
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0011000000110111
.gate SB_LUT4 I0=$abc$51271$n1092 I1=I2C_OUTPUT_TYPE[2] I2=$abc$51271$n1098 I3=$false O=$abc$51271$n1095
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10110000
.gate SB_LUT4 I0=i2c_input_data_type[0] I1=$abc$51271$n811 I2=$abc$51271$n1074 I3=$false O=$abc$51271$n1096
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$51271$n681 I1=I2C.is_read I2=$false I3=$false O=$abc$51271$n1098
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$51271$n1091 I1=$abc$51271$n1098 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0111
.gate SB_LUT4 I0=$abc$51271$n1094 I1=$abc$51271$n1098 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0111
.gate SB_LUT4 I0=$abc$51271$n1092 I1=$abc$51271$n1096 I2=$abc$51271$n1098 I3=I2C_OUTPUT_TYPE[2] O=$2\I2C_OUTPUT_TYPE[2:0][2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$51271$n798 I1=$abc$51271$n1854 I2=$abc$51271$n681 I3=$false O=$2\wr_cnt[3:0][0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11110100
.gate SB_LUT4 I0=$abc$51271$n681 I1=$abc$51271$n798 I2=wr_cnt[0] I3=wr_cnt[1] O=$2\wr_cnt[3:0][1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100010000
.gate SB_LUT4 I0=$abc$51271$n681 I1=$abc$51271$n798 I2=$abc$51271$n1857 I3=$false O=$2\wr_cnt[3:0][2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$51271$n681 I1=$abc$51271$n798 I2=$abc$51271$n1859 I3=$false O=$2\wr_cnt[3:0][3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$51271$n260 I1=$abc$51271$n1111 I2=$false I3=$false O=$abc$51271$n1110
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=$abc$51271$n253 I3=$false O=$abc$51271$n1111
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10010000
.gate SB_LUT4 I0=$abc$51271$n1114 I1=KEYBOARD.report[2][0] I2=$abc$51271$n1113 I3=KEYBOARD.report[5][0] O=$abc$51271$n1112
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=$abc$51271$n1111 I1=$abc$51271$n260 I2=$false I3=$false O=$abc$51271$n1113
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51271$n253 I1=$abc$51271$n260 I2=wr_cnt[0] I3=wr_cnt[1] O=$abc$51271$n1114
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100010000
.gate SB_LUT4 I0=$abc$51271$n253 I1=$abc$51271$n260 I2=wr_cnt[0] I3=wr_cnt[1] O=$abc$51271$n1116_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001100010000001
.gate SB_LUT4 I0=kbd_report[6][0] I1=$abc$51271$n1119_1 I2=$abc$51271$n1118 I3=KEYBOARD.report[3][0] O=$abc$51271$n1117
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=$abc$51271$n260 I1=wr_cnt[0] I2=wr_cnt[1] I3=$abc$51271$n253 O=$abc$51271$n1118
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001010000000000
.gate SB_LUT4 I0=$abc$51271$n253 I1=wr_cnt[0] I2=wr_cnt[1] I3=$abc$51271$n260 O=$abc$51271$n1119_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001010000000000
.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[2] I2=wr_cnt[3] I3=wr_cnt[1] O=$abc$51271$n1120
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$abc$51271$n253 I1=wr_cnt[0] I2=wr_cnt[1] I3=$abc$51271$n260 O=$abc$51271$n1121
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000100000000
.gate SB_LUT4 I0=wr_cnt[2] I1=wr_cnt[1] I2=$abc$51271$n269 I3=$false O=$abc$51271$n1125
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$51271$n681 I1=$abc$51271$n1127 I2=$false I3=$false O=$abc$51271$n1126
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=wr_cnt[2] I1=wr_cnt[3] I2=wr_cnt[0] I3=$false O=$abc$51271$n1127
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$51271$n1127 I1=$abc$51271$n1135 I2=$abc$51271$n1130 I3=$abc$51271$n681 O=$3\report_data_wr[7:0][1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111100000001
.gate SB_LUT4 I0=KEYBOARD.report[0][1] I1=$abc$51271$n1116_1 I2=$abc$51271$n1131 I3=$abc$51271$n1133 O=$abc$51271$n1130
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111000000000000
.gate SB_LUT4 I0=KEYBOARD.report[3][1] I1=$abc$51271$n1118 I2=$abc$51271$n1120 I3=$abc$51271$n1132 O=$abc$51271$n1131
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011100000000
.gate SB_LUT4 I0=KEYBOARD.report[4][1] I1=$abc$51271$n1121 I2=$abc$51271$n1110 I3=KEYBOARD.report[1][1] O=$abc$51271$n1132
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=KEYBOARD.report[2][1] I1=$abc$51271$n1114 I2=$abc$51271$n1134 I3=$false O=$abc$51271$n1133
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=kbd_report[6][1] I1=$abc$51271$n1119_1 I2=$abc$51271$n1113 I3=KEYBOARD.report[5][1] O=$abc$51271$n1134
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=KEYBOARD.report[1][1] I1=KEYBOARD.report[0][1] I2=$abc$51271$n1125 I3=$abc$51271$n1120 O=$abc$51271$n1135
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0101001100000000
.gate SB_LUT4 I0=$abc$51271$n1127 I1=$abc$51271$n1153 I2=$abc$51271$n1148 I3=$abc$51271$n681 O=$3\report_data_wr[7:0][3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111100000001
.gate SB_LUT4 I0=KEYBOARD.report[0][3] I1=$abc$51271$n1116_1 I2=$abc$51271$n1120 I3=$abc$51271$n1149_1 O=$abc$51271$n1148
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011100000000
.gate SB_LUT4 I0=KEYBOARD.report[2][3] I1=$abc$51271$n1114 I2=$abc$51271$n1150 I3=$abc$51271$n1152 O=$abc$51271$n1149_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111000000000000
.gate SB_LUT4 I0=kbd_report[6][3] I1=$abc$51271$n1119_1 I2=$abc$51271$n1151 I3=$false O=$abc$51271$n1150
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=$abc$51271$n1118 I1=KEYBOARD.report[3][3] I2=$abc$51271$n1113 I3=KEYBOARD.report[5][3] O=$abc$51271$n1151
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=KEYBOARD.report[4][3] I1=$abc$51271$n1121 I2=$abc$51271$n1110 I3=KEYBOARD.report[1][3] O=$abc$51271$n1152
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=KEYBOARD.report[1][3] I1=KEYBOARD.report[0][3] I2=$abc$51271$n1125 I3=$abc$51271$n1120 O=$abc$51271$n1153
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0101001100000000
.gate SB_LUT4 I0=$abc$51271$n1120 I1=$abc$51271$n1164 I2=$abc$51271$n1158 I3=$abc$51271$n1126 O=$3\report_data_wr[7:0][4]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011100000000
.gate SB_LUT4 I0=KEYBOARD.report[4][4] I1=$abc$51271$n1121 I2=$abc$51271$n1120 I3=$abc$51271$n1159 O=$abc$51271$n1158
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011100000000
.gate SB_LUT4 I0=$abc$51271$n1161 I1=$abc$51271$n1162 I2=$abc$51271$n1160_1 I3=$abc$51271$n1163 O=$abc$51271$n1159
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=KEYBOARD.report[1][4] I1=KEYBOARD.report[5][4] I2=$abc$51271$n260 I3=$abc$51271$n1111 O=$abc$51271$n1160_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0011010111111111
.gate SB_LUT4 I0=$abc$51271$n1119_1 I1=kbd_report[6][4] I2=$false I3=$false O=$abc$51271$n1161
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51271$n1118 I1=KEYBOARD.report[3][4] I2=$false I3=$false O=$abc$51271$n1162
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51271$n1116_1 I1=KEYBOARD.report[0][4] I2=$abc$51271$n1114 I3=KEYBOARD.report[2][4] O=$abc$51271$n1163
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=KEYBOARD.report[1][4] I1=KEYBOARD.report[0][4] I2=$abc$51271$n1125 I3=$false O=$abc$51271$n1164
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=$abc$51271$n1114 I1=KEYBOARD.report[2][5] I2=$abc$51271$n1113 I3=KEYBOARD.report[5][5] O=$abc$51271$n1171
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=$abc$51271$n1116_1 I1=KEYBOARD.report[0][5] I2=$false I3=$false O=$abc$51271$n1172
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=kbd_report[6][5] I1=$abc$51271$n1119_1 I2=$abc$51271$n1118 I3=KEYBOARD.report[3][5] O=$abc$51271$n1173
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=KEYBOARD.report[4][6] I1=$abc$51271$n1121 I2=$abc$51271$n1120 I3=$abc$51271$n1179 O=$abc$51271$n1178
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011100000000
.gate SB_LUT4 I0=$abc$51271$n1180 I1=$abc$51271$n1182 I2=$abc$51271$n1181 I3=$abc$51271$n1183 O=$abc$51271$n1179
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$51271$n1110 I1=KEYBOARD.report[1][6] I2=$false I3=$false O=$abc$51271$n1180
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51271$n1114 I1=KEYBOARD.report[2][6] I2=$abc$51271$n1113 I3=KEYBOARD.report[5][6] O=$abc$51271$n1181
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=$abc$51271$n1116_1 I1=KEYBOARD.report[0][6] I2=$false I3=$false O=$abc$51271$n1182
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=kbd_report[6][6] I1=$abc$51271$n1119_1 I2=$abc$51271$n1118 I3=KEYBOARD.report[3][6] O=$abc$51271$n1183
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=kbd_report[6][7] I1=$abc$51271$n1119_1 I2=$abc$51271$n1118 I3=KEYBOARD.report[3][7] O=$abc$51271$n1191
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=$abc$51271$n731 I1=$abc$51271$n681 I2=$false I3=$false O=$3\report_wr_en[0:0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51271$n1198_1 I1=$abc$51271$n1913 I2=$abc$51271$n1199 I3=$abc$51271$n22 O=$0\report_data_wadr[7:0][0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111000001000100
.gate SB_LUT4 I0=init_ram_cnt[7] I1=init_ram_cnt[5] I2=$abc$51271$n732 I3=$abc$51271$n2420 O=$abc$51271$n1198_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1110111100000000
.gate SB_LUT4 I0=$abc$51271$n681 I1=$6\report_data_wadr[7:0][0] I2=$false I3=$false O=$abc$51271$n1199
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=init_ram_cnt[1] I1=$abc$51271$n1198_1 I2=$abc$51271$n22 I3=$abc$51271$n1201 O=$0\report_data_wadr[7:0][1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111100000001
.gate SB_LUT4 I0=$abc$51271$n1202 I1=$2\ring_wr[3:0][0] I2=$abc$51271$n681 I3=$abc$51271$n22 O=$abc$51271$n1201
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100101000000000
.gate SB_LUT4 I0=wr_cnt[1] I1=ring_wr[0] I2=$false I3=$false O=$abc$51271$n1202
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0110
.gate SB_LUT4 I0=$abc$51271$n1204 I1=$abc$51271$n1206 I2=$false I3=$false O=$0\report_data_wadr[7:0][2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$51271$n1205 I1=$2\ring_wr[3:0][1] I2=$abc$51271$n681 I3=$abc$51271$n22 O=$abc$51271$n1204
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0011010100000000
.gate SB_LUT4 I0=wr_cnt[1] I1=ring_wr[0] I2=wr_cnt[2] I3=ring_wr[1] O=$abc$51271$n1205
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000011101111000
.gate SB_LUT4 I0=$abc$51271$n1198_1 I1=init_ram_cnt[1] I2=init_ram_cnt[2] I3=$abc$51271$n22 O=$abc$51271$n1206
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000010111110
.gate SB_LUT4 I0=$abc$51271$n1198_1 I1=$abc$51271$n1919 I2=$abc$51271$n1208_1 I3=$abc$51271$n22 O=$0\report_data_wadr[7:0][3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000111101000100
.gate SB_LUT4 I0=$abc$51271$n681 I1=$2\ring_wr[3:0][0] I2=$2\ring_wr[3:0][2] I3=$abc$51271$n1209 O=$abc$51271$n1208_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000011010111
.gate SB_LUT4 I0=$abc$51271$n681 I1=$abc$51271$n1210 I2=$abc$51271$n1212 I3=ring_wr[0] O=$abc$51271$n1209
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001010001000001
.gate SB_LUT4 I0=ring_wr[1] I1=wr_cnt[2] I2=$abc$51271$n1211 I3=$false O=$abc$51271$n1210
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000111
.gate SB_LUT4 I0=wr_cnt[2] I1=ring_wr[1] I2=ring_wr[0] I3=wr_cnt[1] O=$abc$51271$n1211
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0110000000000000
.gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[2] I2=$false I3=$false O=$abc$51271$n1212
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0110
.gate SB_LUT4 I0=$abc$51271$n1198_1 I1=$abc$51271$n1921 I2=$abc$51271$n1214_1 I3=$abc$51271$n22 O=$0\report_data_wadr[7:0][4]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000111101000100
.gate SB_LUT4 I0=$abc$51271$n1217 I1=$abc$51271$n2414 I2=$abc$51271$n2416 I3=$abc$51271$n681 O=$abc$51271$n1214_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100001110101010
.gate SB_LUT4 I0=$2\ring_wr[3:0][2] I1=$2\ring_wr[3:0][0] I2=$false I3=$false O=$abc$51271$n2416
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$2\ring_wr[3:0][1] I1=$2\ring_wr[3:0][3] I2=$false I3=$false O=$abc$51271$n2414
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0110
.gate SB_LUT4 I0=$abc$51271$n2413 I1=$abc$51271$n2411 I2=$false I3=$false O=$abc$51271$n1217
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1001
.gate SB_LUT4 I0=$abc$51271$n1211 I1=$abc$51271$n1210 I2=ring_wr[0] I3=$abc$51271$n1212 O=$abc$51271$n2413
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1110101000110000
.gate SB_LUT4 I0=$abc$51271$n1220 I1=$abc$51271$n1221 I2=ring_wr[1] I3=ring_wr[3] O=$abc$51271$n2411
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1110101100010100
.gate SB_LUT4 I0=$abc$51271$n1212 I1=wr_cnt[2] I2=ring_wr[1] I3=$false O=$abc$51271$n1220
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[2] I2=$false I3=$false O=$abc$51271$n1221
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51271$n1198_1 I1=$abc$51271$n1923 I2=$abc$51271$n22 I3=$abc$51271$n1223 O=$0\report_data_wadr[7:0][5]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111010000000000
.gate SB_LUT4 I0=$6\report_data_wadr[7:0][5] I1=$abc$51271$n1903 I2=$abc$51271$n681 I3=$abc$51271$n22 O=$abc$51271$n1223
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100101011111111
.gate SB_LUT4 I0=$abc$51271$n1198_1 I1=$abc$51271$n1925 I2=$abc$51271$n22 I3=$abc$51271$n1225_1 O=$0\report_data_wadr[7:0][6]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111010000000000
.gate SB_LUT4 I0=$6\report_data_wadr[7:0][6] I1=$abc$51271$n1906 I2=$abc$51271$n681 I3=$abc$51271$n22 O=$abc$51271$n1225_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100101011111111
.gate SB_LUT4 I0=$abc$51271$n1198_1 I1=$abc$51271$n1927 I2=$abc$51271$n22 I3=$abc$51271$n1227 O=$0\report_data_wadr[7:0][7]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111010000000000
.gate SB_LUT4 I0=$6\report_data_wadr[7:0][7] I1=$abc$51271$n1909 I2=$abc$51271$n681 I3=$abc$51271$n22 O=$abc$51271$n1227
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100101011111111
.gate SB_LUT4 I0=$abc$51271$n1229 I1=$abc$51271$n1937 I2=$false I3=$false O=$0\rststate[3:0][0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=I2C.is_read I1=$abc$51271$n845 I2=$abc$51271$n922 I3=$abc$51271$n826 O=$abc$51271$n1229
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=$abc$51271$n1229 I1=$abc$51271$n1939 I2=$false I3=$false O=$0\rststate[3:0][1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51271$n1229 I1=$abc$51271$n1941 I2=$false I3=$false O=$0\rststate[3:0][2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51271$n1229 I1=$abc$51271$n1943 I2=$false I3=$false O=$0\rststate[3:0][3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51271$n2003 I1=I2C.i2c_bit_counter[0] I2=$false I3=$false O=$abc$51271$n2005
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51271$n2003 I1=I2C.i2c_bit_counter[1] I2=$false I3=$false O=$abc$51271$n2007
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51271$n2003 I1=I2C.i2c_bit_counter[2] I2=$false I3=$false O=$abc$51271$n2009
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C.i2c_bit_counter[3] I1=$abc$51271$n2003 I2=$false I3=$false O=$abc$51271$n2457
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=I2C.i2c_state_machine I1=$abc$51271$n1247 I2=$abc$51271$n1239 I3=$false O=$abc$51271$n2019
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01001111
.gate SB_LUT4 I0=$abc$51271$n1240 I1=$abc$51271$n1261 I2=$abc$51271$n1260 I3=$abc$51271$n1250 O=$abc$51271$n1239
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000011111
.gate SB_LUT4 I0=$abc$51271$n1247 I1=$abc$51271$n1241 I2=$abc$51271$n1177 I3=$abc$51271$n1249 O=$abc$51271$n1240
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000110000001010
.gate SB_LUT4 I0=$abc$51271$n1246 I1=$abc$51271$n1242 I2=$abc$51271$n307 I3=$abc$51271$n1243 O=$abc$51271$n1241
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100101000000000
.gate SB_LUT4 I0=$abc$51271$n1052 I1=$abc$51271$n1048 I2=$abc$51271$n305 I3=$abc$51271$n877 O=$abc$51271$n1242
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111110011111010
.gate SB_LUT4 I0=$abc$51271$n1244 I1=$abc$51271$n1245 I2=$abc$51271$n877 I3=$abc$51271$n305 O=$abc$51271$n1243
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100101011111111
.gate SB_LUT4 I0=$abc$51271$n1054 I1=$abc$51271$n1046 I2=$abc$51271$n307 I3=$false O=$abc$51271$n1244
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10101100
.gate SB_LUT4 I0=$abc$51271$n1050 I1=$abc$51271$n1042 I2=$abc$51271$n307 I3=$false O=$abc$51271$n1245
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10101100
.gate SB_LUT4 I0=$abc$51271$n1044 I1=$abc$51271$n1040 I2=$abc$51271$n305 I3=$abc$51271$n877 O=$abc$51271$n1246
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111110011111010
.gate SB_LUT4 I0=$abc$51271$n2003 I1=$abc$51271$n1248 I2=$false I3=$false O=$abc$51271$n1247
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=I2C.FLT_SCL.out I2=$abc$51271$n14 I3=I2C.SDA_DIR O=$abc$51271$n1248
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111111100000000
.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$abc$51271$n16 I2=$false I3=$false O=$abc$51271$n1249
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$51271$n1259 I1=$abc$51271$n1247 I2=$abc$51271$n1251 I3=$abc$51271$n870 O=$abc$51271$n1250
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111010000000000
.gate SB_LUT4 I0=$abc$51271$n1252 I1=$abc$51271$n1257 I2=$false I3=$false O=$abc$51271$n1251
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51271$n1253 I1=$abc$51271$n1255 I2=$false I3=$false O=$abc$51271$n1252
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$51271$n1254 I1=$abc$51271$n694 I2=$abc$51271$n2003 I3=$false O=$abc$51271$n1253
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=I2C.byte_counter[3] I1=I2C.byte_counter[0] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$51271$n1254
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=I2C.received_byte[2] I1=I2C.received_byte[7] I2=$abc$51271$n1256 I3=I2C.received_byte[6] O=$abc$51271$n1255
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[1] I2=I2C.received_byte[5] I3=I2C.received_byte[3] O=$abc$51271$n1256
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$51271$n1258 I1=$abc$51271$n1259 I2=$false I3=$false O=$abc$51271$n1257
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51271$n2003 I1=I2C.is_ack I2=$false I3=$false O=$abc$51271$n1258
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51271$n1249 I1=$abc$51271$n1177 I2=$false I3=$false O=$abc$51271$n1259
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51271$n871_1 I1=$abc$51271$n873 I2=$false I3=$false O=$abc$51271$n1260
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C.is_ack I1=$abc$51271$n1249 I2=$abc$51271$n1177 I3=$abc$51271$n1247 O=$abc$51271$n1261
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1011000000000000
.gate SB_LUT4 I0=$abc$51271$n1252 I1=$abc$51271$n1257 I2=$abc$51271$n870 I3=$abc$51271$n1263 O=$abc$51271$n2021
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111101110000
.gate SB_LUT4 I0=$abc$51271$n1248 I1=$abc$51271$n1264 I2=I2C.FLT_SDA.out I3=$abc$51271$n1260 O=$abc$51271$n1263
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1011111100000000
.gate SB_LUT4 I0=$abc$51271$n1258 I1=I2C.FLT_SCL.out I2=$abc$51271$n16 I3=$abc$51271$n1177 O=$abc$51271$n1264
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$abc$51271$n1266 I1=$abc$51271$n871_1 I2=$false I3=$false O=$abc$51271$n2023
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=$abc$51271$n1253 I1=$abc$51271$n1257 I2=$abc$51271$n873 I3=I2C.received_byte[0] O=$abc$51271$n1266
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=$abc$51271$n1272 I1=$abc$51271$n305 I2=$abc$51271$n1268 I3=$abc$51271$n2005 O=$abc$51271$n2025
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000111110001000
.gate SB_LUT4 I0=$abc$51271$n1269 I1=$abc$51271$n1264 I2=$abc$51271$n1271 I3=$abc$51271$n873 O=$abc$51271$n1268
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000110100000000
.gate SB_LUT4 I0=$abc$51271$n1270 I1=$abc$51271$n871_1 I2=$false I3=$false O=$abc$51271$n1269
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51271$n1177 I1=$abc$51271$n1249 I2=$false I3=$false O=$abc$51271$n1270
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51271$n1259 I1=$abc$51271$n1258 I2=$abc$51271$n871_1 I3=$abc$51271$n874 O=$abc$51271$n1271
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000111
.gate SB_LUT4 I0=$abc$51271$n874 I1=$abc$51271$n1270 I2=$abc$51271$n871_1 I3=$abc$51271$n873 O=$abc$51271$n1272
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100101000000000
.gate SB_LUT4 I0=$abc$51271$n877 I1=$abc$51271$n1272 I2=$abc$51271$n1268 I3=$abc$51271$n2007 O=$abc$51271$n2027
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100111101000100
.gate SB_LUT4 I0=$abc$51271$n1272 I1=$abc$51271$n307 I2=$abc$51271$n1268 I3=$abc$51271$n2009 O=$abc$51271$n2029
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000111110001000
.gate SB_LUT4 I0=$abc$51271$n1276 I1=$abc$51271$n1277 I2=$abc$51271$n1279 I3=$false O=$abc$51271$n2031
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01001111
.gate SB_LUT4 I0=$abc$51271$n1270 I1=$abc$51271$n2457 I2=$abc$51271$n1264 I3=$abc$51271$n871_1 O=$abc$51271$n1276
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000111000000000
.gate SB_LUT4 I0=$abc$51271$n2457 I1=$abc$51271$n874 I2=$abc$51271$n1278 I3=$abc$51271$n873 O=$abc$51271$n1277
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001111100000000
.gate SB_LUT4 I0=$abc$51271$n1259 I1=$abc$51271$n1258 I2=$abc$51271$n871_1 I3=$false O=$abc$51271$n1278
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000111
.gate SB_LUT4 I0=$abc$51271$n2457 I1=$abc$51271$n308 I2=$abc$51271$n1272 I3=$false O=$abc$51271$n1279
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=$abc$51271$n1282 I1=$abc$51271$n2170 I2=$abc$51271$n1490 I3=$abc$51271$n1281 O=$abc$51271$n2033
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1110111011110000
.gate SB_LUT4 I0=$abc$51271$n1264 I1=$abc$51271$n1257 I2=$abc$51271$n871_1 I3=$abc$51271$n873 O=$abc$51271$n1281
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1010110000000000
.gate SB_LUT4 I0=$abc$51271$n1494 I1=$abc$51271$n1499 I2=$abc$51271$n1283 I3=$false O=$abc$51271$n1282
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$51271$n1284 I1=I2C.byte_counter[0] I2=I2C.byte_counter[1] I3=$false O=$abc$51271$n1283
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=I2C.byte_counter[2] I1=I2C.byte_counter[4] I2=I2C.byte_counter[5] I3=I2C.byte_counter[7] O=$abc$51271$n1284
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$abc$51271$n1491 I1=$abc$51271$n1286 I2=$abc$51271$n1281 I3=$false O=$abc$51271$n2035
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=$abc$51271$n1282 I1=$2\I2C_INPUT_LEN[7:0][1] I2=$false I3=$false O=$abc$51271$n1286
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1001
.gate SB_LUT4 I0=$abc$51271$n1282 I1=$abc$51271$n2172 I2=$abc$51271$n1493 I3=$abc$51271$n1281 O=$abc$51271$n2037
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1110111011110000
.gate SB_LUT4 I0=$abc$51271$n1282 I1=$abc$51271$n2173 I2=$abc$51271$n1494 I3=$abc$51271$n1281 O=$abc$51271$n2039
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1110111011110000
.gate SB_LUT4 I0=$abc$51271$n1282 I1=$abc$51271$n2174 I2=$abc$51271$n1496 I3=$abc$51271$n1281 O=$abc$51271$n2041
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1110111011110000
.gate SB_LUT4 I0=$abc$51271$n1282 I1=$abc$51271$n2175 I2=$abc$51271$n1497 I3=$abc$51271$n1281 O=$abc$51271$n2043
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1110111011110000
.gate SB_LUT4 I0=$abc$51271$n1282 I1=$abc$51271$n2176 I2=$abc$51271$n1499 I3=$abc$51271$n1281 O=$abc$51271$n2045
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1110111011110000
.gate SB_LUT4 I0=$abc$51271$n1282 I1=$abc$51271$n2177 I2=$abc$51271$n1500 I3=$abc$51271$n1281 O=$abc$51271$n2047
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1110111011110000
.gate SB_LUT4 I0=$abc$51271$n870 I1=$abc$51271$n1251 I2=$abc$51271$n1295 I3=$abc$51271$n1296 O=$abc$51271$n2049
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111111111000
.gate SB_LUT4 I0=$abc$51271$n1177 I1=$abc$51271$n1258 I2=$abc$51271$n1249 I3=$abc$51271$n1260 O=$abc$51271$n1295
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1010110000000000
.gate SB_LUT4 I0=$abc$51271$n1259 I1=$abc$51271$n871_1 I2=$abc$51271$n873 I3=$abc$51271$n1258 O=$abc$51271$n1296
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001111100000000
.gate SB_LUT4 I0=$abc$51271$n1299 I1=I2C.wr I2=$abc$51271$n1298 I3=$abc$51271$n1264 O=$abc$51271$n2051
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000111110001000
.gate SB_LUT4 I0=$abc$51271$n2428 I1=$abc$51271$n1263 I2=$abc$51271$n870 I3=$false O=$abc$51271$n1298
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10100011
.gate SB_LUT4 I0=$abc$51271$n1278 I1=$abc$51271$n1268 I2=$abc$51271$n1301 I3=$false O=$abc$51271$n1299
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10110000
.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$51271$n14 I2=I2C.FLT_SCL.out I3=$false O=$abc$51271$n1301
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01101111
.gate SB_LUT4 I0=$abc$51271$n1511 I1=$abc$51271$n889 I2=$false I3=$false O=$abc$51271$n2179
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1011
.gate SB_LUT4 I0=UART.tx_clk_counter[1] I1=UART.tx_clk_counter[0] I2=UART.tx_activity I3=$false O=$abc$51271$n2181
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10010000
.gate SB_LUT4 I0=$abc$51271$n1514 I1=$abc$51271$n889 I2=$false I3=$false O=$abc$51271$n2183
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1011
.gate SB_LUT4 I0=$abc$51271$n1515 I1=$abc$51271$n889 I2=$false I3=$false O=$abc$51271$n2185
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1011
.gate SB_LUT4 I0=$abc$51271$n892 I1=$abc$51271$n890 I2=$abc$51271$n894 I3=$false O=$abc$51271$n2186
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000111
.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[0] I1=$abc$51271$n2190 I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$abc$51271$n2191
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[1] I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=KEYBOARD.init_ram_cnt[8] O=$abc$51271$n2194
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0011110010101010
.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[2] I1=$abc$51271$n2196 I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$abc$51271$n2197
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[3] I1=$abc$51271$n30 I2=KEYBOARD.init_ram_cnt[8] I3=$false O=$abc$51271$n2199
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=$abc$51271$n2190 I2=$abc$51271$n995 I3=$false O=$abc$51271$n2203
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$51271$n995 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$51271$n2205
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01111000
.gate SB_LUT4 I0=KEYBOARD.row_counter[2] I1=$abc$51271$n2196 I2=$abc$51271$n995 I3=$false O=$abc$51271$n2207
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.row_counter[3] I1=$abc$51271$n30 I2=$abc$51271$n995 I3=$false O=$abc$51271$n2209
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$51271$n995 I1=KEYBOARD.ram_wr I2=$abc$51271$n29 I3=$abc$51271$n709 O=$abc$51271$n2213
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100111101000100
.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[1] I1=KBD_COLUMNS[1] I2=$abc$51271$n699 I3=$false O=$abc$51271$n2243
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[3] I1=KBD_COLUMNS[3] I2=$abc$51271$n699 I3=$false O=$abc$51271$n2249
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[4] I1=KBD_COLUMNS[4] I2=$abc$51271$n699 I3=$false O=$abc$51271$n2252
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[5] I1=KBD_COLUMNS[5] I2=$abc$51271$n699 I3=$false O=$abc$51271$n2255
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[6] I1=KBD_COLUMNS[6] I2=$abc$51271$n699 I3=$false O=$abc$51271$n2258
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[7] I1=KBD_COLUMNS[7] I2=$abc$51271$n699 I3=$false O=$abc$51271$n2261
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$51271$n2271 I1=$abc$51271$n2262 I2=$false I3=$false O=$abc$51271$n2264
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=$abc$51271$n696 I1=$abc$51271$n1499_1 I2=$false I3=$false O=$abc$51271$n2271
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1001
.gate SB_LUT4 I0=$abc$51271$n2271 I1=$abc$51271$n394 I2=$false I3=$false O=$abc$51271$n2265
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=$abc$51271$n2271 I1=$abc$51271$n383 I2=$false I3=$false O=$abc$51271$n2266
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=$abc$51271$n2271 I1=$abc$51271$n2203 I2=$false I3=$false O=$abc$51271$n2267
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=$abc$51271$n2271 I1=$abc$51271$n2205 I2=$false I3=$false O=$abc$51271$n2268
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=$abc$51271$n2271 I1=$abc$51271$n2207 I2=$false I3=$false O=$abc$51271$n2269
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=$abc$51271$n2271 I1=$abc$51271$n2209 I2=$false I3=$false O=$abc$51271$n2270
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=KEYBOARD.temp[0] I1=KBD_COLUMNS[0] I2=$abc$51271$n699 I3=$false O=$abc$51271$n2273
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[4] I1=$abc$51271$n699 I2=$abc$51271$n1333 I3=$abc$51271$n712 O=$abc$51271$n2274
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111111111000
.gate SB_LUT4 I0=$abc$51271$n396 I1=$abc$51271$n394 I2=KEYBOARD.temp[4] I3=$false O=$abc$51271$n1333
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11100000
.gate SB_LUT4 I0=$abc$51271$n697 I1=$abc$51271$n1335 I2=$false I3=$false O=$abc$51271$n2275
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=KEYBOARD.temp[2] I1=KEYBOARD.RAM.r_data[2] I2=$abc$51271$n700 I3=$abc$51271$n699 O=$abc$51271$n1335
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000110000001010
.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[7] I1=$abc$51271$n1337 I2=$abc$51271$n709 I3=$false O=$abc$51271$n2276
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10100011
.gate SB_LUT4 I0=KEYBOARD.temp[7] I1=KEYBOARD.RAM.r_data[7] I2=$abc$51271$n699 I3=$false O=$abc$51271$n1337
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=$abc$51271$n704 I1=$abc$51271$n1339 I2=$false I3=$false O=$abc$51271$n2277
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=KEYBOARD.temp[1] I1=KEYBOARD.RAM.r_data[1] I2=$abc$51271$n705 I3=$abc$51271$n699 O=$abc$51271$n1339
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000110000001010
.gate SB_LUT4 I0=$abc$51271$n706 I1=$abc$51271$n1341 I2=$false I3=$false O=$abc$51271$n2278
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=KEYBOARD.temp[3] I1=KEYBOARD.RAM.r_data[3] I2=$abc$51271$n707 I3=$abc$51271$n699 O=$abc$51271$n1341
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000110000001010
.gate SB_LUT4 I0=$abc$51271$n702 I1=$abc$51271$n1343 I2=$false I3=$false O=$abc$51271$n2279
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=KEYBOARD.temp[5] I1=KEYBOARD.RAM.r_data[5] I2=$abc$51271$n703 I3=$abc$51271$n699 O=$abc$51271$n1343
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000110000001010
.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[6] I1=$abc$51271$n1345 I2=$abc$51271$n711 I3=$false O=$abc$51271$n2280
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10100011
.gate SB_LUT4 I0=KEYBOARD.temp[6] I1=KEYBOARD.RAM.r_data[6] I2=$abc$51271$n699 I3=$false O=$abc$51271$n1345
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=$abc$51271$n943_1 I1=$abc$51271$n917 I2=$false I3=$false O=$abc$51271$n2282
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=KEYBOARD.report[0][0] I1=$abc$51271$n27 I2=$abc$51271$n918 I3=$false O=$abc$51271$n2286
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=KEYBOARD.report[0][1] I1=$abc$51271$n27 I2=$abc$51271$n1349_1 I3=$false O=$abc$51271$n2289
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$51271$n1349_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=KEYBOARD.report[0][2] I1=$abc$51271$n27 I2=$abc$51271$n1351 I3=$false O=$abc$51271$n2292
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[2] I2=KEYBOARD.kbd_code_hid[1] I3=$false O=$abc$51271$n1351
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=KEYBOARD.report[0][3] I1=$abc$51271$n27 I2=$abc$51271$n1353 I3=$false O=$abc$51271$n2295
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$51271$n1353
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=KEYBOARD.report[0][4] I1=$abc$51271$n27 I2=$abc$51271$n1355 I3=$false O=$abc$51271$n2298
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$51271$n1355
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=KEYBOARD.report[0][5] I1=$abc$51271$n27 I2=$abc$51271$n1357 I3=$false O=$abc$51271$n2301
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$51271$n1357
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=KEYBOARD.report[0][6] I1=$abc$51271$n27 I2=$abc$51271$n1359_1 I3=$false O=$abc$51271$n2304
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$51271$n1359_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=KEYBOARD.report[0][7] I1=$abc$51271$n27 I2=$abc$51271$n1361 I3=$false O=$abc$51271$n2307
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$51271$n1361
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$51271$n27 I1=KEYBOARD.kbd_code_hid[0] I2=$false I3=$false O=$abc$51271$n2308
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51271$n27 I1=KEYBOARD.kbd_code_hid[1] I2=$false I3=$false O=$abc$51271$n2309
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51271$n27 I1=KEYBOARD.kbd_code_hid[2] I2=$false I3=$false O=$abc$51271$n2310
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51271$n27 I1=KEYBOARD.kbd_code_hid[3] I2=$false I3=$false O=$abc$51271$n2311
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51271$n27 I1=KEYBOARD.kbd_code_hid[4] I2=$false I3=$false O=$abc$51271$n2312
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51271$n27 I1=KEYBOARD.kbd_code_hid[5] I2=$false I3=$false O=$abc$51271$n2313
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51271$n27 I1=KEYBOARD.kbd_code_hid[6] I2=$false I3=$false O=$abc$51271$n2314
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$51271$n27 I1=KEYBOARD.kbd_code_hid[7] I2=$false I3=$false O=$abc$51271$n2315
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=I2C.byte_counter[0] I1=$abc$51271$n2321 I2=I2C_OUTPUT_TYPE[1] I3=I2C_OUTPUT_TYPE[0] O=$abc$51271$n2322
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100101011001100
.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=I2C_OUTPUT_TYPE[0] I2=I2C.byte_counter[5] I3=$false O=$abc$51271$n2324
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01001011
.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=I2C_OUTPUT_TYPE[0] I2=I2C.byte_counter[5] I3=I2C.byte_counter[6] O=$abc$51271$n2326
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100111110110000
.gate SB_LUT4 I0=I2C.byte_counter[7] I1=$abc$51271$n2327 I2=I2C_OUTPUT_TYPE[1] I3=I2C_OUTPUT_TYPE[0] O=$abc$51271$n2328
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100101011001100
.gate SB_LUT4 I0=$abc$51271$n1007 I1=$abc$51271$n1629 I2=$false I3=$false O=$abc$51271$n2331
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$abc$51271$n1633 O=$abc$51271$n2334
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111100000001
.gate SB_LUT4 I0=init_ram_cnt[1] I1=init_ram_cnt[0] I2=$false I3=$false O=$2\init_ram_cnt[7:0][1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0110
.gate SB_LUT4 I0=$2\ring_wr[3:0][1] I1=$2\ring_wr[3:0][3] I2=$false I3=$false O=$abc$51271$n2509
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[1] I2=ring_wr[3] I3=ring_wr[2] O=$abc$51271$n2516
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001011111000000
.gate SB_LUT4 I0=ring_wr[3] I1=$abc$51271$n1220 I2=$false I3=$false O=$abc$51271$n2518
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=wr_cnt[3] I1=ring_wr[1] I2=ring_wr[3] I3=ring_wr[2] O=$abc$51271$n2519
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1110100000000000
.gate SB_LUT4 I0=$10\ring_rd[3:0][1] I1=$10\ring_rd[3:0][3] I2=$false I3=$false O=$abc$51271$n2529
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51271$n2190 I1=$abc$51271$n2196 I2=KEYBOARD.row_counter[0] I3=KEYBOARD.row_counter[1] O=$abc$51271$n2572
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000001
.gate SB_LUT4 I0=$abc$51271$n2196 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$51271$n2190 O=$abc$51271$n2573
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000100000000
.gate SB_LUT4 I0=$abc$51271$n2190 I1=$abc$51271$n2196 I2=KEYBOARD.row_counter[0] I3=KEYBOARD.row_counter[1] O=$abc$51271$n2574
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100010000
.gate SB_LUT4 I0=$abc$51271$n2196 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$51271$n2190 O=$abc$51271$n2575
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001010000000000
.gate SB_LUT4 I0=$abc$51271$n2190 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$51271$n2196 O=$abc$51271$n2576
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000100000000
.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=KEYBOARD.row_counter[1] I2=$abc$51271$n2190 I3=$abc$51271$n2196 O=$abc$51271$n2577
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000000000
.gate SB_LUT4 I0=$abc$51271$n2190 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$abc$51271$n2196 O=$abc$51271$n2578
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001010000000000
.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=KEYBOARD.row_counter[1] I2=$abc$51271$n2190 I3=$abc$51271$n2196 O=$abc$51271$n2579
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0110000000000000
.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$false I2=$false I3=$false O=$abc$51271$n5
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$false I2=$false I3=$false O=$abc$51271$n7
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=LED1 I1=$false I2=$false I3=$false O=COM_DCD
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$51271$n10 I1=$false I2=$false I3=$false O=COM_DSR
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$51271$n12 I1=$false I2=$false I3=$false O=COM_TX
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$51271$n22 I1=$false I2=$false I3=$false O=$abc$51271$n23
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=UART.tx_activity I1=$false I2=$false I3=$false O=$abc$51271$n25
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$51271$n27 I1=$false I2=$false I3=$false O=$abc$51271$n26
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$51271$n30 I1=$false I2=$false I3=$false O=$abc$51271$n31
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[8] I1=$false I2=$false I3=$false O=$abc$51271$n33
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$false I2=$false I3=$false O=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=init_ram_cnt[7] I1=$false I2=$false I3=$false O=$abc$51271$n184
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=init_ram_cnt[6] I1=$false I2=$false I3=$false O=$abc$51271$n185
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=init_ram_cnt[5] I1=$false I2=$false I3=$false O=$abc$51271$n187
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=init_ram_cnt[4] I1=$false I2=$false I3=$false O=$abc$51271$n188
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=init_ram_cnt[2] I1=$false I2=$false I3=$false O=$abc$51271$n191
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=init_ram_cnt[0] I1=$false I2=$false I3=$false O=$abc$51271$n194
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=i2c_input_data_type[3] I1=$false I2=$false I3=$false O=$abc$51271$n214
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=i2c_input_data_type[1] I1=$false I2=$false I3=$false O=$abc$51271$n217
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=i2c_input_data_type[0] I1=$false I2=$false I3=$false O=$abc$51271$n218
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.received_byte[7] I1=$false I2=$false I3=$false O=$abc$51271$n221
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.received_byte[6] I1=$false I2=$false I3=$false O=$abc$51271$n222
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.received_byte[5] I1=$false I2=$false I3=$false O=$abc$51271$n224
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.received_byte[4] I1=$false I2=$false I3=$false O=$abc$51271$n225
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.received_byte[3] I1=$false I2=$false I3=$false O=$abc$51271$n227
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.received_byte[2] I1=$false I2=$false I3=$false O=$abc$51271$n228
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.received_byte[1] I1=$false I2=$false I3=$false O=$abc$51271$n230
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$51271$n1313 I1=$false I2=$false I3=$false O=$abc$51271$n1382
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=init_ram_cnt[1] I1=$false I2=$false I3=$false O=$abc$51271$n1915
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$51271$n2457 I1=$false I2=$false I3=$false O=$abc$51271$n2011
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$51271$n2380 I1=$false I2=$false I3=$false O=$abc$51271$n2379
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$51271$n1491 I1=$false I2=$false I3=$false O=$abc$51271$n2390
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$51271$n2007 I1=$false I2=$false I3=$false O=$abc$51271$n2392
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=KEYBOARD.init_delay_cnt[1] I1=$false I2=$false I3=$false O=$abc$51271$n2396
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=KEYBOARD.init_ram_cnt[1] I1=$false I2=$false I3=$false O=$abc$51271$n2397
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C_INPUT_LEN[1] I1=$false I2=$false I3=$false O=$abc$51271$n2448
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=$false I2=$false I3=$false O=$abc$51271$n2449
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=$false I2=$false I3=$false O=$abc$51271$n2450
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C_INPUT_LEN[4] I1=$false I2=$false I3=$false O=$abc$51271$n2451
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C_INPUT_LEN[5] I1=$false I2=$false I3=$false O=$abc$51271$n2452
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C_INPUT_LEN[6] I1=$false I2=$false I3=$false O=$abc$51271$n2453
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C_INPUT_LEN[7] I1=$false I2=$false I3=$false O=$abc$51271$n2454
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$51271$n2005 I1=$false I2=$false I3=$false O=$abc$51271$n2455
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$51271$n2009 I1=$false I2=$false I3=$false O=$abc$51271$n2456
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=init_ram_cnt[3] I1=$false I2=$false I3=$false O=$abc$51271$n2458
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=i2c_input_data_type[2] I1=$false I2=$false I3=$false O=$abc$51271$n2461
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$51271$n2381 I1=$false I2=$false I3=$false O=$abc$51271$n2463
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$51271$n2464 I1=$false I2=$false I3=$false O=$abc$51271$n2465
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$51271$n2466 I1=$false I2=$false I3=$false O=$abc$51271$n2467
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$51271$n1494 I1=$false I2=$false I3=$false O=$abc$51271$n2468
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$51271$n1496 I1=$false I2=$false I3=$false O=$abc$51271$n2469
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$51271$n1499 I1=$false I2=$false I3=$false O=$abc$51271$n2470
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$51271$n1500 I1=$false I2=$false I3=$false O=$abc$51271$n2471
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$51271$n1493 I1=$false I2=$false I3=$false O=$abc$51271$n2472
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$51271$n1497 I1=$false I2=$false I3=$false O=$abc$51271$n2473
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$51271$n810 I1=$abc$51271$n813 I2=I2C.byte_counter[3] I3=I2C.byte_counter[2] O=$abc$51271$n1450
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000101011000000
.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.is_read I2=I2C.byte_counter[1] I3=$abc$51271$n694 O=$abc$51271$n1451_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$51271$n748 I1=$abc$51271$n1451_1 I2=$abc$51271$n1450 I3=$abc$51271$n759 O=$abc$51271$n1010
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=$abc$51271$n824 I1=$abc$51271$n830 I2=$abc$51271$n832 I3=I2C.byte_counter[0] O=$abc$51271$n1453_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000111101110111
.gate SB_LUT4 I0=$abc$51271$n1453_1 I1=$abc$51271$n814 I2=I2C.byte_counter[1] I3=$abc$51271$n820 O=$abc$51271$n1454_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111101110000
.gate SB_LUT4 I0=$abc$51271$n809 I1=$abc$51271$n1454_1 I2=$abc$51271$n834_1 I3=$abc$51271$n737 O=$abc$51271$n1455
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111000011111111
.gate SB_LUT4 I0=I2C_INPUT_LEN[0] I1=I2C_INPUT_LEN[1] I2=i2c_input_data_type[1] I3=$abc$51271$n855 O=$abc$51271$n1457_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1011110111111111
.gate SB_LUT4 I0=i2c_input_data_type[0] I1=$abc$51271$n1457_1 I2=$abc$51271$n811 I3=$false O=$abc$51271$n1458
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10111111
.gate SB_LUT4 I0=$abc$51271$n921 I1=$abc$51271$n931 I2=$abc$51271$n949 I3=$abc$51271$n27 O=$abc$51271$n1459
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111000000010001
.gate SB_LUT4 I0=$abc$51271$n943_1 I1=$abc$51271$n915 I2=$abc$51271$n924 I3=$abc$51271$n1459 O=$abc$51271$n1320
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.report[4][2] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.report[4][5] O=$abc$51271$n1461
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[6] I1=KEYBOARD.report[4][6] I2=KEYBOARD.kbd_code_hid[7] I3=KEYBOARD.report[4][7] O=$abc$51271$n1462
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.report[4][3] I2=$abc$51271$n1462 I3=$abc$51271$n1461 O=$abc$51271$n1463
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000000000
.gate SB_LUT4 I0=$abc$51271$n962 I1=$abc$51271$n1463 I2=$abc$51271$n915 I3=$abc$51271$n27 O=$abc$51271$n1464
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111000000000000
.gate SB_LUT4 I0=$abc$51271$n906 I1=$abc$51271$n1408 I2=$abc$51271$n902 I3=$abc$51271$n915 O=$abc$51271$n1465
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=$abc$51271$n1465 I1=$abc$51271$n976 I2=$abc$51271$n27 I3=$abc$51271$n956 O=$abc$51271$n1359
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0011101000000000
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.report[1][4] I2=KEYBOARD.report[1][0] I3=KEYBOARD.kbd_code_hid[0] O=$abc$51271$n1467_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.report[1][2] I2=KEYBOARD.kbd_code_hid[6] I3=KEYBOARD.report[1][6] O=$abc$51271$n1468
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=$abc$51271$n988 I1=$abc$51271$n1468 I2=$abc$51271$n1467_1 I3=$abc$51271$n990 O=$abc$51271$n1469
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=$abc$51271$n1469 I1=KEYBOARD.kbd_code_hid[3] I2=KEYBOARD.report[1][3] I3=$abc$51271$n904 O=$abc$51271$n1470
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000001111101
.gate SB_LUT4 I0=$abc$51271$n1116_1 I1=$abc$51271$n269 I2=$abc$51271$n1120 I3=KEYBOARD.report[0][0] O=$abc$51271$n1471
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100010111111111
.gate SB_LUT4 I0=$abc$51271$n1121 I1=KEYBOARD.report[4][0] I2=$abc$51271$n1117 I3=$abc$51271$n1112 O=$abc$51271$n1472_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111000000000000
.gate SB_LUT4 I0=$abc$51271$n1125 I1=$abc$51271$n1110 I2=$abc$51271$n1120 I3=KEYBOARD.report[1][0] O=$abc$51271$n1473
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0101001111111111
.gate SB_LUT4 I0=$abc$51271$n1120 I1=$abc$51271$n1472_1 I2=$abc$51271$n1473 I3=$abc$51271$n1471 O=$abc$51271$n1474
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001111111111111
.gate SB_LUT4 I0=$abc$51271$n1474 I1=$abc$51271$n1126 I2=$false I3=$false O=$3\report_data_wr[7:0][0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$51271$n1116_1 I1=$abc$51271$n269 I2=$abc$51271$n1120 I3=KEYBOARD.report[0][2] O=$abc$51271$n1476
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100010111111111
.gate SB_LUT4 I0=$abc$51271$n1118 I1=KEYBOARD.report[3][2] I2=KEYBOARD.report[4][2] I3=$abc$51271$n1121 O=$abc$51271$n1477
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=$abc$51271$n1121 I1=KEYBOARD.report[4][5] I2=$abc$51271$n1172 I3=$abc$51271$n1173 O=$abc$51271$n1484
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011100000000
.gate SB_LUT4 I0=$abc$51271$n1484 I1=$abc$51271$n1512 I2=$abc$51271$n1120 I3=$abc$51271$n1126 O=$3\report_data_wr[7:0][5]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100011100000000
.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[2] I2=wr_cnt[3] I3=wr_cnt[1] O=$abc$51271$n1486
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=KEYBOARD.report[0][6] I1=KEYBOARD.report[1][6] I2=$abc$51271$n269 I3=$abc$51271$n1486 O=$abc$51271$n1487
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100101011111111
.gate SB_LUT4 I0=$abc$51271$n1178 I1=$abc$51271$n1126 I2=$abc$51271$n1487 I3=$false O=$3\report_data_wr[7:0][6]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=KEYBOARD.report[5][7] I1=KEYBOARD.report[1][7] I2=$abc$51271$n1120 I3=$abc$51271$n260 O=$abc$51271$n1489
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100101011001100
.gate SB_LUT4 I0=KEYBOARD.temp[1] I1=KEYBOARD.temp[2] I2=$abc$51271$n394 I3=$abc$51271$n396 O=$abc$51271$n1494_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000101011000000
.gate SB_LUT4 I0=KEYBOARD.RAM.r_data[0] I1=KEYBOARD.temp[3] I2=$abc$51271$n396 I3=$abc$51271$n394 O=$abc$51271$n1496_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0011111111110101
.gate SB_LUT4 I0=KEYBOARD.temp[6] I1=KEYBOARD.temp[7] I2=$abc$51271$n394 I3=$abc$51271$n396 O=$abc$51271$n1497_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0011111101010000
.gate SB_LUT4 I0=KEYBOARD.temp[4] I1=KEYBOARD.temp[5] I2=$abc$51271$n394 I3=$abc$51271$n1497_1 O=$abc$51271$n1498
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111001100000101
.gate SB_LUT4 I0=$abc$51271$n1494_1 I1=$abc$51271$n1496_1 I2=$abc$51271$n1498 I3=$abc$51271$n383 O=$abc$51271$n1499_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111000001000100
.gate SB_LUT4 I0=$abc$51271$n734 I1=I2C.FLT_SCL.RESET I2=$abc$51271$n790 I3=$abc$51271$n837 O=$abc$51271$n1500_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=$abc$51271$n731 I1=$abc$51271$n740 I2=$abc$51271$n738 I3=$abc$51271$n922 O=$abc$51271$n1501
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111101000000
.gate SB_LUT4 I0=$abc$51271$n1501 I1=I2C.is_read I2=$abc$51271$n1500_1 I3=$abc$51271$n1455 O=$abc$51271$n1086
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111000000000000
.gate SB_LUT4 I0=KEYBOARD.report[5][2] I1=KEYBOARD.report[1][2] I2=$abc$51271$n1120 I3=$abc$51271$n260 O=$abc$51271$n1503
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100101011001100
.gate SB_LUT4 I0=$abc$51271$n1114 I1=KEYBOARD.report[2][2] I2=kbd_report[6][2] I3=$abc$51271$n1119_1 O=$abc$51271$n1504
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=$abc$51271$n1504 I1=$abc$51271$n1477 I2=$abc$51271$n1125 I3=$abc$51271$n1120 O=$abc$51271$n1505_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111000010001000
.gate SB_LUT4 I0=$abc$51271$n1111 I1=$abc$51271$n1503 I2=$abc$51271$n1120 I3=$abc$51271$n1505_1 O=$abc$51271$n1506_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0011011111110000
.gate SB_LUT4 I0=$abc$51271$n1476 I1=$abc$51271$n1506_1 I2=$abc$51271$n1126 I3=$false O=$3\report_data_wr[7:0][2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[2] I2=wr_cnt[3] I3=wr_cnt[1] O=$abc$51271$n1510
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=KEYBOARD.report[0][5] I1=KEYBOARD.report[1][5] I2=$abc$51271$n269 I3=$abc$51271$n1510 O=$abc$51271$n1511_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100101011001100
.gate SB_LUT4 I0=$abc$51271$n1110 I1=$abc$51271$n1511_1 I2=$abc$51271$n1171 I3=$abc$51271$n1510 O=$abc$51271$n1512
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100110001110000
.gate SB_LUT4 I0=$abc$51271$n1116_1 I1=$abc$51271$n269 I2=$abc$51271$n1120 I3=KEYBOARD.report[0][7] O=$abc$51271$n1513
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100010111111111
.gate SB_LUT4 I0=$abc$51271$n1114 I1=KEYBOARD.report[2][7] I2=KEYBOARD.report[4][7] I3=$abc$51271$n1121 O=$abc$51271$n1514_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=$abc$51271$n1514_1 I1=$abc$51271$n1191 I2=$abc$51271$n1125 I3=$abc$51271$n1120 O=$abc$51271$n1515_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111000010001000
.gate SB_LUT4 I0=$abc$51271$n1111 I1=$abc$51271$n1489 I2=$abc$51271$n1120 I3=$abc$51271$n1515_1 O=$abc$51271$n1516
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0011011111110000
.gate SB_LUT4 I0=$abc$51271$n1516 I1=$abc$51271$n1513 I2=$abc$51271$n1126 I3=$false O=$3\report_data_wr[7:0][7]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01110000
.gate SB_CARRY CI=$abc$51271$n2463 CO=$auto$alumacc.cc:474:replace_alu$9510.C[2] I0=$false I1=$abc$51271$n2380
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:30|uart.v:39|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9510.C[2] CO=$auto$alumacc.cc:474:replace_alu$9510.C[3] I0=$false I1=$abc$51271$n2465
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:30|uart.v:39|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9510.C[3] CO=$abc$51271$n2189 I0=$false I1=$abc$51271$n2467
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:30|uart.v:39|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$abc$51271$n230 CO=$auto$alumacc.cc:474:replace_alu$9515.C[3] I0=$true I1=$abc$51271$n228
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9515.C[3] CO=$auto$alumacc.cc:474:replace_alu$9515.C[4] I0=$false I1=$abc$51271$n227
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9515.C[4] CO=$auto$alumacc.cc:474:replace_alu$9515.C[5] I0=$false I1=$abc$51271$n225
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9515.C[5] CO=$auto$alumacc.cc:474:replace_alu$9515.C[6] I0=$false I1=$abc$51271$n224
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9515.C[6] CO=$auto$alumacc.cc:474:replace_alu$9515.C[7] I0=$false I1=$abc$51271$n222
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9515.C[7] CO=$abc$51271$n2418 I0=$false I1=$abc$51271$n221
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$abc$51271$n2448 CO=$auto$alumacc.cc:474:replace_alu$9520.C[3] I0=$false I1=$abc$51271$n2449
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:243|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9520.C[3] CO=$auto$alumacc.cc:474:replace_alu$9520.C[4] I0=$false I1=$abc$51271$n2450
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:243|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9520.C[4] CO=$auto$alumacc.cc:474:replace_alu$9520.C[5] I0=$false I1=$abc$51271$n2451
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:243|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9520.C[5] CO=$auto$alumacc.cc:474:replace_alu$9520.C[6] I0=$false I1=$abc$51271$n2452
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:243|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9520.C[6] CO=$auto$alumacc.cc:474:replace_alu$9520.C[7] I0=$false I1=$abc$51271$n2453
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:243|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9520.C[7] CO=$abc$51271$n2419 I0=$false I1=$abc$51271$n2454
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:243|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$abc$51271$n2455 CO=$auto$alumacc.cc:474:replace_alu$9530.C[2] I0=$false I1=$abc$51271$n2392
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:104|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9530.C[2] CO=$auto$alumacc.cc:474:replace_alu$9530.C[3] I0=$false I1=$abc$51271$n2456
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:104|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9530.C[3] CO=$abc$51271$n1177 I0=$false I1=$abc$51271$n2457
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:104|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$abc$51271$n194 CO=$auto$alumacc.cc:474:replace_alu$9535.C[2] I0=$true I1=$abc$51271$n1915
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:87|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9535.C[2] CO=$auto$alumacc.cc:474:replace_alu$9535.C[3] I0=$false I1=$abc$51271$n191
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:87|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9535.C[3] CO=$auto$alumacc.cc:474:replace_alu$9535.C[4] I0=$true I1=$abc$51271$n2458
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:87|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9535.C[4] CO=$auto$alumacc.cc:474:replace_alu$9535.C[5] I0=$false I1=$abc$51271$n188
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:87|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9535.C[5] CO=$auto$alumacc.cc:474:replace_alu$9535.C[6] I0=$false I1=$abc$51271$n187
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:87|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9535.C[6] CO=$auto$alumacc.cc:474:replace_alu$9535.C[7] I0=$false I1=$abc$51271$n185
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:87|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9535.C[7] CO=$abc$51271$n2420 I0=$false I1=$abc$51271$n184
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:87|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=init_ram_cnt[1] CO=$auto$alumacc.cc:474:replace_alu$9546.C[3] I0=init_ram_cnt[2] I1=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9546.C[3] CO=$auto$alumacc.cc:474:replace_alu$9546.C[4] I0=init_ram_cnt[3] I1=$false
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9546.C[4] CO=$auto$alumacc.cc:474:replace_alu$9546.C[5] I0=init_ram_cnt[4] I1=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9546.C[5] CO=$auto$alumacc.cc:474:replace_alu$9546.C[6] I0=init_ram_cnt[5] I1=$false
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9546.C[6] CO=$auto$alumacc.cc:474:replace_alu$9546.C[7] I0=init_ram_cnt[6] I1=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9546.C[7] CO=$abc$51271$n22 I0=init_ram_cnt[7] I1=$false
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:85|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$abc$51271$n218 CO=$auto$alumacc.cc:474:replace_alu$9553.C[2] I0=$false I1=$abc$51271$n217
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:225|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9553.C[2] CO=$auto$alumacc.cc:474:replace_alu$9553.C[3] I0=$true I1=$abc$51271$n2461
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:225|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9553.C[3] CO=$abc$51271$n2423 I0=$false I1=$abc$51271$n214
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:225|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$abc$51271$n230 CO=$auto$alumacc.cc:474:replace_alu$9564.C[3] I0=$false I1=$abc$51271$n228
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9564.C[3] CO=$auto$alumacc.cc:474:replace_alu$9564.C[4] I0=$false I1=$abc$51271$n227
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9564.C[4] CO=$auto$alumacc.cc:474:replace_alu$9564.C[5] I0=$false I1=$abc$51271$n225
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9564.C[5] CO=$auto$alumacc.cc:474:replace_alu$9564.C[6] I0=$false I1=$abc$51271$n224
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9564.C[6] CO=$auto$alumacc.cc:474:replace_alu$9564.C[7] I0=$false I1=$abc$51271$n222
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9564.C[7] CO=$abc$51271$n2426 I0=$false I1=$abc$51271$n221
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:136|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$abc$51271$n2463 I3=$true O=$abc$51271$n2359
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:30|uart.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$abc$51271$n2463 CO=$auto$alumacc.cc:474:replace_alu$9577.C[2] I0=$false I1=$abc$51271$n2380
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:30|uart.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$abc$51271$n2465 I3=$auto$alumacc.cc:474:replace_alu$9577.C[2] O=$abc$51271$n2345
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:30|uart.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$true I2=ring_wr[0] I3=$false O=$abc$51271$n1862
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:100|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=ring_wr[0] CO=$auto$alumacc.cc:474:replace_alu$9580.C[2] I0=$false I1=ring_wr[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:100|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=ring_wr[2] I3=$auto$alumacc.cc:474:replace_alu$9580.C[2] O=$abc$51271$n1868
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:100|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9580.C[2] CO=$auto$alumacc.cc:474:replace_alu$9580.C[3] I0=$false I1=ring_wr[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:100|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=ring_wr[3] I3=$auto$alumacc.cc:474:replace_alu$9580.C[3] O=$abc$51271$n1871
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:100|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9580.C[3] CO=$auto$alumacc.cc:474:replace_alu$9580.C[4] I0=$false I1=ring_wr[3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:100|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$alumacc.cc:474:replace_alu$9580.C[4] O=$abc$51271$n1451
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:100|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$true I2=wr_cnt[0] I3=$false O=$abc$51271$n1854
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=wr_cnt[0] CO=$auto$alumacc.cc:474:replace_alu$9583.C[2] I0=$false I1=wr_cnt[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[2] I3=$auto$alumacc.cc:474:replace_alu$9583.C[2] O=$abc$51271$n1857
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9583.C[2] CO=$auto$alumacc.cc:474:replace_alu$9583.C[3] I0=$false I1=wr_cnt[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[3] I3=$auto$alumacc.cc:474:replace_alu$9583.C[3] O=$abc$51271$n1859
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:127|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$true I2=ring_rd[0] I3=$false O=$abc$51271$n1772
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:178|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=ring_rd[0] CO=$auto$alumacc.cc:474:replace_alu$9586.C[2] I0=$false I1=ring_rd[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:178|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=ring_rd[2] I3=$auto$alumacc.cc:474:replace_alu$9586.C[2] O=$abc$51271$n1778
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:178|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9586.C[2] CO=$auto$alumacc.cc:474:replace_alu$9586.C[3] I0=$false I1=ring_rd[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:178|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=ring_rd[3] I3=$auto$alumacc.cc:474:replace_alu$9586.C[3] O=$abc$51271$n1781
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:178|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$true I2=report_data_radr[0] I3=$false O=$add$top.v:182$126_Y[0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:182|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=report_data_radr[0] CO=$auto$alumacc.cc:474:replace_alu$9589.C[2] I0=$false I1=report_data_radr[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:182|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[2] I3=$auto$alumacc.cc:474:replace_alu$9589.C[2] O=$abc$51271$n1785
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:182|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9589.C[2] CO=$auto$alumacc.cc:474:replace_alu$9589.C[3] I0=$false I1=report_data_radr[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:182|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[3] I3=$auto$alumacc.cc:474:replace_alu$9589.C[3] O=$abc$51271$n1788
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:182|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9589.C[3] CO=$auto$alumacc.cc:474:replace_alu$9589.C[4] I0=$false I1=report_data_radr[3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:182|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[4] I3=$auto$alumacc.cc:474:replace_alu$9589.C[4] O=$abc$51271$n1791
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:182|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9589.C[4] CO=$auto$alumacc.cc:474:replace_alu$9589.C[5] I0=$false I1=report_data_radr[4]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:182|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[5] I3=$auto$alumacc.cc:474:replace_alu$9589.C[5] O=$abc$51271$n1794
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:182|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9589.C[5] CO=$auto$alumacc.cc:474:replace_alu$9589.C[6] I0=$false I1=report_data_radr[5]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:182|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[6] I3=$auto$alumacc.cc:474:replace_alu$9589.C[6] O=$abc$51271$n1797
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:182|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9589.C[6] CO=$auto$alumacc.cc:474:replace_alu$9589.C[7] I0=$false I1=report_data_radr[6]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:182|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=report_data_radr[7] I3=$auto$alumacc.cc:474:replace_alu$9589.C[7] O=$abc$51271$n1800
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:182|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$true I2=int_tmr[0] I3=$false O=$13\int_tmr[14:0][0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[10] I3=$auto$alumacc.cc:474:replace_alu$9592.C[10] O=$13\int_tmr[14:0][10]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9592.C[10] CO=$auto$alumacc.cc:474:replace_alu$9592.C[11] I0=$false I1=int_tmr[10]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[11] I3=$auto$alumacc.cc:474:replace_alu$9592.C[11] O=$13\int_tmr[14:0][11]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9592.C[11] CO=$auto$alumacc.cc:474:replace_alu$9592.C[12] I0=$false I1=int_tmr[11]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[12] I3=$auto$alumacc.cc:474:replace_alu$9592.C[12] O=$13\int_tmr[14:0][12]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9592.C[12] CO=$auto$alumacc.cc:474:replace_alu$9592.C[13] I0=$false I1=int_tmr[12]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[13] I3=$auto$alumacc.cc:474:replace_alu$9592.C[13] O=$13\int_tmr[14:0][13]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9592.C[13] CO=$auto$alumacc.cc:474:replace_alu$9592.C[14] I0=$false I1=int_tmr[13]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[14] I3=$auto$alumacc.cc:474:replace_alu$9592.C[14] O=$13\int_tmr[14:0][14]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=int_tmr[0] CO=$auto$alumacc.cc:474:replace_alu$9592.C[2] I0=$false I1=int_tmr[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[2] I3=$auto$alumacc.cc:474:replace_alu$9592.C[2] O=$13\int_tmr[14:0][2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9592.C[2] CO=$auto$alumacc.cc:474:replace_alu$9592.C[3] I0=$false I1=int_tmr[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[3] I3=$auto$alumacc.cc:474:replace_alu$9592.C[3] O=$13\int_tmr[14:0][3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9592.C[3] CO=$auto$alumacc.cc:474:replace_alu$9592.C[4] I0=$false I1=int_tmr[3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[4] I3=$auto$alumacc.cc:474:replace_alu$9592.C[4] O=$13\int_tmr[14:0][4]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9592.C[4] CO=$auto$alumacc.cc:474:replace_alu$9592.C[5] I0=$false I1=int_tmr[4]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[5] I3=$auto$alumacc.cc:474:replace_alu$9592.C[5] O=$13\int_tmr[14:0][5]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9592.C[5] CO=$auto$alumacc.cc:474:replace_alu$9592.C[6] I0=$false I1=int_tmr[5]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[6] I3=$auto$alumacc.cc:474:replace_alu$9592.C[6] O=$13\int_tmr[14:0][6]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9592.C[6] CO=$auto$alumacc.cc:474:replace_alu$9592.C[7] I0=$false I1=int_tmr[6]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[7] I3=$auto$alumacc.cc:474:replace_alu$9592.C[7] O=$13\int_tmr[14:0][7]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9592.C[7] CO=$auto$alumacc.cc:474:replace_alu$9592.C[8] I0=$false I1=int_tmr[7]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[8] I3=$auto$alumacc.cc:474:replace_alu$9592.C[8] O=$13\int_tmr[14:0][8]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9592.C[8] CO=$auto$alumacc.cc:474:replace_alu$9592.C[9] I0=$false I1=int_tmr[8]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=int_tmr[9] I3=$auto$alumacc.cc:474:replace_alu$9592.C[9] O=$13\int_tmr[14:0][9]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9592.C[9] CO=$auto$alumacc.cc:474:replace_alu$9592.C[10] I0=$false I1=int_tmr[9]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:273|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$abc$51271$n35 I2=rststate[0] I3=$false O=$abc$51271$n1937
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$false CO=$auto$alumacc.cc:474:replace_alu$9595.C[1] I0=$abc$51271$n35 I1=rststate[0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=rststate[1] I3=$auto$alumacc.cc:474:replace_alu$9595.C[1] O=$abc$51271$n1939
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9595.C[1] CO=$auto$alumacc.cc:474:replace_alu$9595.C[2] I0=$false I1=rststate[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=rststate[2] I3=$auto$alumacc.cc:474:replace_alu$9595.C[2] O=$abc$51271$n1941
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9595.C[2] CO=$auto$alumacc.cc:474:replace_alu$9595.C[3] I0=$false I1=rststate[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=rststate[3] I3=$auto$alumacc.cc:474:replace_alu$9595.C[3] O=$abc$51271$n1943
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:70|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$true I2=init_ram_cnt[0] I3=$false O=$2\init_ram_cnt[7:0][0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=init_ram_cnt[0] CO=$auto$alumacc.cc:474:replace_alu$9598.C[2] I0=$false I1=init_ram_cnt[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[2] I3=$auto$alumacc.cc:474:replace_alu$9598.C[2] O=$2\init_ram_cnt[7:0][2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9598.C[2] CO=$auto$alumacc.cc:474:replace_alu$9598.C[3] I0=$false I1=init_ram_cnt[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[3] I3=$auto$alumacc.cc:474:replace_alu$9598.C[3] O=$2\init_ram_cnt[7:0][3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9598.C[3] CO=$auto$alumacc.cc:474:replace_alu$9598.C[4] I0=$false I1=init_ram_cnt[3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[4] I3=$auto$alumacc.cc:474:replace_alu$9598.C[4] O=$2\init_ram_cnt[7:0][4]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9598.C[4] CO=$auto$alumacc.cc:474:replace_alu$9598.C[5] I0=$false I1=init_ram_cnt[4]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[5] I3=$auto$alumacc.cc:474:replace_alu$9598.C[5] O=$2\init_ram_cnt[7:0][5]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9598.C[5] CO=$auto$alumacc.cc:474:replace_alu$9598.C[6] I0=$false I1=init_ram_cnt[5]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[6] I3=$auto$alumacc.cc:474:replace_alu$9598.C[6] O=$2\init_ram_cnt[7:0][6]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9598.C[6] CO=$auto$alumacc.cc:474:replace_alu$9598.C[7] I0=$false I1=init_ram_cnt[6]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=init_ram_cnt[7] I3=$auto$alumacc.cc:474:replace_alu$9598.C[7] O=$2\init_ram_cnt[7:0][7]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:92|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=wr_cnt[0] I2=$true I3=$true O=$abc$51271$n269
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:124|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=wr_cnt[0] I2=$false I3=$true O=$abc$51271$n253
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:126|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=wr_cnt[0] CO=$auto$alumacc.cc:474:replace_alu$9604.C[2] I0=wr_cnt[1] I1=$false
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:126|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=wr_cnt[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9604.C[2] O=$abc$51271$n260
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:126|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=I2C.byte_counter[0] I2=$false I3=$true O=$2\I2C_INPUT_LEN[7:0][0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:132|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=I2C.byte_counter[0] CO=$auto$alumacc.cc:474:replace_alu$9607.C[2] I0=I2C.byte_counter[1] I1=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:132|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.byte_counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9607.C[2] O=$2\I2C_INPUT_LEN[7:0][2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:132|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9607.C[2] CO=$auto$alumacc.cc:474:replace_alu$9607.C[3] I0=I2C.byte_counter[2] I1=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:132|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.byte_counter[3] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9607.C[3] O=$2\I2C_INPUT_LEN[7:0][3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:132|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9607.C[3] CO=$auto$alumacc.cc:474:replace_alu$9607.C[4] I0=I2C.byte_counter[3] I1=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:132|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.byte_counter[4] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9607.C[4] O=$2\I2C_INPUT_LEN[7:0][4]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:132|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9607.C[4] CO=$auto$alumacc.cc:474:replace_alu$9607.C[5] I0=I2C.byte_counter[4] I1=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:132|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.byte_counter[5] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9607.C[5] O=$2\I2C_INPUT_LEN[7:0][5]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:132|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9607.C[5] CO=$auto$alumacc.cc:474:replace_alu$9607.C[6] I0=I2C.byte_counter[5] I1=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:132|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.byte_counter[6] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9607.C[6] O=$2\I2C_INPUT_LEN[7:0][6]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:132|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9607.C[6] CO=$auto$alumacc.cc:474:replace_alu$9607.C[7] I0=I2C.byte_counter[6] I1=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:132|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.byte_counter[7] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9607.C[7] O=$2\I2C_INPUT_LEN[7:0][7]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:132|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=init_ram_cnt[0] I2=$true I3=$true O=$abc$51271$n1913
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:90|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=init_ram_cnt[1] CO=$auto$alumacc.cc:474:replace_alu$9610.C[3] I0=init_ram_cnt[2] I1=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:90|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=init_ram_cnt[3] I2=$false I3=$auto$alumacc.cc:474:replace_alu$9610.C[3] O=$abc$51271$n1919
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:90|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9610.C[3] CO=$auto$alumacc.cc:474:replace_alu$9610.C[4] I0=init_ram_cnt[3] I1=$false
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:90|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=init_ram_cnt[4] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9610.C[4] O=$abc$51271$n1921
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:90|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9610.C[4] CO=$auto$alumacc.cc:474:replace_alu$9610.C[5] I0=init_ram_cnt[4] I1=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:90|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=init_ram_cnt[5] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9610.C[5] O=$abc$51271$n1923
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:90|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9610.C[5] CO=$auto$alumacc.cc:474:replace_alu$9610.C[6] I0=init_ram_cnt[5] I1=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:90|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=init_ram_cnt[6] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9610.C[6] O=$abc$51271$n1925
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:90|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9610.C[6] CO=$auto$alumacc.cc:474:replace_alu$9610.C[7] I0=init_ram_cnt[6] I1=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:90|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=init_ram_cnt[7] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9610.C[7] O=$abc$51271$n1927
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:90|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$abc$51271$n2390 CO=$auto$alumacc.cc:474:replace_alu$9613.C[3] I0=$false I1=$abc$51271$n2472
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:128|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9613.C[3] CO=$auto$alumacc.cc:474:replace_alu$9613.C[4] I0=$false I1=$abc$51271$n2468
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:128|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9613.C[4] CO=$auto$alumacc.cc:474:replace_alu$9613.C[5] I0=$false I1=$abc$51271$n2469
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:128|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9613.C[5] CO=$auto$alumacc.cc:474:replace_alu$9613.C[6] I0=$false I1=$abc$51271$n2473
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:128|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9613.C[6] CO=$auto$alumacc.cc:474:replace_alu$9613.C[7] I0=$false I1=$abc$51271$n2470
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:128|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9613.C[7] CO=$abc$51271$n2428 I0=$false I1=$abc$51271$n2471
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:128|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$true I2=$abc$51271$n1490 I3=$false O=$abc$51271$n2170
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:121|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$abc$51271$n1490 CO=$auto$alumacc.cc:474:replace_alu$9618.C[2] I0=$false I1=$abc$51271$n1491
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:121|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$abc$51271$n1493 I3=$auto$alumacc.cc:474:replace_alu$9618.C[2] O=$abc$51271$n2172
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:121|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9618.C[2] CO=$auto$alumacc.cc:474:replace_alu$9618.C[3] I0=$false I1=$abc$51271$n1493
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:121|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$abc$51271$n1494 I3=$auto$alumacc.cc:474:replace_alu$9618.C[3] O=$abc$51271$n2173
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:121|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9618.C[3] CO=$auto$alumacc.cc:474:replace_alu$9618.C[4] I0=$false I1=$abc$51271$n1494
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:121|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$abc$51271$n1496 I3=$auto$alumacc.cc:474:replace_alu$9618.C[4] O=$abc$51271$n2174
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:121|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9618.C[4] CO=$auto$alumacc.cc:474:replace_alu$9618.C[5] I0=$false I1=$abc$51271$n1496
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:121|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$abc$51271$n1497 I3=$auto$alumacc.cc:474:replace_alu$9618.C[5] O=$abc$51271$n2175
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:121|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9618.C[5] CO=$auto$alumacc.cc:474:replace_alu$9618.C[6] I0=$false I1=$abc$51271$n1497
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:121|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$abc$51271$n1499 I3=$auto$alumacc.cc:474:replace_alu$9618.C[6] O=$abc$51271$n2176
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:121|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9618.C[6] CO=$auto$alumacc.cc:474:replace_alu$9618.C[7] I0=$false I1=$abc$51271$n1499
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:121|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$abc$51271$n1500 I3=$auto$alumacc.cc:474:replace_alu$9618.C[7] O=$abc$51271$n2177
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:121|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$abc$51271$n2005 I2=$false I3=$true O=$abc$51271$n305
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:106|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$abc$51271$n2005 CO=$auto$alumacc.cc:474:replace_alu$9621.C[2] I0=$abc$51271$n2007 I1=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:106|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$abc$51271$n2009 I2=$true I3=$auto$alumacc.cc:474:replace_alu$9621.C[2] O=$abc$51271$n307
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:106|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9621.C[2] CO=$auto$alumacc.cc:474:replace_alu$9621.C[3] I0=$abc$51271$n2009 I1=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:106|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$abc$51271$n2011 I2=$true I3=$auto$alumacc.cc:474:replace_alu$9621.C[3] O=$abc$51271$n308
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:106|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9621.C[3] CO=$abc$51271$n2391 I0=$abc$51271$n2011 I1=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:106|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$true I3=$abc$51271$n2391 O=$abc$51271$n319
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:106|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[0] I2=$false I3=$true O=$abc$51271$n1630
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:45|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=I2C.FLT_SCL.counter[0] CO=$auto$alumacc.cc:474:replace_alu$9624.C[2] I0=I2C.FLT_SCL.counter[1] I1=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:45|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9624.C[2] O=$abc$51271$n1633
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:45|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[0] I2=$false I3=$true O=$abc$51271$n1626
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:46|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=I2C.FLT_SDA.counter[0] CO=$auto$alumacc.cc:474:replace_alu$9627.C[2] I0=I2C.FLT_SDA.counter[1] I1=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:46|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9627.C[2] O=$abc$51271$n1629
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:46|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$false I2=I2C.byte_counter[0] I3=$false O=$abc$51271$n2321
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:41|descriptors.v:36|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=I2C.byte_counter[5] CO=$auto$alumacc.cc:474:replace_alu$9630.C[7] I0=$false I1=I2C.byte_counter[6]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:41|descriptors.v:36|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=I2C.byte_counter[7] I3=$auto$alumacc.cc:474:replace_alu$9630.C[7] O=$abc$51271$n2327
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:41|descriptors.v:36|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$abc$51271$n396 I2=$false I3=$false O=$abc$51271$n2262
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:171|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.init_delay_cnt[0] I3=$false O=$auto$wreduce.cc:347:run$9493[0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:60|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=KEYBOARD.init_delay_cnt[0] CO=$auto$alumacc.cc:474:replace_alu$9636.C[2] I0=$false I1=KEYBOARD.init_delay_cnt[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:60|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_delay_cnt[2] I3=$auto$alumacc.cc:474:replace_alu$9636.C[2] O=$auto$wreduce.cc:347:run$9493[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:60|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9636.C[2] CO=$auto$alumacc.cc:474:replace_alu$9636.C[3] I0=$false I1=KEYBOARD.init_delay_cnt[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:60|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_delay_cnt[3] I3=$auto$alumacc.cc:474:replace_alu$9636.C[3] O=$auto$wreduce.cc:347:run$9493[3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:60|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.init_ram_cnt[0] I3=$false O=$auto$wreduce.cc:347:run$9494[0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=KEYBOARD.init_ram_cnt[0] CO=$auto$alumacc.cc:474:replace_alu$9639.C[2] I0=$false I1=KEYBOARD.init_ram_cnt[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[2] I3=$auto$alumacc.cc:474:replace_alu$9639.C[2] O=$auto$wreduce.cc:347:run$9494[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9639.C[2] CO=$auto$alumacc.cc:474:replace_alu$9639.C[3] I0=$false I1=KEYBOARD.init_ram_cnt[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[3] I3=$auto$alumacc.cc:474:replace_alu$9639.C[3] O=$auto$wreduce.cc:347:run$9494[3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9639.C[3] CO=$auto$alumacc.cc:474:replace_alu$9639.C[4] I0=$false I1=KEYBOARD.init_ram_cnt[3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[4] I3=$auto$alumacc.cc:474:replace_alu$9639.C[4] O=$auto$wreduce.cc:347:run$9494[4]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9639.C[4] CO=$auto$alumacc.cc:474:replace_alu$9639.C[5] I0=$false I1=KEYBOARD.init_ram_cnt[4]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[5] I3=$auto$alumacc.cc:474:replace_alu$9639.C[5] O=$auto$wreduce.cc:347:run$9494[5]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9639.C[5] CO=$auto$alumacc.cc:474:replace_alu$9639.C[6] I0=$false I1=KEYBOARD.init_ram_cnt[5]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[6] I3=$auto$alumacc.cc:474:replace_alu$9639.C[6] O=$auto$wreduce.cc:347:run$9494[6]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9639.C[6] CO=$auto$alumacc.cc:474:replace_alu$9639.C[7] I0=$false I1=KEYBOARD.init_ram_cnt[6]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[7] I3=$auto$alumacc.cc:474:replace_alu$9639.C[7] O=$auto$wreduce.cc:347:run$9494[7]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9639.C[7] CO=$auto$alumacc.cc:474:replace_alu$9639.C[8] I0=$false I1=KEYBOARD.init_ram_cnt[7]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.init_ram_cnt[8] I3=$auto$alumacc.cc:474:replace_alu$9639.C[8] O=$auto$wreduce.cc:347:run$9494[8]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:65|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_time[0] I3=$false O=$abc$51271$n1606
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[10] I3=$auto$alumacc.cc:474:replace_alu$9642.C[10] O=$abc$51271$n1617
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9642.C[10] CO=$auto$alumacc.cc:474:replace_alu$9642.C[11] I0=$false I1=KEYBOARD.row_time[10]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[11] I3=$auto$alumacc.cc:474:replace_alu$9642.C[11] O=$abc$51271$n1618
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9642.C[11] CO=$auto$alumacc.cc:474:replace_alu$9642.C[12] I0=$false I1=KEYBOARD.row_time[11]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[12] I3=$auto$alumacc.cc:474:replace_alu$9642.C[12] O=$abc$51271$n1623
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=KEYBOARD.row_time[0] CO=$auto$alumacc.cc:474:replace_alu$9642.C[2] I0=$false I1=KEYBOARD.row_time[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[2] I3=$auto$alumacc.cc:474:replace_alu$9642.C[2] O=$abc$51271$n1609
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9642.C[2] CO=$auto$alumacc.cc:474:replace_alu$9642.C[3] I0=$false I1=KEYBOARD.row_time[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[3] I3=$auto$alumacc.cc:474:replace_alu$9642.C[3] O=$abc$51271$n1610
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9642.C[3] CO=$auto$alumacc.cc:474:replace_alu$9642.C[4] I0=$false I1=KEYBOARD.row_time[3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[4] I3=$auto$alumacc.cc:474:replace_alu$9642.C[4] O=$abc$51271$n1604
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9642.C[4] CO=$auto$alumacc.cc:474:replace_alu$9642.C[5] I0=$false I1=KEYBOARD.row_time[4]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[5] I3=$auto$alumacc.cc:474:replace_alu$9642.C[5] O=$abc$51271$n396
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9642.C[5] CO=$auto$alumacc.cc:474:replace_alu$9642.C[6] I0=$false I1=KEYBOARD.row_time[5]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[6] I3=$auto$alumacc.cc:474:replace_alu$9642.C[6] O=$abc$51271$n394
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9642.C[6] CO=$auto$alumacc.cc:474:replace_alu$9642.C[7] I0=$false I1=KEYBOARD.row_time[6]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[7] I3=$auto$alumacc.cc:474:replace_alu$9642.C[7] O=$abc$51271$n383
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9642.C[7] CO=$auto$alumacc.cc:474:replace_alu$9642.C[8] I0=$false I1=KEYBOARD.row_time[7]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[8] I3=$auto$alumacc.cc:474:replace_alu$9642.C[8] O=$abc$51271$n1614
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9642.C[8] CO=$auto$alumacc.cc:474:replace_alu$9642.C[9] I0=$false I1=KEYBOARD.row_time[8]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[9] I3=$auto$alumacc.cc:474:replace_alu$9642.C[9] O=$abc$51271$n1615
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9642.C[9] CO=$auto$alumacc.cc:474:replace_alu$9642.C[10] I0=$false I1=KEYBOARD.row_time[9]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:72|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_counter[0] I3=$false O=$abc$51271$n2190
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=KEYBOARD.row_counter[0] CO=$auto$alumacc.cc:474:replace_alu$9645.C[2] I0=$false I1=KEYBOARD.row_counter[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[2] I3=$auto$alumacc.cc:474:replace_alu$9645.C[2] O=$abc$51271$n2196
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9645.C[2] CO=$auto$alumacc.cc:474:replace_alu$9645.C[3] I0=$false I1=KEYBOARD.row_counter[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[3] I3=$auto$alumacc.cc:474:replace_alu$9645.C[3] O=$abc$51271$n30
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:76|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[0] I2=$false I3=$true O=$abc$51271$n1511
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:30|uart.v:32|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=UART.tx_clk_counter[0] CO=$auto$alumacc.cc:474:replace_alu$9648.C[2] I0=UART.tx_clk_counter[1] I1=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:30|uart.v:32|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9648.C[2] O=$abc$51271$n1514
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:30|uart.v:32|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9648.C[2] CO=$auto$alumacc.cc:474:replace_alu$9648.C[3] I0=UART.tx_clk_counter[2] I1=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:30|uart.v:32|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[3] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9648.C[3] O=$abc$51271$n1515
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:30|uart.v:32|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[0] I2=$false I3=$true O=$abc$51271$n2381
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:30|uart.v:38|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=UART.tx_bit_counter[0] CO=$auto$alumacc.cc:474:replace_alu$9651.C[2] I0=UART.tx_bit_counter[1] I1=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:30|uart.v:38|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9651.C[2] O=$abc$51271$n2464
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:30|uart.v:38|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$9651.C[2] CO=$auto$alumacc.cc:474:replace_alu$9651.C[3] I0=UART.tx_bit_counter[2] I1=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:30|uart.v:38|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[3] I2=$true I3=$auto$alumacc.cc:474:replace_alu$9651.C[3] O=$abc$51271$n2466
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:30|uart.v:38|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$false CO=$auto$maccmap.cc:240:synth$10128.C[5] I0=$abc$51271$n2408 I1=$abc$51271$n2410
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$10\ring_rd[3:0][2] I2=$abc$51271$n2529 I3=$auto$maccmap.cc:240:synth$10128.C[5] O=$abc$51271$n1793
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$10128.C[5] CO=$auto$maccmap.cc:240:synth$10128.C[6] I0=$10\ring_rd[3:0][2] I1=$abc$51271$n2529
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$10\ring_rd[3:0][3] I2=$false I3=$auto$maccmap.cc:240:synth$10128.C[6] O=$abc$51271$n1796
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$10128.C[6] CO=$auto$maccmap.cc:240:synth$10128.C[7] I0=$10\ring_rd[3:0][3] I1=$false
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$maccmap.cc:240:synth$10128.C[7] O=$abc$51271$n1799
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=wr_cnt[0] I2=$false I3=$false O=$6\report_data_wadr[7:0][0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$false CO=$auto$maccmap.cc:240:synth$10497.C[5] I0=$abc$51271$n2411 I1=$abc$51271$n2413
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$abc$51271$n2516 I2=$abc$51271$n2518 I3=$auto$maccmap.cc:240:synth$10497.C[5] O=$6\report_data_wadr[7:0][5]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$10497.C[5] CO=$auto$maccmap.cc:240:synth$10497.C[6] I0=$abc$51271$n2516 I1=$abc$51271$n2518
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=ring_wr[3] I2=$abc$51271$n2519 I3=$auto$maccmap.cc:240:synth$10497.C[6] O=$6\report_data_wadr[7:0][6]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$10497.C[6] CO=$auto$maccmap.cc:240:synth$10497.C[7] I0=ring_wr[3] I1=$abc$51271$n2519
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$maccmap.cc:240:synth$10497.C[7] O=$6\report_data_wadr[7:0][7]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$false CO=$auto$maccmap.cc:240:synth$10516.C[5] I0=$abc$51271$n2414 I1=$abc$51271$n2416
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$2\ring_wr[3:0][2] I2=$abc$51271$n2509 I3=$auto$maccmap.cc:240:synth$10516.C[5] O=$abc$51271$n1903
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$10516.C[5] CO=$auto$maccmap.cc:240:synth$10516.C[6] I0=$2\ring_wr[3:0][2] I1=$abc$51271$n2509
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$2\ring_wr[3:0][3] I2=$false I3=$auto$maccmap.cc:240:synth$10516.C[6] O=$abc$51271$n1906
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$maccmap.cc:240:synth$10516.C[6] CO=$auto$maccmap.cc:240:synth$10516.C[7] I0=$2\ring_wr[3:0][3] I1=$false
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$maccmap.cc:240:synth$10516.C[7] O=$abc$51271$n1909
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_DFF C=CLK D=$0\rststate[3:0][0] Q=rststate[0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$0\rststate[3:0][1] Q=rststate[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$0\rststate[3:0][2] Q=rststate[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$0\rststate[3:0][3] Q=rststate[3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFFESR C=CLK D=$2\UART_WR[0:0] E=$abc$51271$n751 Q=UART_WR R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][0] E=$abc$51271$n756 Q=UART_TX_DATA[0] S=$abc$51271$n19
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][1] E=$abc$51271$n756 Q=UART_TX_DATA[1] S=$abc$51271$n19
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][2] E=$abc$51271$n756 Q=UART_TX_DATA[2] S=$abc$51271$n19
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][3] E=$abc$51271$n756 Q=UART_TX_DATA[3] S=$abc$51271$n19
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][4] E=$abc$51271$n756 Q=UART_TX_DATA[4] S=$abc$51271$n19
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][5] E=$abc$51271$n756 Q=UART_TX_DATA[5] S=$abc$51271$n19
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][6] E=$abc$51271$n756 Q=UART_TX_DATA[6] S=$abc$51271$n19
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$9\UART_TX_DATA[7:0][7] E=$abc$51271$n756 Q=UART_TX_DATA[7] S=$abc$51271$n19
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$51271$n1 E=$abc$51271$n819 Q=LED1
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][0] E=$abc$51271$n871 Q=int_tmr[0] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][1] E=$abc$51271$n861 Q=int_tmr[1] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][2] E=$abc$51271$n871 Q=int_tmr[2] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][3] E=$abc$51271$n871 Q=int_tmr[3] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][4] E=$abc$51271$n871 Q=int_tmr[4] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][5] E=$abc$51271$n871 Q=int_tmr[5] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][6] E=$abc$51271$n871 Q=int_tmr[6] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][7] E=$abc$51271$n871 Q=int_tmr[7] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][8] E=$abc$51271$n871 Q=int_tmr[8] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][9] E=$abc$51271$n871 Q=int_tmr[9] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][10] E=$abc$51271$n871 Q=int_tmr[10] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][11] E=$abc$51271$n871 Q=int_tmr[11] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][12] E=$abc$51271$n871 Q=int_tmr[12] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][13] E=$abc$51271$n871 Q=int_tmr[13] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$10\int_tmr[14:0][14] E=$abc$51271$n871 Q=int_tmr[14] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$true E=$abc$51271$n922 Q=$abc$51271$n10
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][0] E=$abc$51271$n778 Q=init_ram_cnt[0] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][1] E=$abc$51271$n778 Q=init_ram_cnt[1] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][2] E=$abc$51271$n778 Q=init_ram_cnt[2] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][3] E=$abc$51271$n778 Q=init_ram_cnt[3] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][4] E=$abc$51271$n778 Q=init_ram_cnt[4] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][5] E=$abc$51271$n778 Q=init_ram_cnt[5] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][6] E=$abc$51271$n778 Q=init_ram_cnt[6] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\init_ram_cnt[7:0][7] E=$abc$51271$n778 Q=init_ram_cnt[7] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][0] E=$abc$51271$n926 Q=ring_wr[0] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][1] E=$abc$51271$n926 Q=ring_wr[1] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][2] E=$abc$51271$n926 Q=ring_wr[2] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][3] E=$abc$51271$n926 Q=ring_wr[3] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$10\ring_rd[3:0][0] E=$abc$51271$n940 Q=ring_rd[0] S=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$10\ring_rd[3:0][1] E=$abc$51271$n940 Q=ring_rd[1] S=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$10\ring_rd[3:0][2] E=$abc$51271$n940 Q=ring_rd[2] S=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$10\ring_rd[3:0][3] E=$abc$51271$n940 Q=ring_rd[3] S=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][0] E=$abc$51271$n943 Q=wr_cnt[0] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][1] E=$abc$51271$n943 Q=wr_cnt[1] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][2] E=$abc$51271$n943 Q=wr_cnt[2] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][3] E=$abc$51271$n943 Q=wr_cnt[3] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$3\report_wr_en[0:0] E=$abc$51271$n951 Q=report_wr_en S=$abc$51271$n23
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][0] E=$abc$51271$n955 Q=report_data_wadr[0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][1] E=$abc$51271$n955 Q=report_data_wadr[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][2] E=$abc$51271$n955 Q=report_data_wadr[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][3] E=$abc$51271$n955 Q=report_data_wadr[3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][4] E=$abc$51271$n955 Q=report_data_wadr[4]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][5] E=$abc$51271$n955 Q=report_data_wadr[5]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][6] E=$abc$51271$n955 Q=report_data_wadr[6]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\report_data_wadr[7:0][7] E=$abc$51271$n955 Q=report_data_wadr[7]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$add$top.v:182$126_Y[0] E=$abc$51271$n977 Q=report_data_radr[0] R=$abc$51271$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][1] E=$abc$51271$n971 Q=report_data_radr[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][2] E=$abc$51271$n977 Q=report_data_radr[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][3] E=$abc$51271$n977 Q=report_data_radr[3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][4] E=$abc$51271$n977 Q=report_data_radr[4]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][5] E=$abc$51271$n977 Q=report_data_radr[5]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][6] E=$abc$51271$n977 Q=report_data_radr[6]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\report_data_radr[7:0][7] E=$abc$51271$n977 Q=report_data_radr[7]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][0] E=$abc$51271$n955 Q=report_data_wr[0] R=$abc$51271$n23
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][1] E=$abc$51271$n955 Q=report_data_wr[1] R=$abc$51271$n23
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][2] E=$abc$51271$n955 Q=report_data_wr[2] R=$abc$51271$n23
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][3] E=$abc$51271$n955 Q=report_data_wr[3] R=$abc$51271$n23
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][4] E=$abc$51271$n955 Q=report_data_wr[4] R=$abc$51271$n23
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][5] E=$abc$51271$n955 Q=report_data_wr[5] R=$abc$51271$n23
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][6] E=$abc$51271$n955 Q=report_data_wr[6] R=$abc$51271$n23
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$3\report_data_wr[7:0][7] E=$abc$51271$n955 Q=report_data_wr[7] R=$abc$51271$n23
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$abc$51271$n1010 Q=temp_output_report[0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$abc$51271$n1010 Q=temp_output_report[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$abc$51271$n1010 Q=temp_output_report[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][0] E=$abc$51271$n1086 Q=i2c_input_data_type[0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][1] E=$abc$51271$n1086 Q=i2c_input_data_type[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][2] E=$abc$51271$n1086 Q=i2c_input_data_type[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\i2c_input_data_type[3:0][3] E=$abc$51271$n1086 Q=i2c_input_data_type[3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][0] E=$abc$51271$n1116 Q=I2C_INPUT_LEN[0] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][1] E=$abc$51271$n1116 Q=I2C_INPUT_LEN[1] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][2] E=$abc$51271$n1116 Q=I2C_INPUT_LEN[2] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][3] E=$abc$51271$n1116 Q=I2C_INPUT_LEN[3] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][4] E=$abc$51271$n1116 Q=I2C_INPUT_LEN[4] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][5] E=$abc$51271$n1116 Q=I2C_INPUT_LEN[5] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][6] E=$abc$51271$n1116 Q=I2C_INPUT_LEN[6] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][7] E=$abc$51271$n1116 Q=I2C_INPUT_LEN[7] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][0] E=$abc$51271$n1119 Q=I2C_OUTPUT_TYPE[0] S=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][1] E=$abc$51271$n1119 Q=I2C_OUTPUT_TYPE[1] S=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][2] E=$abc$51271$n1119 Q=I2C_OUTPUT_TYPE[2] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51271$n1119 Q=I2C_OUT_DESC_MASK[0] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51271$n1119 Q=I2C_OUT_DESC_MASK[1] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51271$n1119 Q=I2C_OUT_DESC_MASK[2] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51271$n1119 Q=I2C_OUT_DESC_MASK[3] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51271$n1119 Q=I2C_OUT_DESC_MASK[4] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51271$n1119 Q=I2C_OUT_DESC_MASK[5] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51271$n1119 Q=I2C_OUT_DESC_MASK[6] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$51271$n1119 Q=I2C_OUT_DESC_MASK[7] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=temp_output_report[0] E=$abc$51271$n1136 Q=LED2 S=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=temp_output_report[1] E=$abc$51271$n1136 Q=LED3 R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=temp_output_report[2] E=$abc$51271$n1136 Q=LED4 S=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.wr E=$abc$51271$n1146 Q=last_wr
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=I2C_TRANS E=$abc$51271$n1149 Q=last_trans R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=UART.tx_activity E=$abc$51271$n1156 Q=last_uart_active R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.isr E=$abc$51271$n1160 Q=last_isr R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\uart_double_ff[0:0] E=$abc$51271$n1165 Q=uart_double_ff R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:67|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFN C=CLK D=SCL Q=I2C.SCLF
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:1"
.gate SB_DFFN C=CLK D=I2C.SDA_IN Q=I2C.SDAF
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:1"
.gate SB_DFF C=CLK D=$abc$51271$n2051 Q=I2C.wr
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$abc$51271$n2049 Q=I2C.is_ack
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$abc$51271$n2033 Q=I2C.byte_counter[0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$abc$51271$n2035 Q=I2C.byte_counter[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$abc$51271$n2037 Q=I2C.byte_counter[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$abc$51271$n2039 Q=I2C.byte_counter[3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$abc$51271$n2041 Q=I2C.byte_counter[4]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$abc$51271$n2043 Q=I2C.byte_counter[5]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$abc$51271$n2045 Q=I2C.byte_counter[6]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$abc$51271$n2047 Q=I2C.byte_counter[7]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51271$n1174 Q=I2C.received_byte[0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51271$n1188 Q=I2C.received_byte[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51271$n1193 Q=I2C.received_byte[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51271$n1198 Q=I2C.received_byte[3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51271$n1203 Q=I2C.received_byte[4]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51271$n1208 Q=I2C.received_byte[5]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51271$n1214 Q=I2C.received_byte[6]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$51271$n1222 Q=I2C.received_byte[7]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFF C=CLK D=$abc$51271$n2025 Q=I2C.i2c_bit_counter[0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$abc$51271$n2027 Q=I2C.i2c_bit_counter[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$abc$51271$n2029 Q=I2C.i2c_bit_counter[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$abc$51271$n2031 Q=I2C.i2c_bit_counter[3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$abc$51271$n2023 Q=I2C.is_read
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFFE C=CLK D=$abc$51271$n2003 E=$abc$51271$n1225 Q=I2C.i2c_start_latency
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFF C=CLK D=$abc$51271$n5 Q=$abc$51271$n14
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$abc$51271$n7 Q=$abc$51271$n16
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$abc$51271$n2019 Q=I2C.SDA_DIR
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFFE C=CLK D=$abc$51271$n2186 E=I2C.FLT_SCL.RESET Q=UART.tx_activity
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:30|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$51271$n3 E=$abc$51271$n1230 Q=$abc$51271$n12
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:30|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2179 E=$abc$51271$n1235 Q=UART.tx_clk_counter[0] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:30|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2181 E=$abc$51271$n1235 Q=UART.tx_clk_counter[1] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:30|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2183 E=$abc$51271$n1235 Q=UART.tx_clk_counter[2] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:30|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2185 E=$abc$51271$n1235 Q=UART.tx_clk_counter[3] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:30|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$51271$n2381 E=$abc$51271$n1230 Q=UART.tx_bit_counter[0] S=$abc$51271$n25
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:30|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2379 E=$abc$51271$n1230 Q=UART.tx_bit_counter[1] R=$abc$51271$n25
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:30|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2464 E=$abc$51271$n1230 Q=UART.tx_bit_counter[2] R=$abc$51271$n25
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:30|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$51271$n2466 E=$abc$51271$n1230 Q=UART.tx_bit_counter[3] S=$abc$51271$n25
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:30|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=UART_WR E=I2C.FLT_SCL.RESET Q=UART.TX_sig_last
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:30|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2308 E=$abc$51271$n1274 Q=KEYBOARD.report[5][0] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2309 E=$abc$51271$n1274 Q=KEYBOARD.report[5][1] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2310 E=$abc$51271$n1274 Q=KEYBOARD.report[5][2] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2311 E=$abc$51271$n1274 Q=KEYBOARD.report[5][3] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2312 E=$abc$51271$n1274 Q=KEYBOARD.report[5][4] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2313 E=$abc$51271$n1274 Q=KEYBOARD.report[5][5] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2314 E=$abc$51271$n1274 Q=KEYBOARD.report[5][6] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2315 E=$abc$51271$n1274 Q=KEYBOARD.report[5][7] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[0] E=$abc$51271$n1320 Q=kbd_report[6][0] R=$abc$51271$n27
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[1] E=$abc$51271$n1320 Q=kbd_report[6][1] R=$abc$51271$n27
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[2] E=$abc$51271$n1320 Q=kbd_report[6][2] R=$abc$51271$n27
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[3] E=$abc$51271$n1320 Q=kbd_report[6][3] R=$abc$51271$n27
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[4] E=$abc$51271$n1320 Q=kbd_report[6][4] R=$abc$51271$n27
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[5] E=$abc$51271$n1320 Q=kbd_report[6][5] R=$abc$51271$n27
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[6] E=$abc$51271$n1320 Q=kbd_report[6][6] R=$abc$51271$n27
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.kbd_code_hid[7] E=$abc$51271$n1320 Q=kbd_report[6][7] R=$abc$51271$n27
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2308 E=$abc$51271$n1336 Q=KEYBOARD.report[4][0] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2309 E=$abc$51271$n1336 Q=KEYBOARD.report[4][1] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2310 E=$abc$51271$n1336 Q=KEYBOARD.report[4][2] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2311 E=$abc$51271$n1336 Q=KEYBOARD.report[4][3] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2312 E=$abc$51271$n1336 Q=KEYBOARD.report[4][4] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2313 E=$abc$51271$n1336 Q=KEYBOARD.report[4][5] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2314 E=$abc$51271$n1336 Q=KEYBOARD.report[4][6] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2315 E=$abc$51271$n1336 Q=KEYBOARD.report[4][7] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2308 E=$abc$51271$n1349 Q=KEYBOARD.report[3][0] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2309 E=$abc$51271$n1349 Q=KEYBOARD.report[3][1] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2310 E=$abc$51271$n1349 Q=KEYBOARD.report[3][2] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2311 E=$abc$51271$n1349 Q=KEYBOARD.report[3][3] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2312 E=$abc$51271$n1349 Q=KEYBOARD.report[3][4] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2313 E=$abc$51271$n1349 Q=KEYBOARD.report[3][5] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2314 E=$abc$51271$n1349 Q=KEYBOARD.report[3][6] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2315 E=$abc$51271$n1349 Q=KEYBOARD.report[3][7] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2308 E=$abc$51271$n1359 Q=KEYBOARD.report[2][0] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2309 E=$abc$51271$n1359 Q=KEYBOARD.report[2][1] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2310 E=$abc$51271$n1359 Q=KEYBOARD.report[2][2] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2311 E=$abc$51271$n1359 Q=KEYBOARD.report[2][3] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2312 E=$abc$51271$n1359 Q=KEYBOARD.report[2][4] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2313 E=$abc$51271$n1359 Q=KEYBOARD.report[2][5] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2314 E=$abc$51271$n1359 Q=KEYBOARD.report[2][6] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2315 E=$abc$51271$n1359 Q=KEYBOARD.report[2][7] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2308 E=$abc$51271$n1372 Q=KEYBOARD.report[1][0] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2309 E=$abc$51271$n1372 Q=KEYBOARD.report[1][1] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2310 E=$abc$51271$n1372 Q=KEYBOARD.report[1][2] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2311 E=$abc$51271$n1372 Q=KEYBOARD.report[1][3] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2312 E=$abc$51271$n1372 Q=KEYBOARD.report[1][4] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2313 E=$abc$51271$n1372 Q=KEYBOARD.report[1][5] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2314 E=$abc$51271$n1372 Q=KEYBOARD.report[1][6] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2315 E=$abc$51271$n1372 Q=KEYBOARD.report[1][7] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2286 E=$abc$51271$n1378 Q=KEYBOARD.report[0][0] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2289 E=$abc$51271$n1378 Q=KEYBOARD.report[0][1] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2292 E=$abc$51271$n1378 Q=KEYBOARD.report[0][2] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2295 E=$abc$51271$n1378 Q=KEYBOARD.report[0][3] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2298 E=$abc$51271$n1378 Q=KEYBOARD.report[0][4] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2301 E=$abc$51271$n1378 Q=KEYBOARD.report[0][5] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2304 E=$abc$51271$n1378 Q=KEYBOARD.report[0][6] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2307 E=$abc$51271$n1378 Q=KEYBOARD.report[0][7] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9493[0] E=$abc$51271$n1382 Q=KEYBOARD.init_delay_cnt[0] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2396 E=$abc$51271$n1383 Q=KEYBOARD.init_delay_cnt[1] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9493[2] E=$abc$51271$n1382 Q=KEYBOARD.init_delay_cnt[2] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9493[3] E=$abc$51271$n1382 Q=KEYBOARD.init_delay_cnt[3] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$51271$n2191 E=$abc$51271$n1386 Q=KEYBOARD.ram_adr[0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$51271$n2194 E=$abc$51271$n1386 Q=KEYBOARD.ram_adr[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$51271$n2197 E=$abc$51271$n1386 Q=KEYBOARD.ram_adr[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$51271$n2199 E=$abc$51271$n1386 Q=KEYBOARD.ram_adr[3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[4] E=$abc$51271$n1386 Q=KEYBOARD.ram_adr[4] R=KEYBOARD.init_ram_cnt[8]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[5] E=$abc$51271$n1386 Q=KEYBOARD.ram_adr[5] R=KEYBOARD.init_ram_cnt[8]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[6] E=$abc$51271$n1386 Q=KEYBOARD.ram_adr[6] R=KEYBOARD.init_ram_cnt[8]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[7] E=$abc$51271$n1386 Q=KEYBOARD.ram_adr[7] R=KEYBOARD.init_ram_cnt[8]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.init_ram_cnt[8] E=$abc$51271$n1386 Q=KEYBOARD.ram_adr[8] R=KEYBOARD.init_ram_cnt[8]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$51271$n2213 E=$abc$51271$n1313 Q=KEYBOARD.ram_wr S=$abc$51271$n33
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$51271$n26 E=$abc$51271$n1408 Q=KEYBOARD.is_pressed
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$51271$n2264 E=$abc$51271$n1408 Q=KEYBOARD.kbd_code[0] S=$abc$51271$n29
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$51271$n2265 E=$abc$51271$n1408 Q=KEYBOARD.kbd_code[1] S=$abc$51271$n29
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$51271$n2266 E=$abc$51271$n1408 Q=KEYBOARD.kbd_code[2] S=$abc$51271$n29
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$51271$n2267 E=$abc$51271$n1408 Q=KEYBOARD.kbd_code[3] S=$abc$51271$n29
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$51271$n2268 E=$abc$51271$n1408 Q=KEYBOARD.kbd_code[4] S=$abc$51271$n29
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$51271$n2269 E=$abc$51271$n1408 Q=KEYBOARD.kbd_code[5] S=$abc$51271$n29
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$51271$n2270 E=$abc$51271$n1408 Q=KEYBOARD.kbd_code[6] S=$abc$51271$n29
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$51271$n2271 E=$abc$51271$n1408 Q=KEYBOARD.kbd_code[7] S=$abc$51271$n29
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$51271$n2243 E=$abc$51271$n1390 Q=KEYBOARD.COLS_SHADOW[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$51271$n2246 E=$abc$51271$n1390 Q=KEYBOARD.COLS_SHADOW[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$51271$n2249 E=$abc$51271$n1390 Q=KEYBOARD.COLS_SHADOW[3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$51271$n2252 E=$abc$51271$n1390 Q=KEYBOARD.COLS_SHADOW[4]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$51271$n2255 E=$abc$51271$n1390 Q=KEYBOARD.COLS_SHADOW[5]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$51271$n2258 E=$abc$51271$n1390 Q=KEYBOARD.COLS_SHADOW[6]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$51271$n2261 E=$abc$51271$n1390 Q=KEYBOARD.COLS_SHADOW[7]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2572 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[0] R=$abc$51271$n30
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2573 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[1] R=$abc$51271$n30
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2574 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[2] R=$abc$51271$n30
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2575 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[3] R=$abc$51271$n30
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2576 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[4] R=$abc$51271$n30
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2577 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[5] R=$abc$51271$n30
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2578 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[6] R=$abc$51271$n30
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2579 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[7] R=$abc$51271$n30
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2572 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[8] R=$abc$51271$n31
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2573 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[9] R=$abc$51271$n31
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2574 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[10] R=$abc$51271$n31
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2575 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[11] R=$abc$51271$n31
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2576 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[12] R=$abc$51271$n31
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2577 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[13] R=$abc$51271$n31
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2578 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[14] R=$abc$51271$n31
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2579 E=$abc$51271$n1392 Q=KEYBOARD.ROWS_EN[15] R=$abc$51271$n31
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2282 E=$abc$51271$n1405 Q=KEYBOARD.isr R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$51271$n2273 E=$abc$51271$n1407 Q=KEYBOARD.temp[0] S=$abc$51271$n33
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$51271$n2277 E=$abc$51271$n1407 Q=KEYBOARD.temp[1] S=$abc$51271$n33
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$51271$n2275 E=$abc$51271$n1407 Q=KEYBOARD.temp[2] S=$abc$51271$n33
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$51271$n2278 E=$abc$51271$n1407 Q=KEYBOARD.temp[3] S=$abc$51271$n33
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$51271$n2274 E=$abc$51271$n1407 Q=KEYBOARD.temp[4] S=$abc$51271$n33
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$51271$n2279 E=$abc$51271$n1407 Q=KEYBOARD.temp[5] S=$abc$51271$n33
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$51271$n2280 E=$abc$51271$n1407 Q=KEYBOARD.temp[6] S=$abc$51271$n33
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$51271$n2276 E=$abc$51271$n1407 Q=KEYBOARD.temp[7] S=$abc$51271$n33
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$51271$n2203 E=$abc$51271$n1408 Q=KEYBOARD.row_counter[0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$51271$n2205 E=$abc$51271$n1408 Q=KEYBOARD.row_counter[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$51271$n2207 E=$abc$51271$n1408 Q=KEYBOARD.row_counter[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$51271$n2209 E=$abc$51271$n1408 Q=KEYBOARD.row_counter[3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n1606 E=$abc$51271$n1273 Q=KEYBOARD.row_time[0] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n1607 E=$abc$51271$n1273 Q=KEYBOARD.row_time[1] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n1609 E=$abc$51271$n1273 Q=KEYBOARD.row_time[2] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n1610 E=$abc$51271$n1273 Q=KEYBOARD.row_time[3] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n1604 E=$abc$51271$n1273 Q=KEYBOARD.row_time[4] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n396 E=$abc$51271$n1273 Q=KEYBOARD.row_time[5] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n394 E=$abc$51271$n1273 Q=KEYBOARD.row_time[6] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n383 E=$abc$51271$n1273 Q=KEYBOARD.row_time[7] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n1614 E=$abc$51271$n1273 Q=KEYBOARD.row_time[8] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n1615 E=$abc$51271$n1273 Q=KEYBOARD.row_time[9] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n1617 E=$abc$51271$n1273 Q=KEYBOARD.row_time[10] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n1618 E=$abc$51271$n1273 Q=KEYBOARD.row_time[11] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n1623 E=$abc$51271$n1273 Q=KEYBOARD.row_time[12] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9494[0] E=$abc$51271$n1415 Q=KEYBOARD.init_ram_cnt[0] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2397 E=$abc$51271$n1414 Q=KEYBOARD.init_ram_cnt[1] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9494[2] E=$abc$51271$n1415 Q=KEYBOARD.init_ram_cnt[2] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9494[3] E=$abc$51271$n1415 Q=KEYBOARD.init_ram_cnt[3] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9494[4] E=$abc$51271$n1415 Q=KEYBOARD.init_ram_cnt[4] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9494[5] E=$abc$51271$n1415 Q=KEYBOARD.init_ram_cnt[5] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9494[6] E=$abc$51271$n1415 Q=KEYBOARD.init_ram_cnt[6] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9494[7] E=$abc$51271$n1415 Q=KEYBOARD.init_ram_cnt[7] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$9494[8] E=$abc$51271$n1415 Q=KEYBOARD.init_ram_cnt[8] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2322 E=$abc$51271$n1417 Q=I2C_HID_DESC.real_adress[0] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:41|descriptors.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=I2C.byte_counter[1] E=$abc$51271$n1417 Q=I2C_HID_DESC.real_adress[1] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:41|descriptors.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=I2C.byte_counter[2] E=$abc$51271$n1417 Q=I2C_HID_DESC.real_adress[2] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:41|descriptors.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=I2C.byte_counter[3] E=$abc$51271$n1417 Q=I2C_HID_DESC.real_adress[3] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:41|descriptors.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=I2C.byte_counter[4] E=$abc$51271$n1417 Q=I2C_HID_DESC.real_adress[4] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:41|descriptors.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2324 E=$abc$51271$n1417 Q=I2C_HID_DESC.real_adress[5] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:41|descriptors.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2326 E=$abc$51271$n1417 Q=I2C_HID_DESC.real_adress[6] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:41|descriptors.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$51271$n2328 E=$abc$51271$n1417 Q=I2C_HID_DESC.real_adress[7] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:41|descriptors.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFSR C=CLK D=I2C.wr Q=I2C_HID_DESC.last_rd_request R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:41|descriptors.v:15|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFFR C=CLK D=$abc$51271$n2021 Q=I2C.i2c_state_machine R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:12"
.gate SB_DFFES C=CLK D=I2C.SDAF E=$abc$51271$n1426 Q=I2C.FLT_SDA.out S=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:46|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:23"
.gate SB_DFFER C=CLK D=$abc$51271$n2329 E=$abc$51271$n1427 Q=I2C.FLT_SDA.counter[0] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:46|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22"
.gate SB_DFFER C=CLK D=$abc$51271$n2330 E=$abc$51271$n1427 Q=I2C.FLT_SDA.counter[1] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:46|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22"
.gate SB_DFFER C=CLK D=$abc$51271$n2331 E=$abc$51271$n1427 Q=I2C.FLT_SDA.counter[2] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:46|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22"
.gate SB_DFFES C=CLK D=I2C.SCLF E=$abc$51271$n1436 Q=I2C.FLT_SCL.out S=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:45|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:23"
.gate SB_DFFER C=CLK D=$abc$51271$n2332 E=$abc$51271$n1437 Q=I2C.FLT_SCL.counter[0] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:45|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22"
.gate SB_DFFER C=CLK D=$abc$51271$n2333 E=$abc$51271$n1437 Q=I2C.FLT_SCL.counter[1] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:45|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22"
.gate SB_DFFER C=CLK D=$abc$51271$n2334 E=$abc$51271$n1437 Q=I2C.FLT_SCL.counter[2] R=$abc$51271$n35
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:45|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22"
.gate SB_IO D_IN_0=I2C.SDA_IN D_OUT_0=$false OUTPUT_ENABLE=I2C.SDA_DIR PACKAGE_PIN=SDA
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:21|i2c_slave.v:178"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_RAM40_4K RADDR[0]=I2C_HID_DESC.real_adress[0] RADDR[1]=I2C_HID_DESC.real_adress[1] RADDR[2]=I2C_HID_DESC.real_adress[2] RADDR[3]=I2C_HID_DESC.real_adress[3] RADDR[4]=I2C_HID_DESC.real_adress[4] RADDR[5]=I2C_HID_DESC.real_adress[5] RADDR[6]=I2C_HID_DESC.real_adress[6] RADDR[7]=I2C_HID_DESC.real_adress[7] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=I2C_HID_DESC.VAL[0] RDATA[1]=I2C_HID_DESC.VAL[1] RDATA[2]=I2C_HID_DESC.VAL[2] RDATA[3]=I2C_HID_DESC.VAL[3] RDATA[4]=I2C_HID_DESC.VAL[4] RDATA[5]=I2C_HID_DESC.VAL[5] RDATA[6]=I2C_HID_DESC.VAL[6] RDATA[7]=I2C_HID_DESC.VAL[7] RDATA[8]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$390[0] RDATA[9]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$390[1] RDATA[10]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$390[2] RDATA[11]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$390[3] RDATA[12]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$390[4] RDATA[13]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$390[5] RDATA[14]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$390[6] RDATA[15]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$390[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WDATA[8]=$false WDATA[9]=$false WDATA[10]=$false WDATA[11]=$false WDATA[12]=$false WDATA[13]=$false WDATA[14]=$false WDATA[15]=$false WE=$false
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:41|descriptors.v:143"
.param INIT_0 0000000000000000000000000000010000000000000000000000000000001010000000000000000000000000000000110000000000000000000000000000001000000000000000000000000000111111000000000000000100000000000000000000000000000000000000000001111000000000000000000000000000000000
.param INIT_1 0000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000001000000000000000100000000000001000000000010011111000000000000000000000000000001100000000000000000000000000000010100000000000000000000000000000011
.param INIT_2 0000000000000000000000000001010100000000111001110000000000101001000000001110000000000000000110010000000000000111000000000000010100000000000000010000000010100001000000000000011000000000000010010000000000000001000000000000010100000000000000000000000000000000
.param INIT_3 0000000000000101000000001001010100000000000000010000000010000001000000000000100000000000011101010000000000000001000000001001010100000000000000100000000010000001000000000000100000000000100101010000000000000001000000000111010100000000000000010000000000100101
.param INIT_4 0000000000000011000000001001000100000000000000110000000001110101000000000000000100000000100101010000000000000010000000001001000100000000000001010000000000101001000000000000000100000000000110010000000000001000000000000000010100000000000000010000000001110101
.param INIT_5 0000000000000000000000001000000100000000011001010000000000101001000000000000000000000000000110010000000000000111000000000000010100000000011001010000000000100101000000000000000000000000000101010000000000001000000000000111010100000000000001100000000010010101
.param INIT_6 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000
.param READ_MODE 00000000000000000000000000000001
.param WRITE_MODE 00000000000000000000000000000001
.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=KEYBOARD.ram_adr[0] RADDR[1]=KEYBOARD.ram_adr[1] RADDR[2]=KEYBOARD.ram_adr[2] RADDR[3]=KEYBOARD.ram_adr[3] RADDR[4]=KEYBOARD.ram_adr[4] RADDR[5]=KEYBOARD.ram_adr[5] RADDR[6]=KEYBOARD.ram_adr[6] RADDR[7]=KEYBOARD.ram_adr[7] RADDR[8]=KEYBOARD.ram_adr[8] RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.RAM.r_data[0] RDATA[1]=$techmap9665\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[1] RDATA[2]=KEYBOARD.RAM.r_data[1] RDATA[3]=$techmap9665\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[3] RDATA[4]=KEYBOARD.RAM.r_data[2] RDATA[5]=$techmap9665\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[5] RDATA[6]=KEYBOARD.RAM.r_data[3] RDATA[7]=$techmap9665\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[7] RDATA[8]=KEYBOARD.RAM.r_data[4] RDATA[9]=$techmap9665\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[9] RDATA[10]=KEYBOARD.RAM.r_data[5] RDATA[11]=$techmap9665\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[11] RDATA[12]=KEYBOARD.RAM.r_data[6] RDATA[13]=$techmap9665\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[13] RDATA[14]=KEYBOARD.RAM.r_data[7] RDATA[15]=$techmap9665\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=KEYBOARD.ram_adr[0] WADDR[1]=KEYBOARD.ram_adr[1] WADDR[2]=KEYBOARD.ram_adr[2] WADDR[3]=KEYBOARD.ram_adr[3] WADDR[4]=KEYBOARD.ram_adr[4] WADDR[5]=KEYBOARD.ram_adr[5] WADDR[6]=KEYBOARD.ram_adr[6] WADDR[7]=KEYBOARD.ram_adr[7] WADDR[8]=KEYBOARD.ram_adr[8] WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=KEYBOARD.ram_wr WDATA[0]=KEYBOARD.temp[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.temp[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.temp[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.temp[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.temp[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.temp[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.temp[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.temp[7] WDATA[15]=$undef WE=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
.param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1
.param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_2 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_3 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_4 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_5 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_6 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_7 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_8 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_9 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_A xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_B xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_C xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_D xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_E xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param READ_MODE 00000000000000000000000000000001
.param WRITE_MODE 00000000000000000000000000000001
.gate SB_RAM40_4K RADDR[0]=KEYBOARD.kbd_code[0] RADDR[1]=KEYBOARD.kbd_code[1] RADDR[2]=KEYBOARD.kbd_code[2] RADDR[3]=KEYBOARD.kbd_code[3] RADDR[4]=KEYBOARD.kbd_code[4] RADDR[5]=KEYBOARD.kbd_code[5] RADDR[6]=KEYBOARD.kbd_code[6] RADDR[7]=KEYBOARD.kbd_code[7] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.kbd_code_hid[0] RDATA[1]=KEYBOARD.kbd_code_hid[1] RDATA[2]=KEYBOARD.kbd_code_hid[2] RDATA[3]=KEYBOARD.kbd_code_hid[3] RDATA[4]=KEYBOARD.kbd_code_hid[4] RDATA[5]=KEYBOARD.kbd_code_hid[5] RDATA[6]=KEYBOARD.kbd_code_hid[6] RDATA[7]=KEYBOARD.kbd_code_hid[7] RDATA[8]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$391[0] RDATA[9]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$391[1] RDATA[10]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$391[2] RDATA[11]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$391[3] RDATA[12]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$391[4] RDATA[13]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$391[5] RDATA[14]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$391[6] RDATA[15]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$391[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WDATA[8]=$false WDATA[9]=$false WDATA[10]=$false WDATA[11]=$false WDATA[12]=$false WDATA[13]=$false WDATA[14]=$false WDATA[15]=$false WE=$false
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:189"
.param INIT_0 0000000000000000000000000000000100000000000000010000000000000001000000001110011100000000010110000000000001001100000000000101001100000000000000010000000000000001000000000000000100000000000000010000000011100000000000000011100100000000111000010000000000101001
.param INIT_1 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010
.param INIT_2 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010
.param INIT_3 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010
.param INIT_4 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010
.param INIT_5 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010
.param INIT_6 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010
.param INIT_7 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010
.param INIT_8 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010
.param INIT_9 0000000000000001000000000000000100000000000000010000000000000001000000000010110000000000001010100000000000011001000000000011101100000000000000010000000000000001000000000000000100000000000000010000000011100010000000000001010100000000000001100000000000111010
.param READ_MODE 00000000000000000000000000000001
.param WRITE_MODE 00000000000000000000000000000001
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[0] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[0] PACKAGE_PIN=KBD_ROWS[0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:215"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[10] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[10] PACKAGE_PIN=KBD_ROWS[10]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:215"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[11] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[11] PACKAGE_PIN=KBD_ROWS[11]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:215"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[12] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[12] PACKAGE_PIN=KBD_ROWS[12]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:215"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[13] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[13] PACKAGE_PIN=KBD_ROWS[13]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:215"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[14] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[14] PACKAGE_PIN=KBD_ROWS[14]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:215"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[15] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[15] PACKAGE_PIN=KBD_ROWS[15]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:215"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[1] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[1] PACKAGE_PIN=KBD_ROWS[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:215"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[2] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[2] PACKAGE_PIN=KBD_ROWS[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:215"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[3] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[3] PACKAGE_PIN=KBD_ROWS[3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:215"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[4] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[4] PACKAGE_PIN=KBD_ROWS[4]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:215"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[5] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[5] PACKAGE_PIN=KBD_ROWS[5]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:215"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[6] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[6] PACKAGE_PIN=KBD_ROWS[6]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:215"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[7] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[7] PACKAGE_PIN=KBD_ROWS[7]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:215"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[8] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[8] PACKAGE_PIN=KBD_ROWS[8]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:215"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[9] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[9] PACKAGE_PIN=KBD_ROWS[9]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|matrix_kbd.v:215"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=report_data_radr[0] RADDR[1]=report_data_radr[1] RADDR[2]=report_data_radr[2] RADDR[3]=report_data_radr[3] RADDR[4]=report_data_radr[4] RADDR[5]=report_data_radr[5] RADDR[6]=report_data_radr[6] RADDR[7]=report_data_radr[7] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=REPORT_DATA.r_data[0] RDATA[1]=$techmap9664\REPORT_DATA.mem.0.0.0.A1DATA_16[1] RDATA[2]=REPORT_DATA.r_data[1] RDATA[3]=$techmap9664\REPORT_DATA.mem.0.0.0.A1DATA_16[3] RDATA[4]=REPORT_DATA.r_data[2] RDATA[5]=$techmap9664\REPORT_DATA.mem.0.0.0.A1DATA_16[5] RDATA[6]=REPORT_DATA.r_data[3] RDATA[7]=$techmap9664\REPORT_DATA.mem.0.0.0.A1DATA_16[7] RDATA[8]=REPORT_DATA.r_data[4] RDATA[9]=$techmap9664\REPORT_DATA.mem.0.0.0.A1DATA_16[9] RDATA[10]=REPORT_DATA.r_data[5] RDATA[11]=$techmap9664\REPORT_DATA.mem.0.0.0.A1DATA_16[11] RDATA[12]=REPORT_DATA.r_data[6] RDATA[13]=$techmap9664\REPORT_DATA.mem.0.0.0.A1DATA_16[13] RDATA[14]=REPORT_DATA.r_data[7] RDATA[15]=$techmap9664\REPORT_DATA.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=report_data_wadr[0] WADDR[1]=report_data_wadr[1] WADDR[2]=report_data_wadr[2] WADDR[3]=report_data_wadr[3] WADDR[4]=report_data_wadr[4] WADDR[5]=report_data_wadr[5] WADDR[6]=report_data_wadr[6] WADDR[7]=report_data_wadr[7] WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=report_wr_en WDATA[0]=report_data_wr[0] WDATA[1]=$undef WDATA[2]=report_data_wr[1] WDATA[3]=$undef WDATA[4]=report_data_wr[2] WDATA[5]=$undef WDATA[6]=report_data_wr[3] WDATA[7]=$undef WDATA[8]=report_data_wr[4] WDATA[9]=$undef WDATA[10]=report_data_wr[5] WDATA[11]=$undef WDATA[12]=report_data_wr[6] WDATA[13]=$undef WDATA[14]=report_data_wr[7] WDATA[15]=$undef WE=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
.param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1
.param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_2 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_3 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_4 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_5 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_6 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_7 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_8 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_9 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_A xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_B xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_C xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_D xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_E xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param READ_MODE 00000000000000000000000000000001
.param WRITE_MODE 00000000000000000000000000000001
.names I2C.is_read COM_RTS
1 1
.names CLK I2C.CLK
1 1
.names I2C.byte_counter[0] I2C.COUNTER[0]
1 1
.names I2C.byte_counter[1] I2C.COUNTER[1]
1 1
.names I2C.byte_counter[2] I2C.COUNTER[2]
1 1
.names I2C.byte_counter[3] I2C.COUNTER[3]
1 1
.names I2C.byte_counter[4] I2C.COUNTER[4]
1 1
.names I2C.byte_counter[5] I2C.COUNTER[5]
1 1
.names I2C.byte_counter[6] I2C.COUNTER[6]
1 1
.names I2C.byte_counter[7] I2C.COUNTER[7]
1 1
.names CLK I2C.FLT_SCL.CLK
1 1
.names I2C.SCLF I2C.FLT_SCL.IN
1 1
.names I2C.FLT_SCL.out I2C.FLT_SCL.OUT
1 1
.names CLK I2C.FLT_SDA.CLK
1 1
.names I2C.SDAF I2C.FLT_SDA.IN
1 1
.names I2C.FLT_SDA.out I2C.FLT_SDA.OUT
1 1
.names I2C.FLT_SCL.RESET I2C.FLT_SDA.RESET
1 1
.names I2C.is_ack I2C.IS_ACK
1 1
.names I2C.is_read I2C.IS_READ
1 1
.names I2C_TRANS I2C.IS_TRANSMISSION
1 1
.names I2C.received_byte[0] I2C.RECEIVED_BYTE[0]
1 1
.names I2C.received_byte[1] I2C.RECEIVED_BYTE[1]
1 1
.names I2C.received_byte[2] I2C.RECEIVED_BYTE[2]
1 1
.names I2C.received_byte[3] I2C.RECEIVED_BYTE[3]
1 1
.names I2C.received_byte[4] I2C.RECEIVED_BYTE[4]
1 1
.names I2C.received_byte[5] I2C.RECEIVED_BYTE[5]
1 1
.names I2C.received_byte[6] I2C.RECEIVED_BYTE[6]
1 1
.names I2C.received_byte[7] I2C.RECEIVED_BYTE[7]
1 1
.names I2C.FLT_SCL.RESET I2C.RESET
1 1
.names SCL I2C.SCL
1 1
.names I2C.FLT_SCL.out I2C.SCLD
1 1
.names SDA I2C.SDA
1 1
.names I2C.FLT_SDA.out I2C.SDAD
1 1
.names I2C.wr I2C.WR
1 1
.names I2C.is_ack I2C_ACK
1 1
.names I2C.byte_counter[0] I2C_COUNTER[0]
1 1
.names I2C.byte_counter[1] I2C_COUNTER[1]
1 1
.names I2C.byte_counter[2] I2C_COUNTER[2]
1 1
.names I2C.byte_counter[3] I2C_COUNTER[3]
1 1
.names I2C.byte_counter[4] I2C_COUNTER[4]
1 1
.names I2C.byte_counter[5] I2C_COUNTER[5]
1 1
.names I2C.byte_counter[6] I2C_COUNTER[6]
1 1
.names I2C.byte_counter[7] I2C_COUNTER[7]
1 1
.names I2C.byte_counter[0] I2C_HID_DESC.ADR[0]
1 1
.names I2C.byte_counter[1] I2C_HID_DESC.ADR[1]
1 1
.names I2C.byte_counter[2] I2C_HID_DESC.ADR[2]
1 1
.names I2C.byte_counter[3] I2C_HID_DESC.ADR[3]
1 1
.names I2C.byte_counter[4] I2C_HID_DESC.ADR[4]
1 1
.names I2C.byte_counter[5] I2C_HID_DESC.ADR[5]
1 1
.names I2C.byte_counter[6] I2C_HID_DESC.ADR[6]
1 1
.names I2C.byte_counter[7] I2C_HID_DESC.ADR[7]
1 1
.names CLK I2C_HID_DESC.CLK
1 1
.names I2C_OUTPUT_TYPE[0] I2C_HID_DESC.DESC_TYPE[0]
1 1
.names I2C_OUTPUT_TYPE[1] I2C_HID_DESC.DESC_TYPE[1]
1 1
.names I2C.wr I2C_HID_DESC.RD_REQUEST
1 1
.names I2C.FLT_SCL.RESET I2C_HID_DESC.RESET
1 1
.names I2C.is_read I2C_READ
1 1
.names I2C.received_byte[0] I2C_RX[0]
1 1
.names I2C.received_byte[1] I2C_RX[1]
1 1
.names I2C.received_byte[2] I2C_RX[2]
1 1
.names I2C.received_byte[3] I2C_RX[3]
1 1
.names I2C.received_byte[4] I2C_RX[4]
1 1
.names I2C.received_byte[5] I2C_RX[5]
1 1
.names I2C.received_byte[6] I2C_RX[6]
1 1
.names I2C.received_byte[7] I2C_RX[7]
1 1
.names I2C_HID_DESC.VAL[0] I2C_TX_DESC[0]
1 1
.names I2C_HID_DESC.VAL[1] I2C_TX_DESC[1]
1 1
.names I2C_HID_DESC.VAL[2] I2C_TX_DESC[2]
1 1
.names I2C_HID_DESC.VAL[3] I2C_TX_DESC[3]
1 1
.names I2C_HID_DESC.VAL[4] I2C_TX_DESC[4]
1 1
.names I2C_HID_DESC.VAL[5] I2C_TX_DESC[5]
1 1
.names I2C_HID_DESC.VAL[6] I2C_TX_DESC[6]
1 1
.names I2C_HID_DESC.VAL[7] I2C_TX_DESC[7]
1 1
.names I2C.wr I2C_WR
1 1
.names COM_DCD INT
1 1
.names COM_DCD INTERRUPT
1 1
.names KEYBOARD.isr ISR
1 1
.names COM_DSR KBD_FREEZE
1 1
.names LED2 KBD_LED_STATUS[0]
1 1
.names LED3 KBD_LED_STATUS[1]
1 1
.names LED4 KBD_LED_STATUS[2]
1 1
.names $undef KBD_LED_STATUS[3]
1 1
.names $undef KBD_LED_STATUS[4]
1 1
.names $undef KBD_LED_STATUS[5]
1 1
.names $undef KBD_LED_STATUS[6]
1 1
.names $undef KBD_LED_STATUS[7]
1 1
.names CLK KEYBOARD.CLK
1 1
.names KBD_COLUMNS[0] KEYBOARD.COLUMNS[0]
1 1
.names KBD_COLUMNS[1] KEYBOARD.COLUMNS[1]
1 1
.names KBD_COLUMNS[2] KEYBOARD.COLUMNS[2]
1 1
.names KBD_COLUMNS[3] KEYBOARD.COLUMNS[3]
1 1
.names KBD_COLUMNS[4] KEYBOARD.COLUMNS[4]
1 1
.names KBD_COLUMNS[5] KEYBOARD.COLUMNS[5]
1 1
.names KBD_COLUMNS[6] KEYBOARD.COLUMNS[6]
1 1
.names KBD_COLUMNS[7] KEYBOARD.COLUMNS[7]
1 1
.names KEYBOARD.isr KEYBOARD.INT
1 1
.names CLK KEYBOARD.RAM.clk
1 1
.names KEYBOARD.ram_adr[0] KEYBOARD.RAM.raddr[0]
1 1
.names KEYBOARD.ram_adr[1] KEYBOARD.RAM.raddr[1]
1 1
.names KEYBOARD.ram_adr[2] KEYBOARD.RAM.raddr[2]
1 1
.names KEYBOARD.ram_adr[3] KEYBOARD.RAM.raddr[3]
1 1
.names KEYBOARD.ram_adr[4] KEYBOARD.RAM.raddr[4]
1 1
.names KEYBOARD.ram_adr[5] KEYBOARD.RAM.raddr[5]
1 1
.names KEYBOARD.ram_adr[6] KEYBOARD.RAM.raddr[6]
1 1
.names KEYBOARD.ram_adr[7] KEYBOARD.RAM.raddr[7]
1 1
.names KEYBOARD.ram_adr[8] KEYBOARD.RAM.raddr[8]
1 1
.names KEYBOARD.RAM.r_data[0] KEYBOARD.RAM.rdata[0]
1 1
.names KEYBOARD.RAM.r_data[1] KEYBOARD.RAM.rdata[1]
1 1
.names KEYBOARD.RAM.r_data[2] KEYBOARD.RAM.rdata[2]
1 1
.names KEYBOARD.RAM.r_data[3] KEYBOARD.RAM.rdata[3]
1 1
.names KEYBOARD.RAM.r_data[4] KEYBOARD.RAM.rdata[4]
1 1
.names KEYBOARD.RAM.r_data[5] KEYBOARD.RAM.rdata[5]
1 1
.names KEYBOARD.RAM.r_data[6] KEYBOARD.RAM.rdata[6]
1 1
.names KEYBOARD.RAM.r_data[7] KEYBOARD.RAM.rdata[7]
1 1
.names KEYBOARD.ram_adr[0] KEYBOARD.RAM.waddr[0]
1 1
.names KEYBOARD.ram_adr[1] KEYBOARD.RAM.waddr[1]
1 1
.names KEYBOARD.ram_adr[2] KEYBOARD.RAM.waddr[2]
1 1
.names KEYBOARD.ram_adr[3] KEYBOARD.RAM.waddr[3]
1 1
.names KEYBOARD.ram_adr[4] KEYBOARD.RAM.waddr[4]
1 1
.names KEYBOARD.ram_adr[5] KEYBOARD.RAM.waddr[5]
1 1
.names KEYBOARD.ram_adr[6] KEYBOARD.RAM.waddr[6]
1 1
.names KEYBOARD.ram_adr[7] KEYBOARD.RAM.waddr[7]
1 1
.names KEYBOARD.ram_adr[8] KEYBOARD.RAM.waddr[8]
1 1
.names KEYBOARD.temp[0] KEYBOARD.RAM.wdata[0]
1 1
.names KEYBOARD.temp[1] KEYBOARD.RAM.wdata[1]
1 1
.names KEYBOARD.temp[2] KEYBOARD.RAM.wdata[2]
1 1
.names KEYBOARD.temp[3] KEYBOARD.RAM.wdata[3]
1 1
.names KEYBOARD.temp[4] KEYBOARD.RAM.wdata[4]
1 1
.names KEYBOARD.temp[5] KEYBOARD.RAM.wdata[5]
1 1
.names KEYBOARD.temp[6] KEYBOARD.RAM.wdata[6]
1 1
.names KEYBOARD.temp[7] KEYBOARD.RAM.wdata[7]
1 1
.names KEYBOARD.ram_wr KEYBOARD.RAM.wen
1 1
.names I2C.FLT_SCL.RESET KEYBOARD.RESET
1 1
.names KBD_ROWS[0] KEYBOARD.ROWS[0]
1 1
.names KBD_ROWS[1] KEYBOARD.ROWS[1]
1 1
.names KBD_ROWS[2] KEYBOARD.ROWS[2]
1 1
.names KBD_ROWS[3] KEYBOARD.ROWS[3]
1 1
.names KBD_ROWS[4] KEYBOARD.ROWS[4]
1 1
.names KBD_ROWS[5] KEYBOARD.ROWS[5]
1 1
.names KBD_ROWS[6] KEYBOARD.ROWS[6]
1 1
.names KBD_ROWS[7] KEYBOARD.ROWS[7]
1 1
.names KBD_ROWS[8] KEYBOARD.ROWS[8]
1 1
.names KBD_ROWS[9] KEYBOARD.ROWS[9]
1 1
.names KBD_ROWS[10] KEYBOARD.ROWS[10]
1 1
.names KBD_ROWS[11] KEYBOARD.ROWS[11]
1 1
.names KBD_ROWS[12] KEYBOARD.ROWS[12]
1 1
.names KBD_ROWS[13] KEYBOARD.ROWS[13]
1 1
.names KBD_ROWS[14] KEYBOARD.ROWS[14]
1 1
.names KBD_ROWS[15] KEYBOARD.ROWS[15]
1 1
.names KEYBOARD.report[0][0] KEYBOARD.kbd_r0[0]
1 1
.names KEYBOARD.report[0][1] KEYBOARD.kbd_r0[1]
1 1
.names KEYBOARD.report[0][2] KEYBOARD.kbd_r0[2]
1 1
.names KEYBOARD.report[0][3] KEYBOARD.kbd_r0[3]
1 1
.names KEYBOARD.report[0][4] KEYBOARD.kbd_r0[4]
1 1
.names KEYBOARD.report[0][5] KEYBOARD.kbd_r0[5]
1 1
.names KEYBOARD.report[0][6] KEYBOARD.kbd_r0[6]
1 1
.names KEYBOARD.report[0][7] KEYBOARD.kbd_r0[7]
1 1
.names KEYBOARD.report[1][0] KEYBOARD.kbd_r2[0]
1 1
.names KEYBOARD.report[1][1] KEYBOARD.kbd_r2[1]
1 1
.names KEYBOARD.report[1][2] KEYBOARD.kbd_r2[2]
1 1
.names KEYBOARD.report[1][3] KEYBOARD.kbd_r2[3]
1 1
.names KEYBOARD.report[1][4] KEYBOARD.kbd_r2[4]
1 1
.names KEYBOARD.report[1][5] KEYBOARD.kbd_r2[5]
1 1
.names KEYBOARD.report[1][6] KEYBOARD.kbd_r2[6]
1 1
.names KEYBOARD.report[1][7] KEYBOARD.kbd_r2[7]
1 1
.names KEYBOARD.report[2][0] KEYBOARD.kbd_r3[0]
1 1
.names KEYBOARD.report[2][1] KEYBOARD.kbd_r3[1]
1 1
.names KEYBOARD.report[2][2] KEYBOARD.kbd_r3[2]
1 1
.names KEYBOARD.report[2][3] KEYBOARD.kbd_r3[3]
1 1
.names KEYBOARD.report[2][4] KEYBOARD.kbd_r3[4]
1 1
.names KEYBOARD.report[2][5] KEYBOARD.kbd_r3[5]
1 1
.names KEYBOARD.report[2][6] KEYBOARD.kbd_r3[6]
1 1
.names KEYBOARD.report[2][7] KEYBOARD.kbd_r3[7]
1 1
.names KEYBOARD.report[3][0] KEYBOARD.kbd_r4[0]
1 1
.names KEYBOARD.report[3][1] KEYBOARD.kbd_r4[1]
1 1
.names KEYBOARD.report[3][2] KEYBOARD.kbd_r4[2]
1 1
.names KEYBOARD.report[3][3] KEYBOARD.kbd_r4[3]
1 1
.names KEYBOARD.report[3][4] KEYBOARD.kbd_r4[4]
1 1
.names KEYBOARD.report[3][5] KEYBOARD.kbd_r4[5]
1 1
.names KEYBOARD.report[3][6] KEYBOARD.kbd_r4[6]
1 1
.names KEYBOARD.report[3][7] KEYBOARD.kbd_r4[7]
1 1
.names KEYBOARD.report[4][0] KEYBOARD.kbd_r5[0]
1 1
.names KEYBOARD.report[4][1] KEYBOARD.kbd_r5[1]
1 1
.names KEYBOARD.report[4][2] KEYBOARD.kbd_r5[2]
1 1
.names KEYBOARD.report[4][3] KEYBOARD.kbd_r5[3]
1 1
.names KEYBOARD.report[4][4] KEYBOARD.kbd_r5[4]
1 1
.names KEYBOARD.report[4][5] KEYBOARD.kbd_r5[5]
1 1
.names KEYBOARD.report[4][6] KEYBOARD.kbd_r5[6]
1 1
.names KEYBOARD.report[4][7] KEYBOARD.kbd_r5[7]
1 1
.names KEYBOARD.report[5][0] KEYBOARD.kbd_r6[0]
1 1
.names KEYBOARD.report[5][1] KEYBOARD.kbd_r6[1]
1 1
.names KEYBOARD.report[5][2] KEYBOARD.kbd_r6[2]
1 1
.names KEYBOARD.report[5][3] KEYBOARD.kbd_r6[3]
1 1
.names KEYBOARD.report[5][4] KEYBOARD.kbd_r6[4]
1 1
.names KEYBOARD.report[5][5] KEYBOARD.kbd_r6[5]
1 1
.names KEYBOARD.report[5][6] KEYBOARD.kbd_r6[6]
1 1
.names KEYBOARD.report[5][7] KEYBOARD.kbd_r6[7]
1 1
.names kbd_report[6][0] KEYBOARD.kbd_r7[0]
1 1
.names kbd_report[6][1] KEYBOARD.kbd_r7[1]
1 1
.names kbd_report[6][2] KEYBOARD.kbd_r7[2]
1 1
.names kbd_report[6][3] KEYBOARD.kbd_r7[3]
1 1
.names kbd_report[6][4] KEYBOARD.kbd_r7[4]
1 1
.names KEYBOARD.RAM.r_data[0] KEYBOARD.ram_rd[0]
1 1
.names KEYBOARD.RAM.r_data[1] KEYBOARD.ram_rd[1]
1 1
.names KEYBOARD.RAM.r_data[2] KEYBOARD.ram_rd[2]
1 1
.names KEYBOARD.RAM.r_data[3] KEYBOARD.ram_rd[3]
1 1
.names KEYBOARD.RAM.r_data[4] KEYBOARD.ram_rd[4]
1 1
.names KEYBOARD.RAM.r_data[5] KEYBOARD.ram_rd[5]
1 1
.names KEYBOARD.RAM.r_data[6] KEYBOARD.ram_rd[6]
1 1
.names KEYBOARD.RAM.r_data[7] KEYBOARD.ram_rd[7]
1 1
.names kbd_report[6][0] KEYBOARD.report[6][0]
1 1
.names kbd_report[6][1] KEYBOARD.report[6][1]
1 1
.names kbd_report[6][2] KEYBOARD.report[6][2]
1 1
.names kbd_report[6][3] KEYBOARD.report[6][3]
1 1
.names kbd_report[6][4] KEYBOARD.report[6][4]
1 1
.names I2C_TRANS LED5
1 1
.names CLK REPORT_DATA.clk
1 1
.names report_data_radr[0] REPORT_DATA.raddr[0]
1 1
.names report_data_radr[1] REPORT_DATA.raddr[1]
1 1
.names report_data_radr[2] REPORT_DATA.raddr[2]
1 1
.names report_data_radr[3] REPORT_DATA.raddr[3]
1 1
.names report_data_radr[4] REPORT_DATA.raddr[4]
1 1
.names report_data_radr[5] REPORT_DATA.raddr[5]
1 1
.names report_data_radr[6] REPORT_DATA.raddr[6]
1 1
.names report_data_radr[7] REPORT_DATA.raddr[7]
1 1
.names $false REPORT_DATA.raddr[8]
1 1
.names REPORT_DATA.r_data[0] REPORT_DATA.rdata[0]
1 1
.names REPORT_DATA.r_data[1] REPORT_DATA.rdata[1]
1 1
.names REPORT_DATA.r_data[2] REPORT_DATA.rdata[2]
1 1
.names REPORT_DATA.r_data[3] REPORT_DATA.rdata[3]
1 1
.names REPORT_DATA.r_data[4] REPORT_DATA.rdata[4]
1 1
.names REPORT_DATA.r_data[5] REPORT_DATA.rdata[5]
1 1
.names REPORT_DATA.r_data[6] REPORT_DATA.rdata[6]
1 1
.names REPORT_DATA.r_data[7] REPORT_DATA.rdata[7]
1 1
.names report_data_wadr[0] REPORT_DATA.waddr[0]
1 1
.names report_data_wadr[1] REPORT_DATA.waddr[1]
1 1
.names report_data_wadr[2] REPORT_DATA.waddr[2]
1 1
.names report_data_wadr[3] REPORT_DATA.waddr[3]
1 1
.names report_data_wadr[4] REPORT_DATA.waddr[4]
1 1
.names report_data_wadr[5] REPORT_DATA.waddr[5]
1 1
.names report_data_wadr[6] REPORT_DATA.waddr[6]
1 1
.names report_data_wadr[7] REPORT_DATA.waddr[7]
1 1
.names $false REPORT_DATA.waddr[8]
1 1
.names report_data_wr[0] REPORT_DATA.wdata[0]
1 1
.names report_data_wr[1] REPORT_DATA.wdata[1]
1 1
.names report_data_wr[2] REPORT_DATA.wdata[2]
1 1
.names report_data_wr[3] REPORT_DATA.wdata[3]
1 1
.names report_data_wr[4] REPORT_DATA.wdata[4]
1 1
.names report_data_wr[5] REPORT_DATA.wdata[5]
1 1
.names report_data_wr[6] REPORT_DATA.wdata[6]
1 1
.names report_data_wr[7] REPORT_DATA.wdata[7]
1 1
.names report_wr_en REPORT_DATA.wen
1 1
.names I2C.FLT_SCL.RESET RESET
1 1
.names CLK UART.CLK
1 1
.names I2C.FLT_SCL.RESET UART.RESET
1 1
.names UART.tx_activity UART.TX_ACTIVITY
1 1
.names UART_TX_DATA[0] UART.TX_BYTE[0]
1 1
.names UART_TX_DATA[1] UART.TX_BYTE[1]
1 1
.names UART_TX_DATA[2] UART.TX_BYTE[2]
1 1
.names UART_TX_DATA[3] UART.TX_BYTE[3]
1 1
.names UART_TX_DATA[4] UART.TX_BYTE[4]
1 1
.names UART_TX_DATA[5] UART.TX_BYTE[5]
1 1
.names UART_TX_DATA[6] UART.TX_BYTE[6]
1 1
.names UART_TX_DATA[7] UART.TX_BYTE[7]
1 1
.names COM_TX UART.TX_LINE
1 1
.names UART_WR UART.TX_SIGNAL
1 1
.names COM_TX UART.tx_line
1 1
.names UART.tx_activity UART_ACTIVE
1 1
.names COM_TX UART_TX_LINE
1 1
.names KEYBOARD.report[0][0] kbd_report[0][0]
1 1
.names KEYBOARD.report[0][1] kbd_report[0][1]
1 1
.names KEYBOARD.report[0][2] kbd_report[0][2]
1 1
.names KEYBOARD.report[0][3] kbd_report[0][3]
1 1
.names KEYBOARD.report[0][4] kbd_report[0][4]
1 1
.names KEYBOARD.report[0][5] kbd_report[0][5]
1 1
.names KEYBOARD.report[0][6] kbd_report[0][6]
1 1
.names KEYBOARD.report[0][7] kbd_report[0][7]
1 1
.names KEYBOARD.report[1][0] kbd_report[1][0]
1 1
.names KEYBOARD.report[1][1] kbd_report[1][1]
1 1
.names KEYBOARD.report[1][2] kbd_report[1][2]
1 1
.names KEYBOARD.report[1][3] kbd_report[1][3]
1 1
.names KEYBOARD.report[1][4] kbd_report[1][4]
1 1
.names KEYBOARD.report[1][5] kbd_report[1][5]
1 1
.names KEYBOARD.report[1][6] kbd_report[1][6]
1 1
.names KEYBOARD.report[1][7] kbd_report[1][7]
1 1
.names KEYBOARD.report[2][0] kbd_report[2][0]
1 1
.names KEYBOARD.report[2][1] kbd_report[2][1]
1 1
.names KEYBOARD.report[2][2] kbd_report[2][2]
1 1
.names KEYBOARD.report[2][3] kbd_report[2][3]
1 1
.names KEYBOARD.report[2][4] kbd_report[2][4]
1 1
.names KEYBOARD.report[2][5] kbd_report[2][5]
1 1
.names KEYBOARD.report[2][6] kbd_report[2][6]
1 1
.names KEYBOARD.report[2][7] kbd_report[2][7]
1 1
.names KEYBOARD.report[3][0] kbd_report[3][0]
1 1
.names KEYBOARD.report[3][1] kbd_report[3][1]
1 1
.names KEYBOARD.report[3][2] kbd_report[3][2]
1 1
.names KEYBOARD.report[3][3] kbd_report[3][3]
1 1
.names KEYBOARD.report[3][4] kbd_report[3][4]
1 1
.names KEYBOARD.report[3][5] kbd_report[3][5]
1 1
.names KEYBOARD.report[3][6] kbd_report[3][6]
1 1
.names KEYBOARD.report[3][7] kbd_report[3][7]
1 1
.names KEYBOARD.report[4][0] kbd_report[4][0]
1 1
.names KEYBOARD.report[4][1] kbd_report[4][1]
1 1
.names KEYBOARD.report[4][2] kbd_report[4][2]
1 1
.names KEYBOARD.report[4][3] kbd_report[4][3]
1 1
.names KEYBOARD.report[4][4] kbd_report[4][4]
1 1
.names KEYBOARD.report[4][5] kbd_report[4][5]
1 1
.names KEYBOARD.report[4][6] kbd_report[4][6]
1 1
.names KEYBOARD.report[4][7] kbd_report[4][7]
1 1
.names KEYBOARD.report[5][0] kbd_report[5][0]
1 1
.names KEYBOARD.report[5][1] kbd_report[5][1]
1 1
.names KEYBOARD.report[5][2] kbd_report[5][2]
1 1
.names KEYBOARD.report[5][3] kbd_report[5][3]
1 1
.names KEYBOARD.report[5][4] kbd_report[5][4]
1 1
.names KEYBOARD.report[5][5] kbd_report[5][5]
1 1
.names KEYBOARD.report[5][6] kbd_report[5][6]
1 1
.names KEYBOARD.report[5][7] kbd_report[5][7]
1 1
.names REPORT_DATA.r_data[0] report_data_rd[0]
1 1
.names REPORT_DATA.r_data[1] report_data_rd[1]
1 1
.names REPORT_DATA.r_data[2] report_data_rd[2]
1 1
.names REPORT_DATA.r_data[3] report_data_rd[3]
1 1
.names REPORT_DATA.r_data[4] report_data_rd[4]
1 1
.names REPORT_DATA.r_data[5] report_data_rd[5]
1 1
.names REPORT_DATA.r_data[6] report_data_rd[6]
1 1
.names REPORT_DATA.r_data[7] report_data_rd[7]
1 1
.names $undef temp_output_report[3]
1 1
.names $undef temp_output_report[4]
1 1
.names $undef temp_output_report[5]
1 1
.names $undef temp_output_report[6]
1 1
.names $undef temp_output_report[7]
1 1
.end