You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

4042 lines
265 KiB

# Generated by Yosys 0.8+53 (git sha1 ab97edd, clang 3.8.0-2ubuntu4 -fPIC -Os)
.model top
.inputs CLK SCL SDA COM_RX KBD_COLUMNS[0] KBD_COLUMNS[1] KBD_COLUMNS[2] KBD_COLUMNS[3] KBD_COLUMNS[4] KBD_COLUMNS[5] KBD_COLUMNS[6] KBD_COLUMNS[7] KBD_ROWS[0] KBD_ROWS[1] KBD_ROWS[2] KBD_ROWS[3] KBD_ROWS[4] KBD_ROWS[5] KBD_ROWS[6] KBD_ROWS[7] KBD_ROWS[8] KBD_ROWS[9] KBD_ROWS[10] KBD_ROWS[11] KBD_ROWS[12] KBD_ROWS[13] KBD_ROWS[14] KBD_ROWS[15]
.outputs LED1 LED2 LED3 LED4 LED5 SDA INTERRUPT COM_TX COM_DCD COM_DSR COM_RTS KBD_ROWS[0] KBD_ROWS[1] KBD_ROWS[2] KBD_ROWS[3] KBD_ROWS[4] KBD_ROWS[5] KBD_ROWS[6] KBD_ROWS[7] KBD_ROWS[8] KBD_ROWS[9] KBD_ROWS[10] KBD_ROWS[11] KBD_ROWS[12] KBD_ROWS[13] KBD_ROWS[14] KBD_ROWS[15]
.names $false
.names $true
1
.names $undef
.gate SB_LUT4 I0=$abc$19662$n618 I1=$abc$19662$n512_1 I2=$abc$19662$n647 I3=I2C.FLT_SCL.RESET O=$abc$19662$n1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111100000000000
.gate SB_LUT4 I0=$abc$19662$n513 I1=$abc$19662$n614 I2=$abc$19662$n616 I3=$abc$19662$n617 O=$abc$19662$n512_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$19662$n608_1 I1=$abc$19662$n1014 I2=$abc$19662$n514 I3=$abc$19662$n611 O=$abc$19662$n513
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100101000000000
.gate SB_LUT4 I0=$abc$19662$n524 I1=$22\i2c_input_data_type[3:0][0] I2=$abc$19662$n515 I3=$abc$19662$n607 O=$abc$19662$n514
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100110111000000
.gate SB_LUT4 I0=$abc$19662$n1063 I1=$abc$19662$n588 I2=$abc$19662$n604 I3=$abc$19662$n601_1 O=$abc$19662$n515
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000011110100
.gate SB_LUT4 I0=$abc$19662$n553 I1=$abc$19662$n518 I2=$abc$19662$n548 I3=$false O=$abc$19662$n524
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11010000
.gate SB_LUT4 I0=$abc$19662$n530 I1=$abc$19662$n519 I2=$abc$19662$n541 I3=$abc$19662$n546 O=$abc$19662$n518
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000011101111
.gate SB_LUT4 I0=$abc$19662$n520 I1=$abc$19662$n523 I2=$abc$19662$n525_1 I3=$abc$19662$n528_1 O=$abc$19662$n519
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111010000000000
.gate SB_LUT4 I0=I2C.received_byte[1] I1=$abc$19662$n522 I2=I2C.received_byte[0] I3=$abc$19662$n521_1 O=$abc$19662$n520
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=I2C.received_byte[3] I1=I2C.received_byte[2] I2=$false I3=$false O=$abc$19662$n521_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[5] I2=I2C.received_byte[7] I3=I2C.received_byte[6] O=$abc$19662$n522
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=i2c_input_data_type[1] I1=$abc$19662$n524_1 I2=$false I3=$false O=$abc$19662$n523
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[0] I2=i2c_input_data_type[2] I3=$false O=$abc$19662$n524_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$19662$n527 I1=$abc$19662$n522 I2=$abc$19662$n521_1 I3=$abc$19662$n526 O=$abc$19662$n525_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111111100000000
.gate SB_LUT4 I0=i2c_input_data_type[3] I1=i2c_input_data_type[1] I2=i2c_input_data_type[2] I3=$false O=$abc$19662$n526
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=i2c_input_data_type[0] I1=I2C.received_byte[0] I2=I2C.received_byte[1] I3=$false O=$abc$19662$n527
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10010000
.gate SB_LUT4 I0=I2C_COUNTER[3] I1=I2C_COUNTER[0] I2=$abc$19662$n529_1 I3=$false O=$abc$19662$n528_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=I2C_COUNTER[2] I1=I2C_COUNTER[4] I2=I2C_COUNTER[5] I3=I2C_COUNTER[6] O=$abc$19662$n529_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$19662$n539 I1=$abc$19662$n531_1 I2=$abc$19662$n536 I3=$abc$19662$n534_1 O=$abc$19662$n530
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011100000000
.gate SB_LUT4 I0=I2C_COUNTER[3] I1=$abc$19662$n533 I2=I2C_COUNTER[2] I3=$abc$19662$n532_1 O=$abc$19662$n531_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=I2C_COUNTER[0] I1=I2C_COUNTER[1] I2=$false I3=$false O=$abc$19662$n532_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=I2C_COUNTER[4] I1=I2C_COUNTER[5] I2=I2C_COUNTER[6] I3=$false O=$abc$19662$n533
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=I2C_COUNTER[3] I1=$abc$19662$n535_1 I2=I2C_COUNTER[2] I3=$abc$19662$n533 O=$abc$19662$n534_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=i2c_input_data_type[3] I1=I2C_COUNTER[1] I2=i2c_input_data_type[1] I3=i2c_input_data_type[2] O=$abc$19662$n535_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$19662$n521_1 I1=$abc$19662$n537_1 I2=I2C_COUNTER[0] I3=$abc$19662$n522 O=$abc$19662$n536
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[1] I2=I2C.received_byte[7] I3=I2C.received_byte[6] O=$abc$19662$n537_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=I2C.received_byte[3] I1=$abc$19662$n540 I2=$abc$19662$n522 I3=$false O=$abc$19662$n539
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=I2C.received_byte[0] I1=I2C.received_byte[1] I2=I2C.received_byte[2] I3=$false O=$abc$19662$n540
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$19662$n543_1 I1=$abc$19662$n544 I2=i2c_input_data_type[1] I3=$abc$19662$n542 O=$abc$19662$n541
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000011111000
.gate SB_LUT4 I0=I2C_COUNTER[3] I1=I2C_COUNTER[1] I2=$abc$19662$n529_1 I3=I2C_COUNTER[0] O=$abc$19662$n542
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=I2C_COUNTER[0] I1=I2C_COUNTER[3] I2=$abc$19662$n529_1 I3=I2C_COUNTER[1] O=$abc$19662$n543_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$19662$n545 I1=$abc$19662$n537_1 I2=$abc$19662$n524_1 I3=$abc$19662$n521_1 O=$abc$19662$n544
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[5] I2=$false I3=$false O=$abc$19662$n545
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1001
.gate SB_LUT4 I0=$abc$19662$n547 I1=$abc$19662$n536 I2=i2c_input_data_type[1] I3=$false O=$abc$19662$n546
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=I2C_COUNTER[3] I1=I2C_COUNTER[1] I2=$abc$19662$n529_1 I3=$false O=$abc$19662$n547
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$19662$n549 I1=I2C.received_byte[1] I2=i2c_input_data_type[1] I3=$abc$19662$n551_1 O=$abc$19662$n548
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111011100001111
.gate SB_LUT4 I0=$abc$19662$n1647 I1=$abc$19662$n520 I2=$abc$19662$n550 I3=$abc$19662$n1650 O=$abc$19662$n549
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1101000000000000
.gate SB_LUT4 I0=I2C_COUNTER[3] I1=$abc$19662$n529_1 I2=$abc$19662$n532_1 I3=$false O=$abc$19662$n550
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=I2C.is_read I1=$abc$19662$n552 I2=$false I3=$false O=$abc$19662$n551_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=$false I3=$false O=$abc$19662$n552
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$19662$n550 I1=$abc$19662$n551_1 I2=$false I3=$false O=$abc$19662$n553
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$19662$n556 I1=$abc$19662$n1674 I2=$false I3=$false O=$abc$19662$n555
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$19662$n1679 I1=$abc$19662$n1677 I2=$abc$19662$n1678 I3=$false O=$abc$19662$n556
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$19662$n559 I1=$abc$19662$n558 I2=$abc$19662$n560 I3=$abc$19662$n561 O=$abc$19662$n1679
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111101110000
.gate SB_LUT4 I0=I2C_COUNTER[4] I1=I2C_COUNTER[5] I2=I2C_COUNTER[6] I3=$false O=$abc$19662$n558
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=I2C_COUNTER[0] I1=I2C_COUNTER[2] I2=I2C_COUNTER[3] I3=I2C_COUNTER[1] O=$abc$19662$n559
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=last_wr I1=$abc$19662$n1104 I2=I2C.wr I3=$false O=$abc$19662$n560
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=I2C_COUNTER[6] I3=$false O=$abc$19662$n561
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00001011
.gate SB_LUT4 I0=$abc$19662$n559 I1=$abc$19662$n558 I2=$abc$19662$n563 I3=$abc$19662$n564 O=$abc$19662$n1677
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111101110000
.gate SB_LUT4 I0=last_wr I1=$abc$19662$n1100 I2=I2C.wr I3=$false O=$abc$19662$n563
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=I2C_COUNTER[4] I3=$false O=$abc$19662$n564
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00001011
.gate SB_LUT4 I0=$abc$19662$n559 I1=$abc$19662$n558 I2=$abc$19662$n566 I3=$abc$19662$n567 O=$abc$19662$n1678
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111101110000
.gate SB_LUT4 I0=last_wr I1=$abc$19662$n1102 I2=I2C.wr I3=$false O=$abc$19662$n566
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=I2C_COUNTER[5] I3=$false O=$abc$19662$n567
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00001011
.gate SB_LUT4 I0=$abc$19662$n569 I1=$abc$19662$n552 I2=I2C_COUNTER[0] I3=I2C_COUNTER[1] O=$abc$19662$n1674
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000000110111
.gate SB_LUT4 I0=$abc$19662$n558 I1=$abc$19662$n559 I2=$false I3=$false O=$abc$19662$n569
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$19662$n569 I1=$abc$19662$n1092 I2=I2C_COUNTER[0] I3=$abc$19662$n552 O=$abc$19662$n570
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1110111011110000
.gate SB_LUT4 I0=$abc$19662$n559 I1=$abc$19662$n558 I2=$abc$19662$n572 I3=$abc$19662$n573 O=$abc$19662$n1676
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111101110000
.gate SB_LUT4 I0=last_wr I1=$abc$19662$n1098 I2=I2C.wr I3=$false O=$abc$19662$n572
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=I2C_COUNTER[3] I3=$false O=$abc$19662$n573
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00001011
.gate SB_LUT4 I0=$abc$19662$n559 I1=$abc$19662$n558 I2=$abc$19662$n575 I3=$abc$19662$n576 O=$abc$19662$n1675
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111101110000
.gate SB_LUT4 I0=last_wr I1=$abc$19662$n1096 I2=I2C.wr I3=$false O=$abc$19662$n575
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=I2C_COUNTER[2] I3=$false O=$abc$19662$n576
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00001011
.gate SB_LUT4 I0=$abc$19662$n549 I1=I2C.received_byte[0] I2=$false I3=$false O=$abc$19662$n578
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C.received_byte[4] I1=$abc$19662$n581 I2=$abc$19662$n543_1 I3=$abc$19662$n523 O=$abc$19662$n580_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1011000000000000
.gate SB_LUT4 I0=$abc$19662$n521_1 I1=$abc$19662$n537_1 I2=$false I3=$false O=$abc$19662$n581
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$19662$n584 I1=i2c_input_data_type[0] I2=$false I3=$false O=$abc$19662$n583_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$19662$n536 I1=$abc$19662$n547 I2=$abc$19662$n551_1 I3=$false O=$abc$19662$n584
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$19662$n1675 I1=$abc$19662$n1676 I2=$false I3=$false O=$abc$19662$n587
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$19662$n589 I1=$abc$19662$n525 I2=$abc$19662$n1681 I3=$abc$19662$n521 O=$abc$19662$n588
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011100000000
.gate SB_LUT4 I0=$abc$19662$n518 I1=$abc$19662$n548 I2=$abc$19662$n590 I3=$false O=$abc$19662$n589
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=$abc$19662$n1674 I1=$abc$19662$n570 I2=$abc$19662$n556 I3=$abc$19662$n587 O=$abc$19662$n590
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$19662$n519 I1=$abc$19662$n536 I2=$abc$19662$n530 I3=$abc$19662$n594_1 O=$abc$19662$n592
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111101011110011
.gate SB_LUT4 I0=$abc$19662$n543_1 I1=$abc$19662$n523 I2=$abc$19662$n595 I3=$abc$19662$n542 O=$abc$19662$n594_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000001111111
.gate SB_LUT4 I0=I2C.received_byte[5] I1=I2C.received_byte[4] I2=$abc$19662$n521_1 I3=$abc$19662$n537_1 O=$abc$19662$n595
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000111111111111
.gate SB_LUT4 I0=$abc$19662$n549 I1=I2C.received_byte[3] I2=i2c_input_data_type[3] I3=$abc$19662$n584 O=$abc$19662$n521
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111011100001111
.gate SB_LUT4 I0=$abc$19662$n1681 I1=$abc$19662$n525 I2=$abc$19662$n521 I3=$abc$19662$n602 O=$abc$19662$n601_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=$abc$19662$n553 I1=$abc$19662$n518 I2=$abc$19662$n603 I3=$abc$19662$n548 O=$abc$19662$n602
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1101000000000000
.gate SB_LUT4 I0=$abc$19662$n556 I1=$abc$19662$n1674 I2=$abc$19662$n570 I3=$abc$19662$n587 O=$abc$19662$n603
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$abc$19662$n605 I1=$abc$19662$n1676 I2=$abc$19662$n1675 I3=$abc$19662$n1644 O=$abc$19662$n604
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111111100000000
.gate SB_LUT4 I0=$abc$19662$n1674 I1=$abc$19662$n570 I2=$abc$19662$n556 I3=$false O=$abc$19662$n605
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$19662$n588 I1=$abc$19662$n1063 I2=$abc$19662$n604 I3=$abc$19662$n525 O=$22\i2c_input_data_type[3:0][0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000001101
.gate SB_LUT4 I0=$abc$19662$n1681 I1=$abc$19662$n521 I2=$false I3=$false O=$abc$19662$n607
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$19662$n610 I1=$abc$19662$n609 I2=I2C_HID_DESC.DESC_TYPE I3=$false O=$abc$19662$n608_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=$abc$19662$n588 I1=$abc$19662$n1063 I2=$abc$19662$n604 I3=$false O=$abc$19662$n609
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00001101
.gate SB_LUT4 I0=$abc$19662$n1681 I1=$abc$19662$n525 I2=$abc$19662$n521 I3=$false O=$abc$19662$n610
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=I2C.is_read I1=$abc$19662$n612 I2=$false I3=$false O=$abc$19662$n611
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=$false I3=$false O=$abc$19662$n612
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=I2C.i2c_state_machine I1=I2C.i2c_start_latency I2=$false I3=$false O=I2C_TRANS
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$19662$n615 I1=$abc$19662$n1016 I2=$abc$19662$n514 I3=$abc$19662$n611 O=$abc$19662$n614
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100101000000000
.gate SB_LUT4 I0=$abc$19662$n610 I1=$abc$19662$n609 I2=I2C_OUTPUT_TYPE[2] I3=$false O=$abc$19662$n615
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=$abc$19662$n612 I1=I2C_HID_DESC.DESC_TYPE I2=$false I3=$false O=$abc$19662$n616
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$19662$n612 I1=I2C_OUTPUT_TYPE[2] I2=$false I3=$false O=$abc$19662$n617
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=$abc$19662$n619 I2=$abc$19662$n612 I3=$abc$19662$n622 O=$abc$19662$n618
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0011101000000000
.gate SB_LUT4 I0=$abc$19662$n620 I1=$abc$19662$n677 I2=I2C.is_read I3=$abc$19662$n514 O=$abc$19662$n619
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000110000001010
.gate SB_LUT4 I0=$abc$19662$n610 I1=$abc$19662$n609 I2=I2C_OUTPUT_TYPE[1] I3=$false O=$abc$19662$n620
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000111
.gate SB_LUT4 I0=$abc$19662$n623 I1=$abc$19662$n638 I2=$abc$19662$n639 I3=$abc$19662$n643_1 O=$abc$19662$n622
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111111100000000
.gate SB_LUT4 I0=$abc$19662$n1116 I1=ring_rd[3] I2=$abc$19662$n624 I3=$2\ring_wr[3:0][3] O=$abc$19662$n623
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1010110001010011
.gate SB_LUT4 I0=$abc$19662$n625 I1=$abc$19662$n641 I2=$false I3=$false O=$abc$19662$n624
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$2\ring_wr[3:0][3] I1=ring_rd[3] I2=$abc$19662$n626 I3=$abc$19662$n632 O=$abc$19662$n625
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000000000
.gate SB_LUT4 I0=$2\ring_wr[3:0][1] I1=ring_rd[1] I2=$false I3=$false O=$abc$19662$n626
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1001
.gate SB_LUT4 I0=$abc$19662$n628 I1=ring_wr[0] I2=ring_wr[1] I3=$false O=$2\ring_wr[3:0][1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01111000
.gate SB_LUT4 I0=$abc$19662$n631 I1=$abc$19662$n629 I2=KEYBOARD.isr I3=last_isr O=$abc$19662$n628
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011100000000
.gate SB_LUT4 I0=$abc$19662$n345 I1=ring_rd[2] I2=$abc$19662$n1240 I3=$abc$19662$n630 O=$abc$19662$n629
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000100000000
.gate SB_LUT4 I0=ring_rd[0] I1=$abc$19662$n1234 I2=ring_rd[3] I3=$abc$19662$n1243 O=$abc$19662$n630
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=ring_rd[1] I1=ring_wr[0] I2=ring_wr[1] I3=$false O=$abc$19662$n631
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01101001
.gate SB_LUT4 I0=$2\ring_wr[3:0][2] I1=ring_rd[2] I2=ring_rd[0] I3=$2\ring_wr[3:0][0] O=$abc$19662$n632
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=ring_wr[2] I1=$abc$19662$n1240 I2=$abc$19662$n628 I3=$false O=$2\ring_wr[3:0][2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=ring_wr[0] I1=$abc$19662$n1234 I2=$abc$19662$n628 I3=$false O=$2\ring_wr[3:0][0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=ring_wr[3] I1=$abc$19662$n1243 I2=$abc$19662$n628 I3=$false O=$2\ring_wr[3:0][3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=I2C_HID_DESC.DESC_TYPE I1=I2C_OUTPUT_TYPE[2] I2=I2C_OUTPUT_TYPE[1] I3=$false O=$abc$19662$n637
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$19662$n1107 I1=ring_rd[0] I2=$abc$19662$n624 I3=$2\ring_wr[3:0][0] O=$abc$19662$n638
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1010110001010011
.gate SB_LUT4 I0=$2\ring_rd[3:0][2] I1=$2\ring_wr[3:0][2] I2=$abc$19662$n642 I3=$abc$19662$n626 O=$abc$19662$n639
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000100110010000
.gate SB_LUT4 I0=$abc$19662$n1113 I1=ring_rd[2] I2=$abc$19662$n625 I3=$abc$19662$n641 O=$2\ring_rd[3:0][2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100101011001100
.gate SB_LUT4 I0=$abc$19662$n550 I1=$abc$19662$n637 I2=$abc$19662$n552 I3=I2C.is_read O=$abc$19662$n641
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$abc$19662$n625 I1=$abc$19662$n641 I2=ring_rd[0] I3=$false O=$abc$19662$n642
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=I2C_TRANS I1=$3\int_tmr[14:0][14] I2=$false I3=$false O=$abc$19662$n643_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$19662$n645 I1=int_tmr[14] I2=$false I3=$false O=$3\int_tmr[14:0][14]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$19662$n1649 I1=$abc$19662$n637 I2=$abc$19662$n646 I3=$false O=$abc$19662$n645
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$19662$n612 I1=I2C.is_read I2=$false I3=$false O=$abc$19662$n646
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$19662$n645 I1=LED1 I2=$false I3=$false O=$abc$19662$n647
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=rststate[3] I1=rststate[2] I2=rststate[1] I3=rststate[0] O=I2C.FLT_SCL.RESET
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$abc$19662$n1420 I1=$abc$19662$n655 I2=$abc$19662$n650_1 I3=UART.tx_activity O=$abc$19662$n7
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000111111111
.gate SB_LUT4 I0=$abc$19662$n654 I1=$abc$19662$n651 I2=$abc$19662$n1584 I3=$abc$19662$n1570 O=$abc$19662$n650_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000101000000011
.gate SB_LUT4 I0=UART_TX_DATA[2] I1=UART_TX_DATA[0] I2=$abc$19662$n652 I3=$false O=$abc$19662$n651
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=$abc$19662$n1624 I1=$abc$19662$n1625 I2=$false I3=$false O=$abc$19662$n652
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0110
.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=$false I3=$false O=$abc$19662$n1624
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0110
.gate SB_LUT4 I0=UART_TX_DATA[6] I1=UART_TX_DATA[4] I2=$abc$19662$n652 I3=$false O=$abc$19662$n654
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$19662$n657 I1=$abc$19662$n656 I2=$abc$19662$n1570 I3=$abc$19662$n1584 O=$abc$19662$n655
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100010100000000
.gate SB_LUT4 I0=UART_TX_DATA[7] I1=UART_TX_DATA[5] I2=$abc$19662$n652 I3=$false O=$abc$19662$n656
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=UART_TX_DATA[3] I1=UART_TX_DATA[1] I2=$abc$19662$n652 I3=$false O=$abc$19662$n657
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=IS_RAM_INIT I2=$false I3=$false O=$abc$19662$n25
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0111
.gate SB_LUT4 I0=$abc$19662$n661 I1=$abc$19662$n660 I2=$abc$19662$n25 I3=$false O=$abc$19662$n26
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=$abc$19662$n612 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$19662$n660
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$19662$n36 I1=I2C.wr I2=last_wr I3=$false O=$abc$19662$n661
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000001
.gate SB_LUT4 I0=last_trans I1=I2C_TRANS I2=$false I3=$false O=$abc$19662$n36
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=IS_RAM_INIT I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$19662$n30
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$abc$19662$n36 I3=$abc$19662$n30 O=$abc$19662$n31
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111010000000000
.gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$abc$19662$n30 I3=$abc$19662$n666 O=$abc$19662$n45
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100111111111111
.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=wr_cnt[2] I3=wr_cnt[3] O=$abc$19662$n666
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$19662$n670 I1=$abc$19662$n25 I2=$abc$19662$n668 I3=$false O=$abc$19662$n59
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00001110
.gate SB_LUT4 I0=KEYBOARD.isr I1=last_isr I2=$abc$19662$n669 I3=$abc$19662$n30 O=$abc$19662$n668
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000101100000000
.gate SB_LUT4 I0=wr_cnt[2] I1=wr_cnt[1] I2=wr_cnt[0] I3=wr_cnt[3] O=$abc$19662$n669
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=wr_cnt[0] I1=wr_cnt[1] I2=$abc$19662$n671 I3=$false O=$abc$19662$n670
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10010000
.gate SB_LUT4 I0=$abc$19662$n733 I1=$abc$19662$n736 I2=$abc$19662$n737 I3=$false O=$abc$19662$n671
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=$abc$19662$n660 I1=$abc$19662$n25 I2=$false I3=$false O=$abc$19662$n63
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$19662$n646 I2=$abc$19662$n674 I3=I2C.FLT_SCL.RESET O=$abc$19662$n90
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000011111111
.gate SB_LUT4 I0=$abc$19662$n677 I1=$abc$19662$n675_1 I2=I2C.FLT_SCL.RESET I3=$abc$19662$n660 O=$abc$19662$n674
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000001001111
.gate SB_LUT4 I0=$22\i2c_input_data_type[3:0][2] I1=$abc$19662$n521 I2=$false I3=$false O=$abc$19662$n675_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$19662$n515 I1=$abc$19662$n1681 I2=$false I3=$false O=$22\i2c_input_data_type[3:0][2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$22\i2c_input_data_type[3:0][0] I1=$abc$19662$n524 I2=$false I3=$false O=$abc$19662$n677
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1001
.gate SB_LUT4 I0=$abc$19662$n580 I1=$abc$19662$n689 I2=$abc$19662$n681_1 I3=$false O=$abc$19662$n103
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$19662$n582 I1=$abc$19662$n682 I2=$false I3=$false O=$abc$19662$n681_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$19662$n113 I1=$abc$19662$n687 I2=$abc$19662$n688 I3=$abc$19662$n683 O=$abc$19662$n682
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=$abc$19662$n684 I1=$abc$19662$n686 I2=$false I3=$false O=$abc$19662$n683
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$19662$n1302 I1=I2C.is_read I2=$false I3=$false O=$abc$19662$n684
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$19662$n10 I2=I2C.FLT_SCL.out I3=$false O=$abc$19662$n1302
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11101111
.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$19662$n10 I2=I2C.FLT_SCL.out I3=I2C.i2c_state_machine O=$abc$19662$n686
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111111100010000
.gate SB_LUT4 I0=$abc$19662$n583 I1=$abc$19662$n594 I2=I2C.FLT_SCL.RESET I3=$abc$19662$n1604 O=$abc$19662$n687
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$abc$19662$n12 I2=$false I3=$false O=$abc$19662$n688
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C.i2c_bit_counter[0] I1=I2C.i2c_bit_counter[1] I2=$abc$19662$n1302 I3=$false O=$abc$19662$n689
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01100000
.gate SB_LUT4 I0=$abc$19662$n681_1 I1=$abc$19662$n689 I2=$abc$19662$n580 I3=$false O=$abc$19662$n110
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$19662$n689 I1=$abc$19662$n580 I2=$abc$19662$n681_1 I3=$false O=$abc$19662$n127
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$19662$n689 I1=$abc$19662$n681_1 I2=$abc$19662$n580 I3=$false O=$abc$19662$n133
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$19662$n580 I1=$abc$19662$n689 I2=$abc$19662$n694 I3=$false O=$abc$19662$n139
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$19662$n682 I1=$abc$19662$n582 I2=$false I3=$false O=$abc$19662$n694
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$19662$n694 I1=$abc$19662$n689 I2=$abc$19662$n580 I3=$false O=$abc$19662$n145
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$19662$n689 I1=$abc$19662$n580 I2=$abc$19662$n694 I3=$false O=$abc$19662$n151
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$19662$n689 I1=$abc$19662$n694 I2=$abc$19662$n580 I3=$false O=$abc$19662$n160
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=I2C.i2c_start_latency I1=I2C.i2c_state_machine I2=$abc$19662$n1302 I3=I2C.FLT_SCL.RESET O=$abc$19662$n165
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100111100000000
.gate SB_LUT4 I0=$abc$19662$n700 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$19662$n179
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=UART.TX_sig_last I1=UART_WR I2=UART.tx_activity I3=$false O=$abc$19662$n700
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00001011
.gate SB_LUT4 I0=UART.tx_activity I1=$abc$19662$n702_1 I2=$abc$19662$n704 I3=$abc$19662$n179 O=$abc$19662$n180
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000110100000000
.gate SB_LUT4 I0=$abc$19662$n1416 I1=$abc$19662$n759 I2=$abc$19662$n762 I3=$abc$19662$n763 O=$abc$19662$n702_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=UART.tx_clk_counter[1] I1=UART.tx_clk_counter[0] I2=$false I3=$false O=$abc$19662$n1416
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1001
.gate SB_LUT4 I0=UART.tx_bit_counter[3] I1=$abc$19662$n705_1 I2=$false I3=$false O=$abc$19662$n704
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=UART.tx_bit_counter[2] I3=UART.tx_activity O=$abc$19662$n705_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$abc$19662$n711_1 I1=$abc$19662$n723_1 I2=$false I3=$false O=$abc$19662$n710
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$19662$n722_1 I1=$abc$19662$n719_1 I2=$abc$19662$n712 I3=$false O=$abc$19662$n711_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$19662$n713 I1=KEYBOARD.IS_RAM_INIT I2=$false I3=$false O=$abc$19662$n712
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$19662$n714 I1=$abc$19662$n717_1 I2=$false I3=$false O=$abc$19662$n713
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$19662$n793 I1=$abc$19662$n716 I2=$false I3=$false O=$abc$19662$n714
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=KEYBOARD.row_time[1] I1=KEYBOARD.row_time[0] I2=$false I3=$false O=$abc$19662$n793
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0110
.gate SB_LUT4 I0=$abc$19662$n792 I1=$abc$19662$n795 I2=$abc$19662$n796 I3=$abc$19662$n799 O=$abc$19662$n716
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$19662$n718 I1=$abc$19662$n803 I2=$abc$19662$n807 I3=$abc$19662$n810 O=$abc$19662$n717_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$abc$19662$n804 I1=$abc$19662$n806 I2=$abc$19662$n809 I3=$abc$19662$n814 O=$abc$19662$n718
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$abc$19662$n720_1 I1=IS_RAM_INIT I2=KEYBOARD.report_wr_en I3=$false O=$abc$19662$n719_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[4] I2=$abc$19662$n721 I3=$false O=$abc$19662$n720_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[5] I1=KEYBOARD.kbd_code_hid[6] I2=KEYBOARD.kbd_code_hid[7] I3=$false O=$abc$19662$n721
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=KEYBOARD.report_adress_rd[0] I1=KEYBOARD.report_adress_rd[2] I2=KEYBOARD.report_adress_rd[1] I3=$false O=$abc$19662$n722_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$19662$n724_1 I1=$abc$19662$n725 I2=$abc$19662$n726_1 I3=$abc$19662$n727 O=$abc$19662$n723_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[0] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.REPORT.r_data[2] I3=KEYBOARD.kbd_code_hid[2] O=$abc$19662$n724_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[5] I1=KEYBOARD.kbd_code_hid[5] I2=KEYBOARD.REPORT.r_data[6] I3=KEYBOARD.kbd_code_hid[6] O=$abc$19662$n725
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[4] I1=KEYBOARD.REPORT.r_data[4] I2=KEYBOARD.REPORT.r_data[7] I3=KEYBOARD.kbd_code_hid[7] O=$abc$19662$n726_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.REPORT.r_data[3] I2=KEYBOARD.REPORT.r_data[1] I3=KEYBOARD.kbd_code_hid[1] O=$abc$19662$n727
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=$abc$19662$n729 I1=KEYBOARD.isr_internal I2=$false I3=$false O=$abc$19662$n728
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[6] I1=$abc$19662$n731 I2=$abc$19662$n730 I3=$false O=$abc$19662$n729
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$19662$n730
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[3] I1=KEYBOARD.kbd_code_hid[4] I2=KEYBOARD.kbd_code_hid[5] I3=KEYBOARD.kbd_code_hid[7] O=$abc$19662$n731
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$19662$n720_1 I1=$abc$19662$n738 I2=$abc$19662$n733_1 I3=$false O=$abc$19662$n732
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=KEYBOARD.report_wr_en I1=$abc$19662$n734 I2=$abc$19662$n736_1 I3=$false O=$abc$19662$n733_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000111
.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19662$n30 I2=$abc$19662$n735 I3=$false O=$abc$19662$n734
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$19662$n713 I1=KEYBOARD.isr_internal I2=$false I3=$false O=$abc$19662$n735
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$19662$n712 I1=$abc$19662$n737_1 I2=$abc$19662$n728 I3=$false O=$abc$19662$n736_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$19662$n719_1 I1=I2C.FLT_SCL.RESET I2=$abc$19662$n722_1 I3=KEYBOARD.report_adress_rd[3] O=$abc$19662$n737_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=KEYBOARD.report_wr_en I1=$abc$19662$n728 I2=$abc$19662$n30 I3=$abc$19662$n712 O=$abc$19662$n738
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=$abc$19662$n729 I1=$abc$19662$n741 I2=$abc$19662$n740 I3=$false O=$abc$19662$n739
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000111
.gate SB_LUT4 I0=$abc$19662$n710 I1=$abc$19662$n728 I2=I2C.FLT_SCL.RESET I3=KEYBOARD.is_pressed O=$abc$19662$n740
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=KEYBOARD.report_wr_en I1=$abc$19662$n734 I2=$false I3=$false O=$abc$19662$n741
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$19662$n723_1 I1=$abc$19662$n711_1 I2=$false I3=$false O=$abc$19662$n743
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$19662$n745 I1=$abc$19662$n746 I2=$false I3=$false O=$abc$19662$n744
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[4] I1=KEYBOARD.REPORT.r_data[5] I2=KEYBOARD.REPORT.r_data[6] I3=KEYBOARD.REPORT.r_data[7] O=$abc$19662$n745
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[0] I1=KEYBOARD.REPORT.r_data[1] I2=KEYBOARD.REPORT.r_data[2] I3=KEYBOARD.REPORT.r_data[3] O=$abc$19662$n746
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$19662$n748 I1=$abc$19662$n248 I2=$false I3=$false O=$abc$19662$n747_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$19662$n749 I1=$abc$19662$n1075_1 I2=$false I3=$false O=$abc$19662$n748
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1001
.gate SB_LUT4 I0=$abc$19662$n767 I1=$abc$19662$n917 I2=$abc$19662$n750 I3=$abc$19662$n761 O=$abc$19662$n749
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111000000000000
.gate SB_LUT4 I0=$abc$19662$n760 I1=$abc$19662$n758 I2=$abc$19662$n751 I3=$false O=$abc$19662$n750
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$19662$n757_1 I1=$abc$19662$n919 I2=$abc$19662$n752 I3=$false O=$abc$19662$n751
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000111
.gate SB_LUT4 I0=$abc$19662$n913 I1=$abc$19662$n755 I2=$false I3=$false O=$abc$19662$n752
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[1] I1=KBD_COLUMNS[1] I2=$abc$19662$n754_1 I3=$false O=$abc$19662$n913
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$19662$n643 I1=$abc$19662$n649 I2=$abc$19662$n650 I3=$false O=$abc$19662$n754_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=$abc$19662$n643 I1=$abc$19662$n650 I2=$abc$19662$n649 I3=$false O=$abc$19662$n755
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[3] I1=KBD_COLUMNS[3] I2=$abc$19662$n754_1 I3=$false O=$abc$19662$n919
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$19662$n643 I1=$abc$19662$n649 I2=$abc$19662$n650 I3=$false O=$abc$19662$n757_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$19662$n759_1 I1=KEYBOARD.COLS_SHADOW[7] I2=$abc$19662$n754_1 I3=KBD_COLUMNS[0] O=$abc$19662$n758
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=$abc$19662$n643 I1=$abc$19662$n649 I2=$abc$19662$n650 I3=$false O=$abc$19662$n759_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$19662$n649 I1=$abc$19662$n650 I2=$abc$19662$n643 I3=KEYBOARD.COLS_SHADOW[4] O=$abc$19662$n760
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$19662$n914 I1=$abc$19662$n765_1 I2=$abc$19662$n916 I3=$abc$19662$n764 O=$abc$19662$n761
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[2] I1=KBD_COLUMNS[2] I2=$abc$19662$n754_1 I3=$false O=$abc$19662$n916
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[5] I1=KBD_COLUMNS[5] I2=$abc$19662$n754_1 I3=$false O=$abc$19662$n914
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$19662$n643 I1=$abc$19662$n649 I2=$abc$19662$n650 I3=$false O=$abc$19662$n764
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$19662$n650 I1=$abc$19662$n649 I2=$abc$19662$n643 I3=$false O=$abc$19662$n765_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[6] I1=KBD_COLUMNS[6] I2=$abc$19662$n754_1 I3=$false O=$abc$19662$n917
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$19662$n649 I1=$abc$19662$n643 I2=$abc$19662$n650 I3=$false O=$abc$19662$n767
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=KEYBOARD.temp[2] I1=KEYBOARD.RAM.r_data[2] I2=$abc$19662$n754_1 I3=$false O=$abc$19662$n770
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=KEYBOARD.temp[5] I1=$abc$19662$n765_1 I2=$abc$19662$n759_1 I3=KEYBOARD.temp[7] O=$abc$19662$n772_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=$abc$19662$n767 I1=KEYBOARD.temp[6] I2=$abc$19662$n754_1 I3=KEYBOARD.RAM.r_data[0] O=$abc$19662$n773
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=KEYBOARD.temp[3] I1=KEYBOARD.RAM.r_data[3] I2=$abc$19662$n754_1 I3=$false O=$abc$19662$n776
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19662$n30 I2=$abc$19662$n713 I3=$false O=$abc$19662$n248
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$19662$n779_1 I1=KEYBOARD.IS_RAM_INIT I2=IS_RAM_INIT I3=I2C.FLT_SCL.RESET O=$abc$19662$n240
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000111011111111
.gate SB_LUT4 I0=$abc$19662$n714 I1=$abc$19662$n780_1 I2=$abc$19662$n754_1 I3=$false O=$abc$19662$n779_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$19662$n809 I1=$abc$19662$n810 I2=$abc$19662$n814 I3=$abc$19662$n781 O=$abc$19662$n780_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$abc$19662$n803 I1=$abc$19662$n804 I2=$abc$19662$n806 I3=$abc$19662$n807 O=$abc$19662$n781
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=IS_RAM_INIT I1=KEYBOARD.IS_RAM_INIT I2=I2C.FLT_SCL.RESET I3=$false O=$abc$19662$n243
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00011111
.gate SB_LUT4 I0=$abc$19662$n748 I1=$abc$19662$n248 I2=$false I3=$false O=$abc$19662$n245
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19662$n30 I2=$abc$19662$n779_1 I3=$false O=$abc$19662$n250
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$19662$n747_1 I1=$abc$19662$n243 I2=$false I3=$false O=$abc$19662$n255
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$19662$n741 I1=$abc$19662$n262 I2=$abc$19662$n25 I3=$false O=$abc$19662$n260
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$19662$n712 I1=$abc$19662$n30 I2=$false I3=$false O=$abc$19662$n262
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=KEYBOARD.report_adress_rd[3] I1=$abc$19662$n722_1 I2=$false I3=$false O=$abc$19662$n793_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$19662$n735 I1=KEYBOARD.IS_RAM_INIT I2=IS_RAM_INIT I3=I2C.FLT_SCL.RESET O=$abc$19662$n309
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000111011111111
.gate SB_LUT4 I0=$abc$19662$n1562 I1=$abc$19662$n829 I2=$abc$19662$n798 I3=$false O=$abc$19662$n319
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$19662$n797 I1=$abc$19662$n826 I2=$false I3=$false O=$abc$19662$n1562
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=I2C.FLT_SDA.counter[2] I3=$false O=$abc$19662$n797
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=$abc$19662$n1563 I1=I2C.FLT_SDA.out I2=I2C.SDAF I3=$false O=$abc$19662$n798
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010100
.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=$false I3=$false O=$abc$19662$n1563
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1001
.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=I2C.SDAF I2=$abc$19662$n797 I3=$false O=$abc$19662$n320
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01101111
.gate SB_LUT4 I0=$abc$19662$n1565 I1=$abc$19662$n804_1 I2=$false I3=$false O=$abc$19662$n330
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$19662$n803_1 I1=$abc$19662$n830 I2=$false I3=$false O=$abc$19662$n1565
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$false O=$abc$19662$n803_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=$abc$19662$n1566 I1=$abc$19662$n833 I2=I2C.FLT_SCL.out I3=I2C.SCLF O=$abc$19662$n804_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100010000
.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=$false I3=$false O=$abc$19662$n1566
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1001
.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.SCLF I2=$abc$19662$n803_1 I3=$false O=$abc$19662$n331
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01101111
.gate SB_LUT4 I0=$abc$19662$n524 I1=$abc$19662$n609 I2=$false I3=$false O=$22\i2c_input_data_type[3:0][1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$19662$n513 I1=$abc$19662$n616 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=$abc$19662$n614 I1=$abc$19662$n617 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=$abc$19662$n1075_1 I1=$abc$19662$n749 I2=$false I3=$false O=$abc$19662$n909
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[4] I1=KBD_COLUMNS[4] I2=$abc$19662$n754_1 I3=$false O=$abc$19662$n911
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[7] I1=KBD_COLUMNS[7] I2=$abc$19662$n754_1 I3=$false O=$abc$19662$n920
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$19662$n645 I1=int_tmr[0] I2=$false I3=$false O=$3\int_tmr[14:0][0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$19662$n929 I1=int_tmr[0] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$19662$n645 I1=int_tmr[1] I2=$false I3=$false O=$3\int_tmr[14:0][1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=int_tmr[14] I1=int_tmr[0] I2=$abc$19662$n645 I3=int_tmr[1] O=$2\int_tmr[14:0][1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000101100000100
.gate SB_LUT4 I0=$abc$19662$n645 I1=int_tmr[2] I2=$false I3=$false O=$3\int_tmr[14:0][2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$19662$n936 I1=int_tmr[2] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$19662$n645 I1=int_tmr[3] I2=$false I3=$false O=$3\int_tmr[14:0][3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$19662$n939 I1=int_tmr[3] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$19662$n645 I1=int_tmr[4] I2=$false I3=$false O=$3\int_tmr[14:0][4]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$19662$n942 I1=int_tmr[4] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][4]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$19662$n645 I1=int_tmr[5] I2=$false I3=$false O=$3\int_tmr[14:0][5]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$19662$n945 I1=int_tmr[5] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][5]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$19662$n645 I1=int_tmr[6] I2=$false I3=$false O=$3\int_tmr[14:0][6]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$19662$n948 I1=int_tmr[6] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][6]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$19662$n645 I1=int_tmr[7] I2=$false I3=$false O=$3\int_tmr[14:0][7]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$19662$n951 I1=int_tmr[7] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][7]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$19662$n645 I1=int_tmr[8] I2=$false I3=$false O=$3\int_tmr[14:0][8]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$19662$n954 I1=int_tmr[8] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][8]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$19662$n645 I1=int_tmr[9] I2=$false I3=$false O=$3\int_tmr[14:0][9]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$19662$n957 I1=int_tmr[9] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][9]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$19662$n645 I1=int_tmr[10] I2=$false I3=$false O=$3\int_tmr[14:0][10]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$19662$n960 I1=int_tmr[10] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][10]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$19662$n645 I1=int_tmr[11] I2=$false I3=$false O=$3\int_tmr[14:0][11]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$19662$n963 I1=int_tmr[11] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][11]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$19662$n645 I1=int_tmr[12] I2=$false I3=$false O=$3\int_tmr[14:0][12]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$19662$n966 I1=int_tmr[12] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][12]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$19662$n645 I1=int_tmr[13] I2=$false I3=$false O=$3\int_tmr[14:0][13]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$19662$n969 I1=int_tmr[13] I2=$3\int_tmr[14:0][14] I3=$false O=$2\int_tmr[14:0][13]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$3\int_tmr[14:0][14] I1=$abc$19662$n972 I2=$false I3=$false O=$2\int_tmr[14:0][14]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=$abc$19662$n615 I1=$abc$19662$n1016 I2=$abc$19662$n514 I3=$abc$19662$n619 O=$2\I2C_OUT_DESC_MASK[7:0][7]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0011010100000000
.gate SB_LUT4 I0=$abc$19662$n646 I1=$abc$19662$n845 I2=$abc$19662$n525 I3=$false O=$20\i2c_input_data_type[3:0][0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00001110
.gate SB_LUT4 I0=$abc$19662$n609 I1=$abc$19662$n612 I2=$false I3=$false O=$abc$19662$n845
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1011
.gate SB_LUT4 I0=$abc$19662$n646 I1=$abc$19662$n845 I2=$abc$19662$n524 I3=$false O=$20\i2c_input_data_type[3:0][1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00001110
.gate SB_LUT4 I0=$abc$19662$n646 I1=$abc$19662$n848 I2=$abc$19662$n1681 I3=$false O=$20\i2c_input_data_type[3:0][2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00001110
.gate SB_LUT4 I0=$abc$19662$n515 I1=$abc$19662$n612 I2=$false I3=$false O=$abc$19662$n848
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0111
.gate SB_LUT4 I0=$abc$19662$n646 I1=$abc$19662$n848 I2=$abc$19662$n521 I3=$false O=$20\i2c_input_data_type[3:0][3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00001110
.gate SB_LUT4 I0=$abc$19662$n36 I1=$abc$19662$n570 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$19662$n1674 I1=$abc$19662$n36 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$19662$n1675 I1=$abc$19662$n36 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$19662$n1676 I1=$abc$19662$n36 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$19662$n1677 I1=$abc$19662$n36 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][4]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$19662$n1678 I1=$abc$19662$n36 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][5]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$19662$n1679 I1=$abc$19662$n36 I2=$false I3=$false O=$2\I2C_COUNTER[6:0][6]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=I2C_TRANS I1=$abc$19662$n552 I2=last_trans I3=$false O=$2\UART_WR[0:0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00101011
.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=$abc$19662$n619 I2=$abc$19662$n612 I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$19662$n860_1 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=REPORT_DATA.rdata[0] I1=I2C_HID_DESC.VAL[0] I2=I2C_OUT_DESC_MASK[0] I3=$false O=$abc$19662$n860_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=I2C.received_byte[1] I1=$abc$19662$n862 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=REPORT_DATA.rdata[1] I1=I2C_HID_DESC.VAL[1] I2=I2C_OUT_DESC_MASK[1] I3=$false O=$abc$19662$n862
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=I2C.received_byte[2] I1=$abc$19662$n864 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=REPORT_DATA.rdata[2] I1=I2C_HID_DESC.VAL[2] I2=I2C_OUT_DESC_MASK[2] I3=$false O=$abc$19662$n864
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=I2C.received_byte[3] I1=$abc$19662$n866 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=REPORT_DATA.rdata[3] I1=I2C_HID_DESC.VAL[3] I2=I2C_OUT_DESC_MASK[3] I3=$false O=$abc$19662$n866
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=I2C.received_byte[4] I1=$abc$19662$n868 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][4]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=REPORT_DATA.rdata[4] I1=I2C_HID_DESC.VAL[4] I2=I2C_OUT_DESC_MASK[4] I3=$false O=$abc$19662$n868
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=I2C.received_byte[5] I1=$abc$19662$n870 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][5]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=REPORT_DATA.rdata[5] I1=I2C_HID_DESC.VAL[5] I2=I2C_OUT_DESC_MASK[5] I3=$false O=$abc$19662$n870
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=I2C.received_byte[6] I1=$abc$19662$n872 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][6]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=REPORT_DATA.rdata[6] I1=I2C_HID_DESC.VAL[6] I2=I2C_OUT_DESC_MASK[6] I3=$false O=$abc$19662$n872
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=I2C.received_byte[7] I1=$abc$19662$n874 I2=I2C.is_read I3=$false O=$3\UART_TX_DATA[7:0][7]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=REPORT_DATA.rdata[7] I1=I2C_HID_DESC.VAL[7] I2=I2C_OUT_DESC_MASK[7] I3=$false O=$abc$19662$n874
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=temp_output_report[0] I1=I2C.received_byte[0] I2=$abc$19662$n876_1 I3=$false O=$0\temp_output_report[2:0][0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$19662$n878 I1=$abc$19662$n877_1 I2=i2c_input_data_type[0] I3=$abc$19662$n551_1 O=$abc$19662$n876_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1010110000000000
.gate SB_LUT4 I0=i2c_input_data_type[1] I1=i2c_input_data_type[3] I2=$abc$19662$n531_1 I3=i2c_input_data_type[2] O=$abc$19662$n877_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$19662$n532_1 I1=$abc$19662$n529_1 I2=$abc$19662$n526 I3=I2C_COUNTER[3] O=$abc$19662$n878
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=temp_output_report[1] I1=I2C.received_byte[1] I2=$abc$19662$n876_1 I3=$false O=$0\temp_output_report[2:0][1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=temp_output_report[2] I1=I2C.received_byte[2] I2=$abc$19662$n876_1 I3=$false O=$0\temp_output_report[2:0][2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=ring_rd[0] I1=$abc$19662$n1107 I2=$abc$19662$n624 I3=$false O=$2\ring_rd[3:0][0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$19662$n642 I1=ring_rd[1] I2=$false I3=$false O=$2\ring_rd[3:0][1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0110
.gate SB_LUT4 I0=ring_rd[3] I1=$abc$19662$n1116 I2=$abc$19662$n624 I3=$false O=$2\ring_rd[3:0][3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=report_wr_en I1=$abc$19662$n670 I2=IS_RAM_INIT I3=$false O=$2\IS_RAM_INIT[0:0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=report_wr_en I1=$abc$19662$n886 I2=$abc$19662$n670 I3=IS_RAM_INIT O=$2\report_wr_en[0:0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1101000011001100
.gate SB_LUT4 I0=IS_RAM_INIT I1=KEYBOARD.isr I2=last_isr I3=$false O=$abc$19662$n886
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$19662$n669 I2=$abc$19662$n733 I3=$abc$19662$n886 O=$2\wr_cnt[3:0][0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111110110000
.gate SB_LUT4 I0=$abc$19662$n886 I1=wr_cnt[0] I2=wr_cnt[1] I3=$false O=$2\wr_cnt[3:0][1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010100
.gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$19662$n669 I2=$abc$19662$n886 I3=$abc$19662$n736 O=$2\wr_cnt[3:0][2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000101100000000
.gate SB_LUT4 I0=IS_RAM_INIT I1=$abc$19662$n669 I2=$abc$19662$n886 I3=$abc$19662$n737 O=$2\wr_cnt[3:0][3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000101100000000
.gate SB_LUT4 I0=$abc$19662$n892 I1=$abc$19662$n1261 I2=$false I3=$false O=$0\rststate[3:0][0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$19662$n675_1 I1=$22\i2c_input_data_type[3:0][0] I2=$abc$19662$n893 I3=$false O=$abc$19662$n892
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$19662$n524 I1=$abc$19662$n611 I2=$abc$19662$n30 I3=$false O=$abc$19662$n893
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$19662$n892 I1=$abc$19662$n1263 I2=$false I3=$false O=$0\rststate[3:0][1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$19662$n892 I1=$abc$19662$n1265 I2=$false I3=$false O=$0\rststate[3:0][2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$19662$n892 I1=$abc$19662$n1267 I2=$false I3=$false O=$0\rststate[3:0][3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$19662$n1302 I1=I2C.i2c_bit_counter[0] I2=$false I3=$false O=$abc$19662$n1304
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$19662$n1302 I1=I2C.i2c_bit_counter[1] I2=$false I3=$false O=$abc$19662$n1306
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$19662$n1302 I1=I2C.i2c_bit_counter[2] I2=$false I3=$false O=$abc$19662$n1308
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C.i2c_bit_counter[3] I1=$abc$19662$n1302 I2=$false I3=$false O=$abc$19662$n1684
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$19662$n872 I1=$abc$19662$n868 I2=$abc$19662$n580 I3=$abc$19662$n689 O=$abc$19662$n906
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111110011111010
.gate SB_LUT4 I0=$abc$19662$n909_1 I1=$abc$19662$n908 I2=$abc$19662$n689 I3=$abc$19662$n580 O=$abc$19662$n907
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100101011111111
.gate SB_LUT4 I0=$abc$19662$n870 I1=$abc$19662$n862 I2=$abc$19662$n582 I3=$false O=$abc$19662$n908
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10101100
.gate SB_LUT4 I0=$abc$19662$n874 I1=$abc$19662$n866 I2=$abc$19662$n582 I3=$false O=$abc$19662$n909_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10101100
.gate SB_LUT4 I0=$abc$19662$n864 I1=$abc$19662$n860_1 I2=$abc$19662$n580 I3=$abc$19662$n689 O=$abc$19662$n910_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111110011111010
.gate SB_LUT4 I0=$abc$19662$n1302 I1=$abc$19662$n912 I2=$false I3=$false O=$abc$19662$n911_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=I2C.FLT_SCL.out I2=$abc$19662$n10 I3=I2C.SDA_DIR O=$abc$19662$n912
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111111100000000
.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$abc$19662$n12 I2=$false I3=$false O=$abc$19662$n913_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$19662$n916_1 I1=$abc$19662$n917_1 I2=$false I3=$false O=$abc$19662$n915
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0001
.gate SB_LUT4 I0=I2C.is_adress I1=$abc$19662$n1302 I2=$false I3=$false O=$abc$19662$n916_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=I2C.received_byte[2] I1=I2C.received_byte[7] I2=$abc$19662$n918 I3=I2C.received_byte[6] O=$abc$19662$n917_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=I2C.received_byte[4] I1=I2C.received_byte[1] I2=I2C.received_byte[5] I3=I2C.received_byte[3] O=$abc$19662$n918
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$19662$n920_1 I1=$abc$19662$n921 I2=$false I3=$false O=$abc$19662$n919_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$19662$n1302 I1=I2C.is_ack I2=$false I3=$false O=$abc$19662$n920_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$19662$n913_1 I1=$abc$19662$n113 I2=$false I3=$false O=$abc$19662$n921
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$19662$n926 I1=$abc$19662$n925 I2=$abc$19662$n684 I3=$abc$19662$n686 O=$abc$19662$n1322
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0101001100000000
.gate SB_LUT4 I0=$abc$19662$n915 I1=$abc$19662$n919_1 I2=$false I3=$false O=$abc$19662$n925
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$19662$n912 I1=$abc$19662$n927 I2=I2C.FLT_SDA.out I3=$false O=$abc$19662$n926
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$19662$n920_1 I1=$abc$19662$n688 I2=$abc$19662$n113 I3=$false O=$abc$19662$n927
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$19662$n929_1 I1=$abc$19662$n919_1 I2=$abc$19662$n684 I3=$false O=$abc$19662$n1324
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11111000
.gate SB_LUT4 I0=$abc$19662$n916_1 I1=$abc$19662$n686 I2=I2C.received_byte[0] I3=$false O=$abc$19662$n929_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$19662$n935_1 I1=$abc$19662$n580 I2=$abc$19662$n931_1 I3=$abc$19662$n1304 O=$abc$19662$n1326
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000111110001000
.gate SB_LUT4 I0=$abc$19662$n934_1 I1=$abc$19662$n927 I2=$abc$19662$n932_1 I3=$abc$19662$n686 O=$abc$19662$n931_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000110100000000
.gate SB_LUT4 I0=$abc$19662$n113 I1=$abc$19662$n688 I2=$abc$19662$n933 I3=$abc$19662$n684 O=$abc$19662$n932_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000001011
.gate SB_LUT4 I0=$abc$19662$n920_1 I1=$abc$19662$n921 I2=$false I3=$false O=$abc$19662$n933
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$19662$n113 I1=$abc$19662$n913_1 I2=$abc$19662$n684 I3=$false O=$abc$19662$n934_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10110000
.gate SB_LUT4 I0=$abc$19662$n936_1 I1=$abc$19662$n686 I2=$false I3=$false O=$abc$19662$n935_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$19662$n113 I1=$abc$19662$n684 I2=$abc$19662$n12 I3=I2C.FLT_SCL.out O=$abc$19662$n936_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000000000100
.gate SB_LUT4 I0=$abc$19662$n689 I1=$abc$19662$n935_1 I2=$abc$19662$n931_1 I3=$abc$19662$n1306 O=$abc$19662$n1328
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100111101000100
.gate SB_LUT4 I0=$abc$19662$n935_1 I1=$abc$19662$n582 I2=$abc$19662$n931_1 I3=$abc$19662$n1308 O=$abc$19662$n1330
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000111110001000
.gate SB_LUT4 I0=$abc$19662$n113 I1=$abc$19662$n913_1 I2=I2C.i2c_bit_counter[3] I3=$abc$19662$n927 O=$abc$19662$n941_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000001001111
.gate SB_LUT4 I0=$abc$19662$n927 I1=$abc$19662$n683 I2=$abc$19662$n916_1 I3=$false O=$abc$19662$n1334
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000111
.gate SB_LUT4 I0=$abc$19662$n934_1 I1=$abc$19662$n686 I2=$abc$19662$n948_1 I3=$abc$19662$n947_1 O=$abc$19662$n1336
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111100001011
.gate SB_LUT4 I0=$abc$19662$n915 I1=$abc$19662$n920_1 I2=$abc$19662$n921 I3=$abc$19662$n683 O=$abc$19662$n947_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001110000000000
.gate SB_LUT4 I0=I2C.i2c_state_machine I1=$abc$19662$n913_1 I2=I2C.is_ack I3=$abc$19662$n1302 O=$abc$19662$n948_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011100110011
.gate SB_LUT4 I0=$abc$19662$n953_1 I1=$abc$19662$n952_1 I2=$abc$19662$n950_1 I3=$false O=$abc$19662$n1338
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00001110
.gate SB_LUT4 I0=$abc$19662$n934_1 I1=$abc$19662$n926 I2=$abc$19662$n951_1 I3=$false O=$abc$19662$n950_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11010000
.gate SB_LUT4 I0=$abc$19662$n684 I1=$abc$19662$n933 I2=$abc$19662$n686 I3=$false O=$abc$19662$n951_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11100000
.gate SB_LUT4 I0=$abc$19662$n916_1 I1=$abc$19662$n684 I2=$abc$19662$n927 I3=$abc$19662$n686 O=$abc$19662$n952_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1110000000000000
.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=$abc$19662$n10 I3=I2C.wr O=$abc$19662$n953_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111110100000000
.gate SB_LUT4 I0=$abc$19662$n704 I1=$abc$19662$n702_1 I2=$abc$19662$n700 I3=$false O=$abc$19662$n1413
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000111
.gate SB_LUT4 I0=$abc$19662$n702_1 I1=$abc$19662$n759 I2=$false I3=$false O=$abc$19662$n1415
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=$abc$19662$n702_1 I1=$abc$19662$n762 I2=$false I3=$false O=$abc$19662$n1417
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=$abc$19662$n702_1 I1=$abc$19662$n763 I2=$false I3=$false O=$abc$19662$n1418
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=$abc$19662$n1421 I1=$abc$19662$n702 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$19662$n1422
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.row_counter[1] I1=KEYBOARD.row_counter[0] I2=$false I3=$false O=$abc$19662$n1423
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0110
.gate SB_LUT4 I0=$abc$19662$n961_1 I1=$abc$19662$n1423 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$19662$n1425
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10101100
.gate SB_LUT4 I0=KEYBOARD.ram_adr[1] I1=KEYBOARD.ram_adr[0] I2=$false I3=$false O=$abc$19662$n961_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 0110
.gate SB_LUT4 I0=$abc$19662$n1426 I1=$abc$19662$n705 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$19662$n1427
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$19662$n706 I1=$abc$19662$n18 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$19662$n1428
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10101100
.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19662$n708 I2=$false I3=$false O=$abc$19662$n1429
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19662$n709 I2=$false I3=$false O=$abc$19662$n1430
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19662$n711 I2=$false I3=$false O=$abc$19662$n1431
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19662$n1432 I2=$false I3=$false O=$abc$19662$n1433
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19662$n717 I2=$false I3=$false O=$abc$19662$n1434
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$19662$n970_1 I1=$abc$19662$n713 I2=$abc$19662$n819 I3=KEYBOARD.IS_RAM_INIT O=$abc$19662$n1436
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111000000010001
.gate SB_LUT4 I0=$abc$19662$n793_1 I1=$abc$19662$n819 I2=wr_cnt[0] I3=KEYBOARD.isr_internal O=$abc$19662$n970_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1011101100001111
.gate SB_LUT4 I0=$abc$19662$n972_1 I1=$abc$19662$n973_1 I2=KEYBOARD.report_adress_rd[0] I3=KEYBOARD.report_adress_rd[1] O=$abc$19662$n1438
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1010101110111010
.gate SB_LUT4 I0=KEYBOARD.isr_internal I1=wr_cnt[1] I2=$abc$19662$n713 I3=KEYBOARD.IS_RAM_INIT O=$abc$19662$n972_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000011110100
.gate SB_LUT4 I0=KEYBOARD.isr_internal I1=$abc$19662$n793_1 I2=KEYBOARD.IS_RAM_INIT I3=$false O=$abc$19662$n973_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00001101
.gate SB_LUT4 I0=$abc$19662$n822 I1=$abc$19662$n973_1 I2=$abc$19662$n975_1 I3=$false O=$abc$19662$n1440
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00001110
.gate SB_LUT4 I0=KEYBOARD.isr_internal I1=wr_cnt[2] I2=$abc$19662$n713 I3=KEYBOARD.IS_RAM_INIT O=$abc$19662$n975_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000011110001
.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19662$n823 I2=$abc$19662$n712 I3=$abc$19662$n977_1 O=$abc$19662$n1442
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000011111000
.gate SB_LUT4 I0=KEYBOARD.isr_internal I1=wr_cnt[3] I2=$abc$19662$n973_1 I3=$abc$19662$n823 O=$abc$19662$n977_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1011000010111011
.gate SB_LUT4 I0=KEYBOARD.report_adress_rd[0] I1=KEYBOARD.report_adress_rd[1] I2=$abc$19662$n983_1 I3=$false O=$abc$19662$n982
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10010000
.gate SB_LUT4 I0=$abc$19662$n819 I1=$abc$19662$n822 I2=$abc$19662$n823 I3=KEYBOARD.IS_RAM_INIT O=$abc$19662$n983_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$abc$19662$n985_1 I1=$abc$19662$n982 I2=$false I3=$false O=$abc$19662$n1446
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.kbd_code_hid[3] I2=$abc$19662$n986 I3=KEYBOARD.IS_RAM_INIT O=$abc$19662$n985_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000011111000
.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[3] I1=KEYBOARD.is_pressed I2=$abc$19662$n987_1 I3=$abc$19662$n720_1 O=$abc$19662$n986
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100101000000000
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[0] I3=$false O=$abc$19662$n987_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19662$n989_1 I2=$abc$19662$n1449 I3=$false O=$abc$19662$n1448
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11110100
.gate SB_LUT4 I0=$abc$19662$n720_1 I1=$abc$19662$n990 I2=$abc$19662$n729 I3=KEYBOARD.report_wr_en O=$abc$19662$n989_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000001110
.gate SB_LUT4 I0=$abc$19662$n744 I1=$abc$19662$n722_1 I2=$abc$19662$n723_1 I3=KEYBOARD.is_pressed O=$abc$19662$n990
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000001000110000
.gate SB_LUT4 I0=$abc$19662$n961_1 I1=$abc$19662$n992 I2=$abc$19662$n993_1 I3=KEYBOARD.IS_RAM_INIT O=$abc$19662$n1449
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0111111100000000
.gate SB_LUT4 I0=$abc$19662$n702 I1=$abc$19662$n705 I2=$abc$19662$n706 I3=$abc$19662$n1432 O=$abc$19662$n992
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$abc$19662$n708 I1=$abc$19662$n709 I2=$abc$19662$n711 I3=$abc$19662$n717 O=$abc$19662$n993_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$19662$n995_1 I1=KEYBOARD.report_wr_en I2=$abc$19662$n728 I3=$abc$19662$n713 O=$abc$19662$n1453
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111100010000
.gate SB_LUT4 I0=KEYBOARD.report_adress_rd[3] I1=$abc$19662$n996 I2=$abc$19662$n720_1 I3=$abc$19662$n722_1 O=$abc$19662$n995_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000101000001100
.gate SB_LUT4 I0=$abc$19662$n723_1 I1=KEYBOARD.is_pressed I2=$false I3=$false O=$abc$19662$n996
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$19662$n779_1 I1=KEYBOARD.ram_wr I2=$abc$19662$n713 I3=$abc$19662$n759_1 O=$abc$19662$n1459
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111010001000100
.gate SB_LUT4 I0=KEYBOARD.temp[0] I1=KBD_COLUMNS[0] I2=$abc$19662$n754_1 I3=$false O=$abc$19662$n1500
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$19662$n754_1 I1=KEYBOARD.RAM.r_data[4] I2=$abc$19662$n1000 I3=$false O=$abc$19662$n1501
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10001111
.gate SB_LUT4 I0=$abc$19662$n649 I1=$abc$19662$n650 I2=KEYBOARD.temp[4] I3=$abc$19662$n760 O=$abc$19662$n1000
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000000011111
.gate SB_LUT4 I0=$abc$19662$n770 I1=$abc$19662$n916 I2=$abc$19662$n764 I3=$false O=$abc$19662$n1502
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11000101
.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[7] I1=$abc$19662$n1003_1 I2=$abc$19662$n759_1 I3=$false O=$abc$19662$n1503
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10101100
.gate SB_LUT4 I0=KEYBOARD.temp[7] I1=KEYBOARD.RAM.r_data[7] I2=$abc$19662$n754_1 I3=$false O=$abc$19662$n1003_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$19662$n752 I1=$abc$19662$n1005 I2=$false I3=$false O=$abc$19662$n1504
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=KEYBOARD.temp[1] I1=KEYBOARD.RAM.r_data[1] I2=$abc$19662$n755 I3=$abc$19662$n754_1 O=$abc$19662$n1005
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000110000001010
.gate SB_LUT4 I0=$abc$19662$n776 I1=$abc$19662$n919 I2=$abc$19662$n757_1 I3=$false O=$abc$19662$n1505
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11000101
.gate SB_LUT4 I0=$abc$19662$n1008_1 I1=$abc$19662$n914 I2=$abc$19662$n765_1 I3=$false O=$abc$19662$n1506
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.temp[5] I1=KEYBOARD.RAM.r_data[5] I2=$abc$19662$n754_1 I3=$false O=$abc$19662$n1008_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$19662$n1010 I1=$abc$19662$n917 I2=$abc$19662$n767 I3=$false O=$abc$19662$n1507
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11000101
.gate SB_LUT4 I0=KEYBOARD.temp[6] I1=KEYBOARD.RAM.r_data[6] I2=$abc$19662$n754_1 I3=$false O=$abc$19662$n1010
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=$abc$19662$n720_1 I1=KEYBOARD.is_pressed I2=KEYBOARD.kbd_code_hid[0] I3=$abc$19662$n1012 O=$abc$19662$n1520
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111101000000
.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[0] I1=KEYBOARD.is_pressed I2=$abc$19662$n730 I3=$abc$19662$n720_1 O=$abc$19662$n1012
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100101000000000
.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=KEYBOARD.kbd_code_hid[4] I2=$abc$19662$n1017 I3=$false O=$abc$19662$n1530
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11111000
.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[4] I1=KEYBOARD.is_pressed I2=$abc$19662$n1018_1 I3=$abc$19662$n720_1 O=$abc$19662$n1017
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100101000000000
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$19662$n1018_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$19662$n720_1 I1=KEYBOARD.is_pressed I2=KEYBOARD.kbd_code_hid[5] I3=$abc$19662$n1020 O=$abc$19662$n1533
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111101000000
.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[5] I1=KEYBOARD.is_pressed I2=$abc$19662$n1021 I3=$abc$19662$n720_1 O=$abc$19662$n1020
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100101000000000
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[1] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$19662$n1021
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$19662$n720_1 I1=KEYBOARD.is_pressed I2=KEYBOARD.kbd_code_hid[6] I3=$abc$19662$n1023 O=$abc$19662$n1536
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111101000000
.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[6] I1=KEYBOARD.is_pressed I2=$abc$19662$n1024 I3=$abc$19662$n720_1 O=$abc$19662$n1023
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100101000000000
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$19662$n1024
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$19662$n720_1 I1=KEYBOARD.is_pressed I2=KEYBOARD.kbd_code_hid[7] I3=$abc$19662$n1026 O=$abc$19662$n1539
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111101000000
.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[7] I1=KEYBOARD.is_pressed I2=$abc$19662$n1027 I3=$abc$19662$n720_1 O=$abc$19662$n1026
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100101000000000
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$false O=$abc$19662$n1027
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$19662$n797 I1=$abc$19662$n829 I2=$false I3=$false O=$abc$19662$n1564
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=$abc$19662$n803_1 I1=$abc$19662$n833 I2=$false I3=$false O=$abc$19662$n1567
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1110
.gate SB_LUT4 I0=$abc$19662$n1423 I1=$abc$19662$n1421 I2=$abc$19662$n1426 I3=$false O=$abc$19662$n1728
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=$abc$19662$n1423 I1=$abc$19662$n1426 I2=$abc$19662$n1421 I3=$false O=$abc$19662$n1729
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$19662$n1421 I1=$abc$19662$n1426 I2=$abc$19662$n1423 I3=$false O=$abc$19662$n1730
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$19662$n1426 I1=$abc$19662$n1421 I2=$abc$19662$n1423 I3=$false O=$abc$19662$n1731
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$19662$n1423 I1=$abc$19662$n1421 I2=$abc$19662$n1426 I3=$false O=$abc$19662$n1732
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$19662$n1423 I1=$abc$19662$n1421 I2=$abc$19662$n1426 I3=$false O=$abc$19662$n1733
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$19662$n1421 I1=$abc$19662$n1423 I2=$abc$19662$n1426 I3=$false O=$abc$19662$n1734
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$19662$n1423 I1=$abc$19662$n1421 I2=$abc$19662$n1426 I3=$false O=$abc$19662$n1735
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$false I2=$false I3=$false O=$abc$19662$n3
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$false I2=$false I3=$false O=$abc$19662$n5
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=LED1 I1=$false I2=$false I3=$false O=COM_DCD
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$19662$n14 I1=$false I2=$false I3=$false O=COM_TX
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=UART.tx_activity I1=$false I2=$false I3=$false O=$abc$19662$n17
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$19662$n18 I1=$false I2=$false I3=$false O=$abc$19662$n19
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$false I2=$false I3=$false O=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.received_byte[7] I1=$false I2=$false I3=$false O=$abc$19662$n528
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.received_byte[6] I1=$false I2=$false I3=$false O=$abc$19662$n529
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.received_byte[5] I1=$false I2=$false I3=$false O=$abc$19662$n531
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.received_byte[4] I1=$false I2=$false I3=$false O=$abc$19662$n532
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.received_byte[3] I1=$false I2=$false I3=$false O=$abc$19662$n534
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.received_byte[2] I1=$false I2=$false I3=$false O=$abc$19662$n535
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.received_byte[1] I1=$false I2=$false I3=$false O=$abc$19662$n537
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$19662$n1684 I1=$false I2=$false I3=$false O=$abc$19662$n1310
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$19662$n1306 I1=$false I2=$false I3=$false O=$abc$19662$n1605
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$19662$n1624 I1=$false I2=$false I3=$false O=$abc$19662$n1623
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$19662$n1625 I1=$false I2=$false I3=$false O=$abc$19662$n1669
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$19662$n1670 I1=$false I2=$false I3=$false O=$abc$19662$n1671
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$19662$n1672 I1=$false I2=$false I3=$false O=$abc$19662$n1673
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$19662$n1304 I1=$false I2=$false I3=$false O=$abc$19662$n1682
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$19662$n1308 I1=$false I2=$false I3=$false O=$abc$19662$n1683
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=CLK I1=$false I2=$false I3=$false O=REPORT_DATA.clk
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$19662$n570 I1=$abc$19662$n1675 I2=$abc$19662$n1676 I3=$abc$19662$n555 O=$abc$19662$n1062
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001100000000000
.gate SB_LUT4 I0=$abc$19662$n525 I1=$abc$19662$n524 I2=$abc$19662$n570 I3=$abc$19662$n1062 O=$abc$19662$n1063
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001010000000000
.gate SB_LUT4 I0=$abc$19662$n530 I1=$abc$19662$n519 I2=$abc$19662$n580_1 I3=$abc$19662$n542 O=$abc$19662$n1064
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000000011111110
.gate SB_LUT4 I0=$abc$19662$n578 I1=$abc$19662$n1064 I2=$abc$19662$n551_1 I3=$abc$19662$n583_1 O=$abc$19662$n525
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100000011011111
.gate SB_LUT4 I0=I2C.received_byte[2] I1=$abc$19662$n549 I2=$false I3=$false O=$abc$19662$n1066_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$19662$n550 I1=i2c_input_data_type[2] I2=$abc$19662$n551_1 I3=$false O=$abc$19662$n1067_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 01000011
.gate SB_LUT4 I0=$abc$19662$n592 I1=$abc$19662$n1067_1 I2=$abc$19662$n1066_1 I3=$abc$19662$n551_1 O=$abc$19662$n1681
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000101111001100
.gate SB_LUT4 I0=KEYBOARD.temp[4] I1=$abc$19662$n770 I2=$abc$19662$n649 I3=$abc$19662$n643 O=$abc$19662$n1072
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000101011110011
.gate SB_LUT4 I0=KEYBOARD.temp[1] I1=$abc$19662$n776 I2=$abc$19662$n649 I3=$abc$19662$n650 O=$abc$19662$n1073
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0011111110100000
.gate SB_LUT4 I0=$abc$19662$n1072 I1=$abc$19662$n643 I2=$abc$19662$n1073 I3=$abc$19662$n773 O=$abc$19662$n1074_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1101011100000000
.gate SB_LUT4 I0=$abc$19662$n1074_1 I1=$abc$19662$n772_1 I2=$false I3=$false O=$abc$19662$n1075_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$19662$n735 I1=KEYBOARD.IS_RAM_INIT I2=IS_RAM_INIT I3=I2C.FLT_SCL.RESET O=$abc$19662$n1076
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000111000000000
.gate SB_LUT4 I0=$abc$19662$n720_1 I1=$abc$19662$n738 I2=$abc$19662$n793_1 I3=$abc$19662$n1076 O=$abc$19662$n1077_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1011111100000000
.gate SB_LUT4 I0=$abc$19662$n744 I1=KEYBOARD.is_pressed I2=$abc$19662$n743 I3=$abc$19662$n728 O=$abc$19662$n1078
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000111111111111
.gate SB_LUT4 I0=$abc$19662$n1077_1 I1=$abc$19662$n1078 I2=$abc$19662$n733_1 I3=$abc$19662$n739 O=$abc$19662$n281
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$abc$19662$n910_1 I1=$abc$19662$n906 I2=$abc$19662$n582 I3=$abc$19662$n907 O=$abc$19662$n1080
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1100101000000000
.gate SB_LUT4 I0=$abc$19662$n920_1 I1=$abc$19662$n913_1 I2=$abc$19662$n113 I3=$false O=$abc$19662$n1081_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 10111100
.gate SB_LUT4 I0=$abc$19662$n1080 I1=$abc$19662$n911_1 I2=$abc$19662$n113 I3=$abc$19662$n1081_1 O=$abc$19662$n1082
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0011010111110011
.gate SB_LUT4 I0=$abc$19662$n113 I1=$abc$19662$n688 I2=$abc$19662$n941_1 I3=$abc$19662$n684 O=$abc$19662$n1084
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111000001000100
.gate SB_LUT4 I0=$abc$19662$n684 I1=$abc$19662$n933 I2=$abc$19662$n686 I3=$abc$19662$n1684 O=$abc$19662$n1085_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1110000011111111
.gate SB_LUT4 I0=$abc$19662$n583 I1=$abc$19662$n936_1 I2=$abc$19662$n1084 I3=$abc$19662$n1085_1 O=$abc$19662$n1086
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1000111110001000
.gate SB_LUT4 I0=$abc$19662$n1085_1 I1=$abc$19662$n1086 I2=$abc$19662$n686 I3=$false O=$abc$19662$n1332
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[2] I1=KEYBOARD.kbd_code_hid[0] I2=KEYBOARD.kbd_code_hid[1] I3=$abc$19662$n720_1 O=$abc$19662$n1088
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000010011110000
.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[1] I1=$abc$19662$n720_1 I2=KEYBOARD.is_pressed I3=$abc$19662$n1088 O=$abc$19662$n1089_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111000010001000
.gate SB_LUT4 I0=KEYBOARD.IS_RAM_INIT I1=$abc$19662$n1089_1 I2=$abc$19662$n982 I3=$false O=$abc$19662$n1444
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
.param LUT_INIT 11110100
.gate SB_LUT4 I0=KEYBOARD.kbd_code_hid[0] I1=KEYBOARD.kbd_code_hid[1] I2=KEYBOARD.kbd_code_hid[2] I3=$abc$19662$n720_1 O=$abc$19662$n1091_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000010011110000
.gate SB_LUT4 I0=KEYBOARD.REPORT.r_data[2] I1=$abc$19662$n720_1 I2=KEYBOARD.is_pressed I3=$abc$19662$n1091_1 O=$abc$19662$n1525
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111000010001000
.gate SB_LUT4 I0=$abc$19662$n684 I1=$abc$19662$n921 I2=$abc$19662$n686 I3=I2C.i2c_state_machine O=$abc$19662$n1093
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0001000011111111
.gate SB_LUT4 I0=$abc$19662$n915 I1=$abc$19662$n919_1 I2=$abc$19662$n1082 I3=$abc$19662$n684 O=$abc$19662$n1094
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000111101000100
.gate SB_LUT4 I0=$abc$19662$n686 I1=$abc$19662$n1094 I2=$abc$19662$n1093 I3=$abc$19662$n911_1 O=$abc$19662$n1320
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111100010001000
.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=$abc$19662$n743 I2=$abc$19662$n744 I3=$abc$19662$n710 O=$abc$19662$n1096_1
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 1111111110000000
.gate SB_LUT4 I0=$abc$19662$n1096_1 I1=$abc$19662$n728 I2=IS_RAM_INIT I3=I2C.FLT_SCL.RESET O=$abc$19662$n1097
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0000011111111111
.gate SB_LUT4 I0=$abc$19662$n747_1 I1=$abc$19662$n732 I2=$abc$19662$n739 I3=$abc$19662$n1097 O=$abc$19662$n223
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
.param LUT_INIT 0100000000000000
.gate SB_CARRY CI=$abc$19662$n1669 CO=$auto$alumacc.cc:474:replace_alu$5156.C[2] I0=$false I1=$abc$19662$n1624
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:29|uart.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5156.C[2] CO=$auto$alumacc.cc:474:replace_alu$5156.C[3] I0=$false I1=$abc$19662$n1671
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:29|uart.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5156.C[3] CO=$abc$19662$n1420 I0=$false I1=$abc$19662$n1673
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:29|uart.v:40|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$abc$19662$n1682 CO=$auto$alumacc.cc:474:replace_alu$5161.C[2] I0=$false I1=$abc$19662$n1605
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5161.C[2] CO=$auto$alumacc.cc:474:replace_alu$5161.C[3] I0=$false I1=$abc$19662$n1683
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5161.C[3] CO=$abc$19662$n113 I0=$false I1=$abc$19662$n1684
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:119|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$abc$19662$n525 CO=$auto$alumacc.cc:474:replace_alu$5166.C[2] I0=$false I1=$abc$19662$n524
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:217|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5166.C[2] CO=$auto$alumacc.cc:474:replace_alu$5166.C[3] I0=$true I1=$abc$19662$n1681
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:217|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5166.C[3] CO=$abc$19662$n1644 I0=$false I1=$abc$19662$n521
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:217|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$abc$19662$n537 CO=$auto$alumacc.cc:474:replace_alu$5177.C[3] I0=$false I1=$abc$19662$n535
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:144|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5177.C[3] CO=$auto$alumacc.cc:474:replace_alu$5177.C[4] I0=$false I1=$abc$19662$n534
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:144|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5177.C[4] CO=$auto$alumacc.cc:474:replace_alu$5177.C[5] I0=$false I1=$abc$19662$n532
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:144|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5177.C[5] CO=$auto$alumacc.cc:474:replace_alu$5177.C[6] I0=$false I1=$abc$19662$n531
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:144|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5177.C[6] CO=$auto$alumacc.cc:474:replace_alu$5177.C[7] I0=$false I1=$abc$19662$n529
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:144|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5177.C[7] CO=$abc$19662$n1647 I0=$false I1=$abc$19662$n528
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:144|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$abc$19662$n1674 CO=$auto$alumacc.cc:474:replace_alu$5190.C[3] I0=$false I1=$abc$19662$n1675
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:235|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5190.C[3] CO=$auto$alumacc.cc:474:replace_alu$5190.C[4] I0=$false I1=$abc$19662$n1676
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:235|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5190.C[4] CO=$auto$alumacc.cc:474:replace_alu$5190.C[5] I0=$false I1=$abc$19662$n1677
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:235|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5190.C[5] CO=$auto$alumacc.cc:474:replace_alu$5190.C[6] I0=$false I1=$abc$19662$n1678
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:235|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5190.C[6] CO=$abc$19662$n1649 I0=$false I1=$abc$19662$n1679
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:235|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$abc$19662$n537 CO=$auto$alumacc.cc:474:replace_alu$5195.C[3] I0=$true I1=$abc$19662$n535
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:144|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5195.C[3] CO=$auto$alumacc.cc:474:replace_alu$5195.C[4] I0=$false I1=$abc$19662$n534
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:144|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5195.C[4] CO=$auto$alumacc.cc:474:replace_alu$5195.C[5] I0=$false I1=$abc$19662$n532
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:144|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5195.C[5] CO=$auto$alumacc.cc:474:replace_alu$5195.C[6] I0=$false I1=$abc$19662$n531
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:144|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5195.C[6] CO=$auto$alumacc.cc:474:replace_alu$5195.C[7] I0=$false I1=$abc$19662$n529
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:144|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5195.C[7] CO=$abc$19662$n1650 I0=$false I1=$abc$19662$n528
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:144|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$true I2=ring_wr[0] I3=$false O=$abc$19662$n1234
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:109|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=ring_wr[0] CO=$auto$alumacc.cc:474:replace_alu$5200.C[2] I0=$false I1=ring_wr[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:109|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=ring_wr[2] I3=$auto$alumacc.cc:474:replace_alu$5200.C[2] O=$abc$19662$n1240
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:109|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5200.C[2] CO=$auto$alumacc.cc:474:replace_alu$5200.C[3] I0=$false I1=ring_wr[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:109|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=ring_wr[3] I3=$auto$alumacc.cc:474:replace_alu$5200.C[3] O=$abc$19662$n1243
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:109|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5200.C[3] CO=$auto$alumacc.cc:474:replace_alu$5200.C[4] I0=$false I1=ring_wr[3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:109|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$auto$alumacc.cc:474:replace_alu$5200.C[4] O=$abc$19662$n345
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:109|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$true I2=wr_cnt[0] I3=$false O=$abc$19662$n733
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:133|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=wr_cnt[0] CO=$auto$alumacc.cc:474:replace_alu$5203.C[2] I0=$false I1=wr_cnt[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:133|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[2] I3=$auto$alumacc.cc:474:replace_alu$5203.C[2] O=$abc$19662$n736
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:133|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5203.C[2] CO=$auto$alumacc.cc:474:replace_alu$5203.C[3] I0=$false I1=wr_cnt[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:133|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=wr_cnt[3] I3=$auto$alumacc.cc:474:replace_alu$5203.C[3] O=$abc$19662$n737
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:133|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$true I2=ring_rd[0] I3=$false O=$abc$19662$n1107
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:184|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=ring_rd[0] CO=$auto$alumacc.cc:474:replace_alu$5206.C[2] I0=$false I1=ring_rd[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:184|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=ring_rd[2] I3=$auto$alumacc.cc:474:replace_alu$5206.C[2] O=$abc$19662$n1113
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:184|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5206.C[2] CO=$auto$alumacc.cc:474:replace_alu$5206.C[3] I0=$false I1=ring_rd[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:184|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=ring_rd[3] I3=$auto$alumacc.cc:474:replace_alu$5206.C[3] O=$abc$19662$n1116
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:184|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$true I2=I2C_COUNTER[0] I3=$false O=$abc$19662$n1092
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:193|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=I2C_COUNTER[0] CO=$auto$alumacc.cc:474:replace_alu$5209.C[2] I0=$false I1=I2C_COUNTER[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:193|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[2] I3=$auto$alumacc.cc:474:replace_alu$5209.C[2] O=$abc$19662$n1096
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:193|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5209.C[2] CO=$auto$alumacc.cc:474:replace_alu$5209.C[3] I0=$false I1=I2C_COUNTER[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:193|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[3] I3=$auto$alumacc.cc:474:replace_alu$5209.C[3] O=$abc$19662$n1098
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:193|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5209.C[3] CO=$auto$alumacc.cc:474:replace_alu$5209.C[4] I0=$false I1=I2C_COUNTER[3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:193|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[4] I3=$auto$alumacc.cc:474:replace_alu$5209.C[4] O=$abc$19662$n1100
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:193|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5209.C[4] CO=$auto$alumacc.cc:474:replace_alu$5209.C[5] I0=$false I1=I2C_COUNTER[4]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:193|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[5] I3=$auto$alumacc.cc:474:replace_alu$5209.C[5] O=$abc$19662$n1102
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:193|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5209.C[5] CO=$auto$alumacc.cc:474:replace_alu$5209.C[6] I0=$false I1=I2C_COUNTER[5]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:193|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=I2C_COUNTER[6] I3=$auto$alumacc.cc:474:replace_alu$5209.C[6] O=$abc$19662$n1104
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:193|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$true I2=$3\int_tmr[14:0][0] I3=$false O=$abc$19662$n929
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][10] I3=$auto$alumacc.cc:474:replace_alu$5212.C[10] O=$abc$19662$n960
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5212.C[10] CO=$auto$alumacc.cc:474:replace_alu$5212.C[11] I0=$false I1=$3\int_tmr[14:0][10]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][11] I3=$auto$alumacc.cc:474:replace_alu$5212.C[11] O=$abc$19662$n963
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5212.C[11] CO=$auto$alumacc.cc:474:replace_alu$5212.C[12] I0=$false I1=$3\int_tmr[14:0][11]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][12] I3=$auto$alumacc.cc:474:replace_alu$5212.C[12] O=$abc$19662$n966
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5212.C[12] CO=$auto$alumacc.cc:474:replace_alu$5212.C[13] I0=$false I1=$3\int_tmr[14:0][12]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][13] I3=$auto$alumacc.cc:474:replace_alu$5212.C[13] O=$abc$19662$n969
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5212.C[13] CO=$auto$alumacc.cc:474:replace_alu$5212.C[14] I0=$false I1=$3\int_tmr[14:0][13]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][14] I3=$auto$alumacc.cc:474:replace_alu$5212.C[14] O=$abc$19662$n972
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$3\int_tmr[14:0][0] CO=$auto$alumacc.cc:474:replace_alu$5212.C[2] I0=$false I1=$3\int_tmr[14:0][1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][2] I3=$auto$alumacc.cc:474:replace_alu$5212.C[2] O=$abc$19662$n936
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5212.C[2] CO=$auto$alumacc.cc:474:replace_alu$5212.C[3] I0=$false I1=$3\int_tmr[14:0][2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][3] I3=$auto$alumacc.cc:474:replace_alu$5212.C[3] O=$abc$19662$n939
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5212.C[3] CO=$auto$alumacc.cc:474:replace_alu$5212.C[4] I0=$false I1=$3\int_tmr[14:0][3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][4] I3=$auto$alumacc.cc:474:replace_alu$5212.C[4] O=$abc$19662$n942
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5212.C[4] CO=$auto$alumacc.cc:474:replace_alu$5212.C[5] I0=$false I1=$3\int_tmr[14:0][4]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][5] I3=$auto$alumacc.cc:474:replace_alu$5212.C[5] O=$abc$19662$n945
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5212.C[5] CO=$auto$alumacc.cc:474:replace_alu$5212.C[6] I0=$false I1=$3\int_tmr[14:0][5]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][6] I3=$auto$alumacc.cc:474:replace_alu$5212.C[6] O=$abc$19662$n948
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5212.C[6] CO=$auto$alumacc.cc:474:replace_alu$5212.C[7] I0=$false I1=$3\int_tmr[14:0][6]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][7] I3=$auto$alumacc.cc:474:replace_alu$5212.C[7] O=$abc$19662$n951
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5212.C[7] CO=$auto$alumacc.cc:474:replace_alu$5212.C[8] I0=$false I1=$3\int_tmr[14:0][7]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][8] I3=$auto$alumacc.cc:474:replace_alu$5212.C[8] O=$abc$19662$n954
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5212.C[8] CO=$auto$alumacc.cc:474:replace_alu$5212.C[9] I0=$false I1=$3\int_tmr[14:0][8]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$3\int_tmr[14:0][9] I3=$auto$alumacc.cc:474:replace_alu$5212.C[9] O=$abc$19662$n957
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5212.C[9] CO=$auto$alumacc.cc:474:replace_alu$5212.C[10] I0=$false I1=$3\int_tmr[14:0][9]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:251|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$abc$19662$n21 I2=rststate[0] I3=$false O=$abc$19662$n1261
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:69|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$false CO=$auto$alumacc.cc:474:replace_alu$5215.C[1] I0=$abc$19662$n21 I1=rststate[0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:69|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=rststate[1] I3=$auto$alumacc.cc:474:replace_alu$5215.C[1] O=$abc$19662$n1263
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:69|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5215.C[1] CO=$auto$alumacc.cc:474:replace_alu$5215.C[2] I0=$false I1=rststate[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:69|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=rststate[2] I3=$auto$alumacc.cc:474:replace_alu$5215.C[2] O=$abc$19662$n1265
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:69|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5215.C[2] CO=$auto$alumacc.cc:474:replace_alu$5215.C[3] I0=$false I1=rststate[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:69|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=rststate[3] I3=$auto$alumacc.cc:474:replace_alu$5215.C[3] O=$abc$19662$n1267
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:69|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$22\i2c_input_data_type[3:0][0] I2=$false I3=$true O=$abc$19662$n1014
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:220|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$22\i2c_input_data_type[3:0][0] CO=$auto$alumacc.cc:474:replace_alu$5218.C[2] I0=$22\i2c_input_data_type[3:0][1] I1=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:220|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$22\i2c_input_data_type[3:0][2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5218.C[2] O=$abc$19662$n1016
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:220|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$abc$19662$n1304 I2=$false I3=$true O=$abc$19662$n580
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$abc$19662$n1304 CO=$auto$alumacc.cc:474:replace_alu$5221.C[2] I0=$abc$19662$n1306 I1=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$abc$19662$n1308 I2=$true I3=$auto$alumacc.cc:474:replace_alu$5221.C[2] O=$abc$19662$n582
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5221.C[2] CO=$auto$alumacc.cc:474:replace_alu$5221.C[3] I0=$abc$19662$n1308 I1=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$abc$19662$n1310 I2=$true I3=$auto$alumacc.cc:474:replace_alu$5221.C[3] O=$abc$19662$n583
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5221.C[3] CO=$abc$19662$n1604 I0=$abc$19662$n1310 I1=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$true I3=$abc$19662$n1604 O=$abc$19662$n594
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[0] I2=$false I3=$true O=$abc$19662$n830
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:45|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=I2C.FLT_SCL.counter[0] CO=$auto$alumacc.cc:474:replace_alu$5224.C[2] I0=I2C.FLT_SCL.counter[1] I1=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:45|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5224.C[2] O=$abc$19662$n833
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:45|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[0] I2=$false I3=$true O=$abc$19662$n826
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:46|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=I2C.FLT_SDA.counter[0] CO=$auto$alumacc.cc:474:replace_alu$5227.C[2] I0=I2C.FLT_SDA.counter[1] I1=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:46|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5227.C[2] O=$abc$19662$n829
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:46|simple_filter.v:14|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.report_adress_rd[0] I3=$false O=$abc$19662$n819
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:101|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=KEYBOARD.report_adress_rd[0] CO=$auto$alumacc.cc:474:replace_alu$5230.C[2] I0=$false I1=KEYBOARD.report_adress_rd[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:101|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.report_adress_rd[2] I3=$auto$alumacc.cc:474:replace_alu$5230.C[2] O=$abc$19662$n822
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:101|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5230.C[2] CO=$auto$alumacc.cc:474:replace_alu$5230.C[3] I0=$false I1=KEYBOARD.report_adress_rd[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:101|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.report_adress_rd[3] I3=$auto$alumacc.cc:474:replace_alu$5230.C[3] O=$abc$19662$n823
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:101|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_time[0] I3=$false O=$abc$19662$n792
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[10] I3=$auto$alumacc.cc:474:replace_alu$5233.C[10] O=$abc$19662$n806
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5233.C[10] CO=$auto$alumacc.cc:474:replace_alu$5233.C[11] I0=$false I1=KEYBOARD.row_time[10]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[11] I3=$auto$alumacc.cc:474:replace_alu$5233.C[11] O=$abc$19662$n807
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5233.C[11] CO=$auto$alumacc.cc:474:replace_alu$5233.C[12] I0=$false I1=KEYBOARD.row_time[11]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[12] I3=$auto$alumacc.cc:474:replace_alu$5233.C[12] O=$abc$19662$n809
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5233.C[12] CO=$auto$alumacc.cc:474:replace_alu$5233.C[13] I0=$false I1=KEYBOARD.row_time[12]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[13] I3=$auto$alumacc.cc:474:replace_alu$5233.C[13] O=$abc$19662$n810
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5233.C[13] CO=$auto$alumacc.cc:474:replace_alu$5233.C[14] I0=$false I1=KEYBOARD.row_time[13]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[14] I3=$auto$alumacc.cc:474:replace_alu$5233.C[14] O=$abc$19662$n814
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=KEYBOARD.row_time[0] CO=$auto$alumacc.cc:474:replace_alu$5233.C[2] I0=$false I1=KEYBOARD.row_time[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[2] I3=$auto$alumacc.cc:474:replace_alu$5233.C[2] O=$abc$19662$n795
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5233.C[2] CO=$auto$alumacc.cc:474:replace_alu$5233.C[3] I0=$false I1=KEYBOARD.row_time[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[3] I3=$auto$alumacc.cc:474:replace_alu$5233.C[3] O=$abc$19662$n796
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5233.C[3] CO=$auto$alumacc.cc:474:replace_alu$5233.C[4] I0=$false I1=KEYBOARD.row_time[3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[4] I3=$auto$alumacc.cc:474:replace_alu$5233.C[4] O=$abc$19662$n799
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5233.C[4] CO=$auto$alumacc.cc:474:replace_alu$5233.C[5] I0=$false I1=KEYBOARD.row_time[4]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[5] I3=$auto$alumacc.cc:474:replace_alu$5233.C[5] O=$abc$19662$n649
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5233.C[5] CO=$auto$alumacc.cc:474:replace_alu$5233.C[6] I0=$false I1=KEYBOARD.row_time[5]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[6] I3=$auto$alumacc.cc:474:replace_alu$5233.C[6] O=$abc$19662$n650
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5233.C[6] CO=$auto$alumacc.cc:474:replace_alu$5233.C[7] I0=$false I1=KEYBOARD.row_time[6]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[7] I3=$auto$alumacc.cc:474:replace_alu$5233.C[7] O=$abc$19662$n643
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5233.C[7] CO=$auto$alumacc.cc:474:replace_alu$5233.C[8] I0=$false I1=KEYBOARD.row_time[7]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[8] I3=$auto$alumacc.cc:474:replace_alu$5233.C[8] O=$abc$19662$n803
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5233.C[8] CO=$auto$alumacc.cc:474:replace_alu$5233.C[9] I0=$false I1=KEYBOARD.row_time[8]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[9] I3=$auto$alumacc.cc:474:replace_alu$5233.C[9] O=$abc$19662$n804
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5233.C[9] CO=$auto$alumacc.cc:474:replace_alu$5233.C[10] I0=$false I1=KEYBOARD.row_time[9]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:118|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_counter[0] I3=$false O=$abc$19662$n1421
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=KEYBOARD.row_counter[0] CO=$auto$alumacc.cc:474:replace_alu$5236.C[2] I0=$false I1=KEYBOARD.row_counter[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[2] I3=$auto$alumacc.cc:474:replace_alu$5236.C[2] O=$abc$19662$n1426
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5236.C[2] CO=$auto$alumacc.cc:474:replace_alu$5236.C[3] I0=$false I1=KEYBOARD.row_counter[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_counter[3] I3=$auto$alumacc.cc:474:replace_alu$5236.C[3] O=$abc$19662$n18
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:122|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.ram_adr[0] I3=$false O=$abc$19662$n702
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:99|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=KEYBOARD.ram_adr[0] CO=$auto$alumacc.cc:474:replace_alu$5239.C[2] I0=$false I1=KEYBOARD.ram_adr[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:99|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.ram_adr[2] I3=$auto$alumacc.cc:474:replace_alu$5239.C[2] O=$abc$19662$n705
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:99|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5239.C[2] CO=$auto$alumacc.cc:474:replace_alu$5239.C[3] I0=$false I1=KEYBOARD.ram_adr[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:99|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.ram_adr[3] I3=$auto$alumacc.cc:474:replace_alu$5239.C[3] O=$abc$19662$n706
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:99|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5239.C[3] CO=$auto$alumacc.cc:474:replace_alu$5239.C[4] I0=$false I1=KEYBOARD.ram_adr[3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:99|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.ram_adr[4] I3=$auto$alumacc.cc:474:replace_alu$5239.C[4] O=$abc$19662$n708
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:99|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5239.C[4] CO=$auto$alumacc.cc:474:replace_alu$5239.C[5] I0=$false I1=KEYBOARD.ram_adr[4]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:99|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.ram_adr[5] I3=$auto$alumacc.cc:474:replace_alu$5239.C[5] O=$abc$19662$n709
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:99|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5239.C[5] CO=$auto$alumacc.cc:474:replace_alu$5239.C[6] I0=$false I1=KEYBOARD.ram_adr[5]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:99|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.ram_adr[6] I3=$auto$alumacc.cc:474:replace_alu$5239.C[6] O=$abc$19662$n711
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:99|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5239.C[6] CO=$auto$alumacc.cc:474:replace_alu$5239.C[7] I0=$false I1=KEYBOARD.ram_adr[6]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:99|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.ram_adr[7] I3=$auto$alumacc.cc:474:replace_alu$5239.C[7] O=$abc$19662$n1432
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:99|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5239.C[7] CO=$auto$alumacc.cc:474:replace_alu$5239.C[8] I0=$false I1=KEYBOARD.ram_adr[7]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:99|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.ram_adr[8] I3=$auto$alumacc.cc:474:replace_alu$5239.C[8] O=$abc$19662$n717
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:99|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[0] I2=$false I3=$true O=$abc$19662$n759
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:29|uart.v:33|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=UART.tx_clk_counter[0] CO=$auto$alumacc.cc:474:replace_alu$5242.C[2] I0=UART.tx_clk_counter[1] I1=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:29|uart.v:33|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5242.C[2] O=$abc$19662$n762
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:29|uart.v:33|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5242.C[2] CO=$auto$alumacc.cc:474:replace_alu$5242.C[3] I0=UART.tx_clk_counter[2] I1=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:29|uart.v:33|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[3] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5242.C[3] O=$abc$19662$n763
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:29|uart.v:33|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[0] I2=$false I3=$true O=$abc$19662$n1625
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:29|uart.v:39|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=UART.tx_bit_counter[0] CO=$auto$alumacc.cc:474:replace_alu$5245.C[2] I0=UART.tx_bit_counter[1] I1=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:29|uart.v:39|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[2] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5245.C[2] O=$abc$19662$n1670
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:29|uart.v:39|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:474:replace_alu$5245.C[2] CO=$auto$alumacc.cc:474:replace_alu$5245.C[3] I0=UART.tx_bit_counter[2] I1=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:29|uart.v:39|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[3] I2=$true I3=$auto$alumacc.cc:474:replace_alu$5245.C[3] O=$abc$19662$n1672
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:29|uart.v:39|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$false I2=$abc$19662$n1669 I3=$true O=$abc$19662$n1584
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:29|uart.v:41|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$abc$19662$n1669 CO=$auto$alumacc.cc:474:replace_alu$5248.C[2] I0=$false I1=$abc$19662$n1624
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:29|uart.v:41|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$abc$19662$n1671 I3=$auto$alumacc.cc:474:replace_alu$5248.C[2] O=$abc$19662$n1570
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:29|uart.v:41|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_DFF C=CLK D=$0\rststate[3:0][0] Q=rststate[0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$0\rststate[3:0][1] Q=rststate[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$0\rststate[3:0][2] Q=rststate[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$0\rststate[3:0][3] Q=rststate[3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFFESR C=CLK D=$2\UART_WR[0:0] E=$abc$19662$n26 Q=UART_WR R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][0] E=$abc$19662$n31 Q=UART_TX_DATA[0] S=$abc$19662$n36
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][1] E=$abc$19662$n31 Q=UART_TX_DATA[1] S=$abc$19662$n36
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][2] E=$abc$19662$n31 Q=UART_TX_DATA[2] S=$abc$19662$n36
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][3] E=$abc$19662$n31 Q=UART_TX_DATA[3] S=$abc$19662$n36
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][4] E=$abc$19662$n31 Q=UART_TX_DATA[4] S=$abc$19662$n36
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][5] E=$abc$19662$n31 Q=UART_TX_DATA[5] S=$abc$19662$n36
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][6] E=$abc$19662$n31 Q=UART_TX_DATA[6] S=$abc$19662$n36
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$3\UART_TX_DATA[7:0][7] E=$abc$19662$n31 Q=UART_TX_DATA[7] S=$abc$19662$n36
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$19662$n1 E=$abc$19662$n25 Q=LED1
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][0] E=$abc$19662$n25 Q=int_tmr[0] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][1] E=$abc$19662$n25 Q=int_tmr[1] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][2] E=$abc$19662$n25 Q=int_tmr[2] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][3] E=$abc$19662$n25 Q=int_tmr[3] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][4] E=$abc$19662$n25 Q=int_tmr[4] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][5] E=$abc$19662$n25 Q=int_tmr[5] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][6] E=$abc$19662$n25 Q=int_tmr[6] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][7] E=$abc$19662$n25 Q=int_tmr[7] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][8] E=$abc$19662$n25 Q=int_tmr[8] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][9] E=$abc$19662$n25 Q=int_tmr[9] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][10] E=$abc$19662$n25 Q=int_tmr[10] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][11] E=$abc$19662$n25 Q=int_tmr[11] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][12] E=$abc$19662$n25 Q=int_tmr[12] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][13] E=$abc$19662$n25 Q=int_tmr[13] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\int_tmr[14:0][14] E=$abc$19662$n25 Q=int_tmr[14] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][0] E=$abc$19662$n25 Q=ring_wr[0] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][1] E=$abc$19662$n25 Q=ring_wr[1] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][2] E=$abc$19662$n25 Q=ring_wr[2] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\ring_wr[3:0][3] E=$abc$19662$n25 Q=ring_wr[3] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][0] E=$abc$19662$n25 Q=ring_rd[0] S=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][1] E=$abc$19662$n25 Q=ring_rd[1] S=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][2] E=$abc$19662$n25 Q=ring_rd[2] S=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$2\ring_rd[3:0][3] E=$abc$19662$n25 Q=ring_rd[3] S=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][0] E=$abc$19662$n45 Q=wr_cnt[0] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][1] E=$abc$19662$n45 Q=wr_cnt[1] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][2] E=$abc$19662$n45 Q=wr_cnt[2] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\wr_cnt[3:0][3] E=$abc$19662$n45 Q=wr_cnt[3] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\report_wr_en[0:0] E=$abc$19662$n59 Q=report_wr_en R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\temp_output_report[2:0][0] E=$abc$19662$n30 Q=temp_output_report[0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\temp_output_report[2:0][1] E=$abc$19662$n30 Q=temp_output_report[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\temp_output_report[2:0][2] E=$abc$19662$n30 Q=temp_output_report[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$20\i2c_input_data_type[3:0][0] E=$abc$19662$n30 Q=i2c_input_data_type[0] R=$abc$19662$n36
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$20\i2c_input_data_type[3:0][1] E=$abc$19662$n30 Q=i2c_input_data_type[1] R=$abc$19662$n36
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$20\i2c_input_data_type[3:0][2] E=$abc$19662$n30 Q=i2c_input_data_type[2] R=$abc$19662$n36
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$20\i2c_input_data_type[3:0][3] E=$abc$19662$n30 Q=i2c_input_data_type[3] R=$abc$19662$n36
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][0] E=$abc$19662$n25 Q=I2C_COUNTER[0] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][1] E=$abc$19662$n25 Q=I2C_COUNTER[1] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][2] E=$abc$19662$n25 Q=I2C_COUNTER[2] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][3] E=$abc$19662$n25 Q=I2C_COUNTER[3] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][4] E=$abc$19662$n25 Q=I2C_COUNTER[4] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][5] E=$abc$19662$n25 Q=I2C_COUNTER[5] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_COUNTER[6:0][6] E=$abc$19662$n25 Q=I2C_COUNTER[6] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][0] E=$abc$19662$n25 Q=I2C_HID_DESC.DESC_TYPE R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][1] E=$abc$19662$n25 Q=I2C_OUTPUT_TYPE[1] S=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][2] E=$abc$19662$n25 Q=I2C_OUTPUT_TYPE[2] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$19662$n63 Q=I2C_OUT_DESC_MASK[0] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$19662$n63 Q=I2C_OUT_DESC_MASK[1] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$19662$n63 Q=I2C_OUT_DESC_MASK[2] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$19662$n63 Q=I2C_OUT_DESC_MASK[3] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$19662$n63 Q=I2C_OUT_DESC_MASK[4] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$19662$n63 Q=I2C_OUT_DESC_MASK[5] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$19662$n63 Q=I2C_OUT_DESC_MASK[6] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$19662$n63 Q=I2C_OUT_DESC_MASK[7] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$0\temp_output_report[2:0][0] E=$abc$19662$n90 Q=LED2 R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$0\temp_output_report[2:0][1] E=$abc$19662$n90 Q=LED3 R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$0\temp_output_report[2:0][2] E=$abc$19662$n90 Q=LED4 R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=I2C.wr E=$abc$19662$n25 Q=last_wr R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=I2C_TRANS E=$abc$19662$n25 Q=last_trans R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.isr E=$abc$19662$n25 Q=last_isr R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFSS C=CLK D=$2\IS_RAM_INIT[0:0] Q=IS_RAM_INIT S=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:66|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=SCL Q=I2C.SCLF
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=I2C.SDA_IN Q=I2C.SDAF
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:48|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFFNE C=CLK D=$abc$19662$n1338 E=I2C.FLT_SCL.RESET Q=I2C.wr
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=$abc$19662$n1336 E=I2C.FLT_SCL.RESET Q=I2C.is_ack
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=$abc$19662$n1334 E=I2C.FLT_SCL.RESET Q=I2C.is_adress
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$19662$n103 Q=I2C.received_byte[0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$19662$n110 Q=I2C.received_byte[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$19662$n127 Q=I2C.received_byte[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$19662$n133 Q=I2C.received_byte[3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$19662$n139 Q=I2C.received_byte[4]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$19662$n145 Q=I2C.received_byte[5]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$19662$n151 Q=I2C.received_byte[6]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=I2C.FLT_SDA.out E=$abc$19662$n160 Q=I2C.received_byte[7]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=$abc$19662$n1326 E=I2C.FLT_SCL.RESET Q=I2C.i2c_bit_counter[0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=$abc$19662$n1328 E=I2C.FLT_SCL.RESET Q=I2C.i2c_bit_counter[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=$abc$19662$n1330 E=I2C.FLT_SCL.RESET Q=I2C.i2c_bit_counter[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=$abc$19662$n1332 E=I2C.FLT_SCL.RESET Q=I2C.i2c_bit_counter[3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=$abc$19662$n1324 E=I2C.FLT_SCL.RESET Q=I2C.is_read
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=$abc$19662$n1302 E=$abc$19662$n165 Q=I2C.i2c_start_latency
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNSR C=CLK D=$abc$19662$n1322 Q=I2C.i2c_state_machine R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:1"
.gate SB_DFFNE C=CLK D=$abc$19662$n3 E=I2C.FLT_SCL.RESET Q=$abc$19662$n10
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=$abc$19662$n5 E=I2C.FLT_SCL.RESET Q=$abc$19662$n12
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=$abc$19662$n1320 E=I2C.FLT_SCL.RESET Q=I2C.SDA_DIR
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:53|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNSR C=CLK D=$abc$19662$n1413 Q=UART.tx_activity R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:29|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:1"
.gate SB_DFFNE C=CLK D=$abc$19662$n7 E=$abc$19662$n180 Q=$abc$19662$n14
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:29|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESS C=CLK D=$abc$19662$n1415 E=$abc$19662$n179 Q=UART.tx_clk_counter[0] S=$abc$19662$n17
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:29|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n1416 E=$abc$19662$n179 Q=UART.tx_clk_counter[1] R=$abc$19662$n17
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:29|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESS C=CLK D=$abc$19662$n1417 E=$abc$19662$n179 Q=UART.tx_clk_counter[2] S=$abc$19662$n17
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:29|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESS C=CLK D=$abc$19662$n1418 E=$abc$19662$n179 Q=UART.tx_clk_counter[3] S=$abc$19662$n17
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:29|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESS C=CLK D=$abc$19662$n1625 E=$abc$19662$n180 Q=UART.tx_bit_counter[0] S=$abc$19662$n17
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:29|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n1623 E=$abc$19662$n180 Q=UART.tx_bit_counter[1] R=$abc$19662$n17
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:29|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n1670 E=$abc$19662$n180 Q=UART.tx_bit_counter[2] R=$abc$19662$n17
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:29|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESS C=CLK D=$abc$19662$n1672 E=$abc$19662$n180 Q=UART.tx_bit_counter[3] S=$abc$19662$n17
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:29|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=UART_WR E=I2C.FLT_SCL.RESET Q=UART.TX_sig_last
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:29|uart.v:25|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESS C=CLK D=$abc$19662$n1436 E=$abc$19662$n223 Q=KEYBOARD.report_adress_rd[0] S=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n1438 E=$abc$19662$n223 Q=KEYBOARD.report_adress_rd[1] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESS C=CLK D=$abc$19662$n1440 E=$abc$19662$n223 Q=KEYBOARD.report_adress_rd[2] S=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n1442 E=$abc$19662$n223 Q=KEYBOARD.report_adress_rd[3] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n1422 E=$abc$19662$n240 Q=KEYBOARD.ram_adr[0] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n1425 E=$abc$19662$n240 Q=KEYBOARD.ram_adr[1] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESS C=CLK D=$abc$19662$n1427 E=$abc$19662$n240 Q=KEYBOARD.ram_adr[2] S=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n1428 E=$abc$19662$n240 Q=KEYBOARD.ram_adr[3] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESS C=CLK D=$abc$19662$n1429 E=$abc$19662$n240 Q=KEYBOARD.ram_adr[4] S=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESS C=CLK D=$abc$19662$n1430 E=$abc$19662$n240 Q=KEYBOARD.ram_adr[5] S=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESS C=CLK D=$abc$19662$n1431 E=$abc$19662$n240 Q=KEYBOARD.ram_adr[6] S=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESS C=CLK D=$abc$19662$n1433 E=$abc$19662$n240 Q=KEYBOARD.ram_adr[7] S=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESS C=CLK D=$abc$19662$n1434 E=$abc$19662$n240 Q=KEYBOARD.ram_adr[8] S=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESS C=CLK D=$abc$19662$n1459 E=$abc$19662$n30 Q=KEYBOARD.ram_wr S=KEYBOARD.IS_RAM_INIT
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=$abc$19662$n909 E=$abc$19662$n245 Q=KEYBOARD.is_pressed
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=$abc$19662$n913 E=$abc$19662$n248 Q=KEYBOARD.COLS_SHADOW[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=$abc$19662$n916 E=$abc$19662$n248 Q=KEYBOARD.COLS_SHADOW[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=$abc$19662$n919 E=$abc$19662$n248 Q=KEYBOARD.COLS_SHADOW[3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=$abc$19662$n911 E=$abc$19662$n248 Q=KEYBOARD.COLS_SHADOW[4]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=$abc$19662$n914 E=$abc$19662$n248 Q=KEYBOARD.COLS_SHADOW[5]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=$abc$19662$n917 E=$abc$19662$n248 Q=KEYBOARD.COLS_SHADOW[6]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=$abc$19662$n920 E=$abc$19662$n248 Q=KEYBOARD.COLS_SHADOW[7]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n1728 E=$abc$19662$n250 Q=KEYBOARD.ROWS_EN[0] R=$abc$19662$n18
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n1729 E=$abc$19662$n250 Q=KEYBOARD.ROWS_EN[1] R=$abc$19662$n18
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n1730 E=$abc$19662$n250 Q=KEYBOARD.ROWS_EN[2] R=$abc$19662$n18
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n1731 E=$abc$19662$n250 Q=KEYBOARD.ROWS_EN[3] R=$abc$19662$n18
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n1732 E=$abc$19662$n250 Q=KEYBOARD.ROWS_EN[4] R=$abc$19662$n18
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n1733 E=$abc$19662$n250 Q=KEYBOARD.ROWS_EN[5] R=$abc$19662$n18
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n1734 E=$abc$19662$n250 Q=KEYBOARD.ROWS_EN[6] R=$abc$19662$n18
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n1735 E=$abc$19662$n250 Q=KEYBOARD.ROWS_EN[7] R=$abc$19662$n18
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n1728 E=$abc$19662$n250 Q=KEYBOARD.ROWS_EN[8] R=$abc$19662$n19
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n1729 E=$abc$19662$n250 Q=KEYBOARD.ROWS_EN[9] R=$abc$19662$n19
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n1730 E=$abc$19662$n250 Q=KEYBOARD.ROWS_EN[10] R=$abc$19662$n19
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n1731 E=$abc$19662$n250 Q=KEYBOARD.ROWS_EN[11] R=$abc$19662$n19
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n1732 E=$abc$19662$n250 Q=KEYBOARD.ROWS_EN[12] R=$abc$19662$n19
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n1733 E=$abc$19662$n250 Q=KEYBOARD.ROWS_EN[13] R=$abc$19662$n19
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n1734 E=$abc$19662$n250 Q=KEYBOARD.ROWS_EN[14] R=$abc$19662$n19
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n1735 E=$abc$19662$n250 Q=KEYBOARD.ROWS_EN[15] R=$abc$19662$n19
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n1453 E=$abc$19662$n255 Q=KEYBOARD.isr_internal R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=KEYBOARD.isr_internal E=$abc$19662$n260 Q=KEYBOARD.isr R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESS C=CLK D=$abc$19662$n1500 E=$abc$19662$n262 Q=KEYBOARD.temp[0] S=KEYBOARD.IS_RAM_INIT
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESS C=CLK D=$abc$19662$n1504 E=$abc$19662$n262 Q=KEYBOARD.temp[1] S=KEYBOARD.IS_RAM_INIT
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESS C=CLK D=$abc$19662$n1502 E=$abc$19662$n262 Q=KEYBOARD.temp[2] S=KEYBOARD.IS_RAM_INIT
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESS C=CLK D=$abc$19662$n1505 E=$abc$19662$n262 Q=KEYBOARD.temp[3] S=KEYBOARD.IS_RAM_INIT
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESS C=CLK D=$abc$19662$n1501 E=$abc$19662$n262 Q=KEYBOARD.temp[4] S=KEYBOARD.IS_RAM_INIT
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESS C=CLK D=$abc$19662$n1506 E=$abc$19662$n262 Q=KEYBOARD.temp[5] S=KEYBOARD.IS_RAM_INIT
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESS C=CLK D=$abc$19662$n1507 E=$abc$19662$n262 Q=KEYBOARD.temp[6] S=KEYBOARD.IS_RAM_INIT
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESS C=CLK D=$abc$19662$n1503 E=$abc$19662$n262 Q=KEYBOARD.temp[7] S=KEYBOARD.IS_RAM_INIT
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=$abc$19662$n1421 E=$abc$19662$n250 Q=KEYBOARD.row_counter[0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=$abc$19662$n1423 E=$abc$19662$n250 Q=KEYBOARD.row_counter[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=$abc$19662$n1426 E=$abc$19662$n250 Q=KEYBOARD.row_counter[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=$abc$19662$n18 E=$abc$19662$n250 Q=KEYBOARD.row_counter[3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n792 E=$abc$19662$n243 Q=KEYBOARD.row_time[0] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n793 E=$abc$19662$n243 Q=KEYBOARD.row_time[1] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n795 E=$abc$19662$n243 Q=KEYBOARD.row_time[2] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n796 E=$abc$19662$n243 Q=KEYBOARD.row_time[3] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n799 E=$abc$19662$n243 Q=KEYBOARD.row_time[4] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n649 E=$abc$19662$n243 Q=KEYBOARD.row_time[5] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n650 E=$abc$19662$n243 Q=KEYBOARD.row_time[6] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n643 E=$abc$19662$n243 Q=KEYBOARD.row_time[7] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n803 E=$abc$19662$n243 Q=KEYBOARD.row_time[8] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n804 E=$abc$19662$n243 Q=KEYBOARD.row_time[9] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n806 E=$abc$19662$n243 Q=KEYBOARD.row_time[10] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n807 E=$abc$19662$n243 Q=KEYBOARD.row_time[11] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n809 E=$abc$19662$n243 Q=KEYBOARD.row_time[12] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n810 E=$abc$19662$n243 Q=KEYBOARD.row_time[13] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n814 E=$abc$19662$n243 Q=KEYBOARD.row_time[14] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESS C=CLK D=$abc$19662$n1449 E=$abc$19662$n25 Q=KEYBOARD.IS_RAM_INIT S=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n1520 E=$abc$19662$n281 Q=KEYBOARD.report_data_wr[0] R=KEYBOARD.IS_RAM_INIT
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=$abc$19662$n1444 E=$abc$19662$n281 Q=KEYBOARD.report_data_wr[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n1525 E=$abc$19662$n281 Q=KEYBOARD.report_data_wr[2] R=KEYBOARD.IS_RAM_INIT
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNE C=CLK D=$abc$19662$n1446 E=$abc$19662$n281 Q=KEYBOARD.report_data_wr[3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n1530 E=$abc$19662$n281 Q=KEYBOARD.report_data_wr[4] R=KEYBOARD.IS_RAM_INIT
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n1533 E=$abc$19662$n281 Q=KEYBOARD.report_data_wr[5] R=KEYBOARD.IS_RAM_INIT
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n1536 E=$abc$19662$n281 Q=KEYBOARD.report_data_wr[6] R=KEYBOARD.IS_RAM_INIT
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n1539 E=$abc$19662$n281 Q=KEYBOARD.report_data_wr[7] R=KEYBOARD.IS_RAM_INIT
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFNESR C=CLK D=$abc$19662$n1448 E=$abc$19662$n309 Q=KEYBOARD.report_wr_en R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:64|/usr/local/bin/../share/yosys/ice40/cells_map.v:7"
.gate SB_DFFES C=CLK D=I2C.SDAF E=$abc$19662$n319 Q=I2C.FLT_SDA.out S=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:46|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:23"
.gate SB_DFFER C=CLK D=$abc$19662$n1562 E=$abc$19662$n320 Q=I2C.FLT_SDA.counter[0] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:46|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22"
.gate SB_DFFER C=CLK D=$abc$19662$n1563 E=$abc$19662$n320 Q=I2C.FLT_SDA.counter[1] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:46|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22"
.gate SB_DFFER C=CLK D=$abc$19662$n1564 E=$abc$19662$n320 Q=I2C.FLT_SDA.counter[2] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:46|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22"
.gate SB_DFFES C=CLK D=I2C.SCLF E=$abc$19662$n330 Q=I2C.FLT_SCL.out S=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:45|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:23"
.gate SB_DFFER C=CLK D=$abc$19662$n1565 E=$abc$19662$n331 Q=I2C.FLT_SCL.counter[0] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:45|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22"
.gate SB_DFFER C=CLK D=$abc$19662$n1566 E=$abc$19662$n331 Q=I2C.FLT_SCL.counter[1] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:45|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22"
.gate SB_DFFER C=CLK D=$abc$19662$n1567 E=$abc$19662$n331 Q=I2C.FLT_SCL.counter[2] R=$abc$19662$n21
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:45|simple_filter.v:7|/usr/local/bin/../share/yosys/ice40/cells_map.v:22"
.gate SB_IO D_IN_0=I2C.SDA_IN D_OUT_0=$false OUTPUT_ENABLE=I2C.SDA_DIR PACKAGE_PIN=SDA
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:20|i2c_slave.v:158"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_RAM40_4K RADDR[0]=I2C_COUNTER[0] RADDR[1]=I2C_COUNTER[1] RADDR[2]=I2C_COUNTER[2] RADDR[3]=I2C_COUNTER[3] RADDR[4]=I2C_COUNTER[4] RADDR[5]=I2C_COUNTER[5] RADDR[6]=I2C_COUNTER[6] RADDR[7]=I2C_HID_DESC.DESC_TYPE RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=I2C_HID_DESC.VAL[0] RDATA[1]=I2C_HID_DESC.VAL[1] RDATA[2]=I2C_HID_DESC.VAL[2] RDATA[3]=I2C_HID_DESC.VAL[3] RDATA[4]=I2C_HID_DESC.VAL[4] RDATA[5]=I2C_HID_DESC.VAL[5] RDATA[6]=I2C_HID_DESC.VAL[6] RDATA[7]=I2C_HID_DESC.VAL[7] RDATA[8]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$295[0] RDATA[9]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$295[1] RDATA[10]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$295[2] RDATA[11]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$295[3] RDATA[12]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$295[4] RDATA[13]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$295[5] RDATA[14]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$295[6] RDATA[15]=$techmap\I2C_HID_DESC.$auto$hierarchy.cc:924:execute$295[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WDATA[8]=$false WDATA[9]=$false WDATA[10]=$false WDATA[11]=$false WDATA[12]=$false WDATA[13]=$false WDATA[14]=$false WDATA[15]=$false WE=$false
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:39|descriptors.v:147"
.param INIT_0 0000000000000011000000000000000000000000000001000000000000000000000000000000101000000000000000000000000000000011000000000000000000000000000000100000000000000000000000000011111100000000000000010000000000000000000000000000000000000000000111100000000000000000
.param INIT_1 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000100000000000000010000000000000100000000001001111100000000000000000000000000000110000000000000000000000000000001010000000000000000
.param INIT_8 0000000000100101000000000000000000000000000101010000000011100111000000000010100100000000111000000000000000011001000000000000011100000000000001010000000000000001000000001010000100000000000001100000000000001001000000000000000100000000000001010000000000000000
.param INIT_9 0000000001110101000000000000010100000000100101010000000000000001000000001000000100000000000010000000000001110101000000000000000100000000100101010000000000000010000000001000000100000000000010000000000010010101000000000000000100000000011101010000000000000001
.param INIT_A 0000000010010101000000000000001100000000100100010000000000000011000000000111010100000000000000010000000010010101000000000000001000000000100100010000000000000101000000000010100100000000000000010000000000011001000000000000100000000000000001010000000000000001
.param INIT_B 0000000011000000000000000000000000000000100000010000000001100101000000000010100100000000000000000000000000011001000000000000011100000000000001010000000001100101000000000010010100000000000000000000000000010101000000000000100000000000011101010000000000000110
.param INIT_C 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
.param READ_MODE 00000000000000000000000000000001
.param WRITE_MODE 00000000000000000000000000000001
.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=KEYBOARD.ram_adr[0] RADDR[1]=KEYBOARD.ram_adr[1] RADDR[2]=KEYBOARD.ram_adr[2] RADDR[3]=KEYBOARD.ram_adr[3] RADDR[4]=KEYBOARD.ram_adr[4] RADDR[5]=KEYBOARD.ram_adr[5] RADDR[6]=KEYBOARD.ram_adr[6] RADDR[7]=KEYBOARD.ram_adr[7] RADDR[8]=KEYBOARD.ram_adr[8] RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.RAM.r_data[0] RDATA[1]=$techmap5266\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[1] RDATA[2]=KEYBOARD.RAM.r_data[1] RDATA[3]=$techmap5266\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[3] RDATA[4]=KEYBOARD.RAM.r_data[2] RDATA[5]=$techmap5266\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[5] RDATA[6]=KEYBOARD.RAM.r_data[3] RDATA[7]=$techmap5266\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[7] RDATA[8]=KEYBOARD.RAM.r_data[4] RDATA[9]=$techmap5266\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[9] RDATA[10]=KEYBOARD.RAM.r_data[5] RDATA[11]=$techmap5266\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[11] RDATA[12]=KEYBOARD.RAM.r_data[6] RDATA[13]=$techmap5266\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[13] RDATA[14]=KEYBOARD.RAM.r_data[7] RDATA[15]=$techmap5266\KEYBOARD.RAM.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=KEYBOARD.ram_adr[0] WADDR[1]=KEYBOARD.ram_adr[1] WADDR[2]=KEYBOARD.ram_adr[2] WADDR[3]=KEYBOARD.ram_adr[3] WADDR[4]=KEYBOARD.ram_adr[4] WADDR[5]=KEYBOARD.ram_adr[5] WADDR[6]=KEYBOARD.ram_adr[6] WADDR[7]=KEYBOARD.ram_adr[7] WADDR[8]=KEYBOARD.ram_adr[8] WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=KEYBOARD.ram_wr WDATA[0]=KEYBOARD.temp[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.temp[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.temp[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.temp[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.temp[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.temp[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.temp[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.temp[7] WDATA[15]=$undef WE=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
.param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1
.param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_2 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_3 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_4 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_5 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_6 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_7 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_8 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_9 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_A xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_B xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_C xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_D xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_E xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param READ_MODE 00000000000000000000000000000001
.param WRITE_MODE 00000000000000000000000000000001
.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=KEYBOARD.report_adress_rd[0] RADDR[1]=KEYBOARD.report_adress_rd[1] RADDR[2]=KEYBOARD.report_adress_rd[2] RADDR[3]=KEYBOARD.report_adress_rd[3] RADDR[4]=$false RADDR[5]=$false RADDR[6]=$false RADDR[7]=$false RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.REPORT.r_data[0] RDATA[1]=$techmap5264\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[1] RDATA[2]=KEYBOARD.REPORT.r_data[1] RDATA[3]=$techmap5264\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[3] RDATA[4]=KEYBOARD.REPORT.r_data[2] RDATA[5]=$techmap5264\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[5] RDATA[6]=KEYBOARD.REPORT.r_data[3] RDATA[7]=$techmap5264\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[7] RDATA[8]=KEYBOARD.REPORT.r_data[4] RDATA[9]=$techmap5264\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[9] RDATA[10]=KEYBOARD.REPORT.r_data[5] RDATA[11]=$techmap5264\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[11] RDATA[12]=KEYBOARD.REPORT.r_data[6] RDATA[13]=$techmap5264\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[13] RDATA[14]=KEYBOARD.REPORT.r_data[7] RDATA[15]=$techmap5264\KEYBOARD.REPORT.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=KEYBOARD.report_adress_rd[0] WADDR[1]=KEYBOARD.report_adress_rd[1] WADDR[2]=KEYBOARD.report_adress_rd[2] WADDR[3]=KEYBOARD.report_adress_rd[3] WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=CLK WCLKE=KEYBOARD.report_wr_en WDATA[0]=KEYBOARD.report_data_wr[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.report_data_wr[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.report_data_wr[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.report_data_wr[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.report_data_wr[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.report_data_wr[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.report_data_wr[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.report_data_wr[7] WDATA[15]=$undef WE=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
.param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1
.param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_2 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_3 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_4 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_5 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_6 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_7 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_8 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_9 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_A xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_B xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_C xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_D xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_E xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param READ_MODE 00000000000000000000000000000001
.param WRITE_MODE 00000000000000000000000000000001
.gate SB_RAM40_4K RADDR[0]=KEYBOARD.row_time[5] RADDR[1]=KEYBOARD.row_time[6] RADDR[2]=KEYBOARD.row_time[7] RADDR[3]=KEYBOARD.row_counter[0] RADDR[4]=KEYBOARD.row_counter[1] RADDR[5]=KEYBOARD.row_counter[2] RADDR[6]=KEYBOARD.row_counter[3] RADDR[7]=$false RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=CLK RCLKE=$true RDATA[0]=KEYBOARD.kbd_code_hid[0] RDATA[1]=KEYBOARD.kbd_code_hid[1] RDATA[2]=KEYBOARD.kbd_code_hid[2] RDATA[3]=KEYBOARD.kbd_code_hid[3] RDATA[4]=KEYBOARD.kbd_code_hid[4] RDATA[5]=KEYBOARD.kbd_code_hid[5] RDATA[6]=KEYBOARD.kbd_code_hid[6] RDATA[7]=KEYBOARD.kbd_code_hid[7] RDATA[8]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$296[0] RDATA[9]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$296[1] RDATA[10]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$296[2] RDATA[11]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$296[3] RDATA[12]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$296[4] RDATA[13]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$296[5] RDATA[14]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$296[6] RDATA[15]=$techmap\KEYBOARD.$auto$hierarchy.cc:924:execute$296[7] RE=$true WADDR[0]=$false WADDR[1]=$false WADDR[2]=$false WADDR[3]=$false WADDR[4]=$false WADDR[5]=$false WADDR[6]=$false WADDR[7]=$false WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=$false WCLKE=$false WDATA[0]=$false WDATA[1]=$false WDATA[2]=$false WDATA[3]=$false WDATA[4]=$false WDATA[5]=$false WDATA[6]=$false WDATA[7]=$false WDATA[8]=$false WDATA[9]=$false WDATA[10]=$false WDATA[11]=$false WDATA[12]=$false WDATA[13]=$false WDATA[14]=$false WDATA[15]=$false WE=$false
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:288"
.param INIT_0 0000000001100010000000000101110100000000010010010000000001000101000000000110000000000000010110100000000001010100000000000100111100000000010100100000000000000000000000000100101000000000010011010000000001010111000000000101100000000000010010000000000001010000
.param INIT_1 0000000001100011000000000101111000000000010010110000000001001110000000000110000100000000010110110000000001010101000000000101011000000000000000000000000001011100000000000100110000000000010001000000000001011111000000000101100100000000010100110000000001010001
.param INIT_2 0000000000101001000000000010101100000000001101010000000000011110000000000001010000000000000001000000000000011101000000000000000000000000111000100000000000000000000000000000000000000000010001100000000001000111000000000000000000000000000000000000000011100110
.param INIT_3 0000000000000000000000001110000100000000000000000000000000000000000000000000000000000000111000010000000011100101000000000000000000000000000000000000000000000000000000001110000000000000000000000000000000000000000000000000000000000000111001000000000000000000
.param INIT_4 0000000000111110000000000010101000000000010000100000000001000011000000000000000000000000001100010000000000101000000000000010110000000000001101000000000000101111000000000010110100000000001001110000000000010011000000000011001100000000000000000000000000111000
.param INIT_5 0000000000000000000000000100000000000000010000010000000000100110000000000001001000000000000011110000000000110111000000000000000000000000001111110000000000110000000000000010111000000000001001010000000000001100000000000000111000000000001101100000000000000000
.param INIT_6 0000000000000000000000000011100100000000001110100000000000011111000000000001101000000000000101100000000000011011000000000000000000000000000010110000000000011100000000000010001100000000001001000000000000011000000000000000110100000000000100000000000000010001
.param INIT_7 0000000000001010000000000001011100000000001000100000000000100001000000000001010100000000000010010000000000011001000000000000010100000000001111010000000000111100000000000011101100000000001000000000000000001000000000000000011100000000000001100000000000000000
.param READ_MODE 00000000000000000000000000000001
.param WRITE_MODE 00000000000000000000000000000001
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[0] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[0] PACKAGE_PIN=KBD_ROWS[0]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:314"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[10] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[10] PACKAGE_PIN=KBD_ROWS[10]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:314"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[11] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[11] PACKAGE_PIN=KBD_ROWS[11]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:314"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[12] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[12] PACKAGE_PIN=KBD_ROWS[12]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:314"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[13] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[13] PACKAGE_PIN=KBD_ROWS[13]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:314"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[14] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[14] PACKAGE_PIN=KBD_ROWS[14]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:314"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[15] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[15] PACKAGE_PIN=KBD_ROWS[15]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:314"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[1] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[1] PACKAGE_PIN=KBD_ROWS[1]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:314"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[2] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[2] PACKAGE_PIN=KBD_ROWS[2]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:314"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[3] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[3] PACKAGE_PIN=KBD_ROWS[3]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:314"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[4] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[4] PACKAGE_PIN=KBD_ROWS[4]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:314"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[5] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[5] PACKAGE_PIN=KBD_ROWS[5]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:314"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[6] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[6] PACKAGE_PIN=KBD_ROWS[6]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:314"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[7] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[7] PACKAGE_PIN=KBD_ROWS[7]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:314"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[8] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[8] PACKAGE_PIN=KBD_ROWS[8]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:314"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[9] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[9] PACKAGE_PIN=KBD_ROWS[9]
.attr module_not_derived 00000000000000000000000000000001
.attr src "top.v:37|matrix_kbd.v:314"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_RAM40_4K MASK[0]=$undef MASK[1]=$undef MASK[2]=$undef MASK[3]=$undef MASK[4]=$undef MASK[5]=$undef MASK[6]=$undef MASK[7]=$undef MASK[8]=$undef MASK[9]=$undef MASK[10]=$undef MASK[11]=$undef MASK[12]=$undef MASK[13]=$undef MASK[14]=$undef MASK[15]=$undef RADDR[0]=I2C_COUNTER[0] RADDR[1]=I2C_COUNTER[1] RADDR[2]=I2C_COUNTER[2] RADDR[3]=I2C_COUNTER[3] RADDR[4]=ring_rd[0] RADDR[5]=ring_rd[1] RADDR[6]=ring_rd[2] RADDR[7]=ring_rd[3] RADDR[8]=$false RADDR[9]=$false RADDR[10]=$false RCLK=REPORT_DATA.clk RCLKE=$true RDATA[0]=REPORT_DATA.rdata[0] RDATA[1]=$techmap5265\REPORT_DATA.mem.0.0.0.A1DATA_16[1] RDATA[2]=REPORT_DATA.rdata[1] RDATA[3]=$techmap5265\REPORT_DATA.mem.0.0.0.A1DATA_16[3] RDATA[4]=REPORT_DATA.rdata[2] RDATA[5]=$techmap5265\REPORT_DATA.mem.0.0.0.A1DATA_16[5] RDATA[6]=REPORT_DATA.rdata[3] RDATA[7]=$techmap5265\REPORT_DATA.mem.0.0.0.A1DATA_16[7] RDATA[8]=REPORT_DATA.rdata[4] RDATA[9]=$techmap5265\REPORT_DATA.mem.0.0.0.A1DATA_16[9] RDATA[10]=REPORT_DATA.rdata[5] RDATA[11]=$techmap5265\REPORT_DATA.mem.0.0.0.A1DATA_16[11] RDATA[12]=REPORT_DATA.rdata[6] RDATA[13]=$techmap5265\REPORT_DATA.mem.0.0.0.A1DATA_16[13] RDATA[14]=REPORT_DATA.rdata[7] RDATA[15]=$techmap5265\REPORT_DATA.mem.0.0.0.A1DATA_16[15] RE=$true WADDR[0]=wr_cnt[0] WADDR[1]=wr_cnt[1] WADDR[2]=wr_cnt[2] WADDR[3]=wr_cnt[3] WADDR[4]=ring_wr[0] WADDR[5]=ring_wr[1] WADDR[6]=ring_wr[2] WADDR[7]=ring_wr[3] WADDR[8]=$false WADDR[9]=$false WADDR[10]=$false WCLK=REPORT_DATA.clk WCLKE=report_wr_en WDATA[0]=KEYBOARD.REPORT.r_data[0] WDATA[1]=$undef WDATA[2]=KEYBOARD.REPORT.r_data[1] WDATA[3]=$undef WDATA[4]=KEYBOARD.REPORT.r_data[2] WDATA[5]=$undef WDATA[6]=KEYBOARD.REPORT.r_data[3] WDATA[7]=$undef WDATA[8]=KEYBOARD.REPORT.r_data[4] WDATA[9]=$undef WDATA[10]=KEYBOARD.REPORT.r_data[5] WDATA[11]=$undef WDATA[12]=KEYBOARD.REPORT.r_data[6] WDATA[13]=$undef WDATA[14]=KEYBOARD.REPORT.r_data[7] WDATA[15]=$undef WE=$true
.attr module_not_derived 00000000000000000000000000000001
.attr src "/usr/local/bin/../share/yosys/ice40/brams_map.v:277|/usr/local/bin/../share/yosys/ice40/brams_map.v:35"
.param INIT_0 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx1x1x1x1x1x1x1x1
.param INIT_1 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_2 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_3 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_4 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_5 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_6 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_7 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_8 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_9 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_A xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_B xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_C xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_D xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_E xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param INIT_F xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
.param READ_MODE 00000000000000000000000000000001
.param WRITE_MODE 00000000000000000000000000000001
.names $true COM_DSR
1 1
.names I2C.is_read COM_RTS
1 1
.names CLK I2C.CLK
1 1
.names CLK I2C.FLT_SCL.CLK
1 1
.names I2C.SCLF I2C.FLT_SCL.IN
1 1
.names I2C.FLT_SCL.out I2C.FLT_SCL.OUT
1 1
.names CLK I2C.FLT_SDA.CLK
1 1
.names I2C.SDAF I2C.FLT_SDA.IN
1 1
.names I2C.FLT_SDA.out I2C.FLT_SDA.OUT
1 1
.names I2C.FLT_SCL.RESET I2C.FLT_SDA.RESET
1 1
.names I2C.is_ack I2C.IS_ACK
1 1
.names I2C.is_read I2C.IS_READ
1 1
.names I2C_TRANS I2C.IS_TRANSMISSION
1 1
.names I2C.received_byte[0] I2C.RECEIVED_BYTE[0]
1 1
.names I2C.received_byte[1] I2C.RECEIVED_BYTE[1]
1 1
.names I2C.received_byte[2] I2C.RECEIVED_BYTE[2]
1 1
.names I2C.received_byte[3] I2C.RECEIVED_BYTE[3]
1 1
.names I2C.received_byte[4] I2C.RECEIVED_BYTE[4]
1 1
.names I2C.received_byte[5] I2C.RECEIVED_BYTE[5]
1 1
.names I2C.received_byte[6] I2C.RECEIVED_BYTE[6]
1 1
.names I2C.received_byte[7] I2C.RECEIVED_BYTE[7]
1 1
.names I2C.FLT_SCL.RESET I2C.RESET
1 1
.names SCL I2C.SCL
1 1
.names I2C.FLT_SCL.out I2C.SCLD
1 1
.names SDA I2C.SDA
1 1
.names I2C.FLT_SDA.out I2C.SDAD
1 1
.names I2C.wr I2C.WR
1 1
.names I2C.is_ack I2C_ACK
1 1
.names I2C_COUNTER[0] I2C_HID_DESC.ADR[0]
1 1
.names I2C_COUNTER[1] I2C_HID_DESC.ADR[1]
1 1
.names I2C_COUNTER[2] I2C_HID_DESC.ADR[2]
1 1
.names I2C_COUNTER[3] I2C_HID_DESC.ADR[3]
1 1
.names I2C_COUNTER[4] I2C_HID_DESC.ADR[4]
1 1
.names I2C_COUNTER[5] I2C_HID_DESC.ADR[5]
1 1
.names I2C_COUNTER[6] I2C_HID_DESC.ADR[6]
1 1
.names CLK I2C_HID_DESC.CLK
1 1
.names I2C_COUNTER[0] I2C_HID_DESC.RAM_ADR[0]
1 1
.names I2C_COUNTER[1] I2C_HID_DESC.RAM_ADR[1]
1 1
.names I2C_COUNTER[2] I2C_HID_DESC.RAM_ADR[2]
1 1
.names I2C_COUNTER[3] I2C_HID_DESC.RAM_ADR[3]
1 1
.names I2C_COUNTER[4] I2C_HID_DESC.RAM_ADR[4]
1 1
.names I2C_COUNTER[5] I2C_HID_DESC.RAM_ADR[5]
1 1
.names I2C_COUNTER[6] I2C_HID_DESC.RAM_ADR[6]
1 1
.names I2C_HID_DESC.DESC_TYPE I2C_HID_DESC.RAM_ADR[7]
1 1
.names I2C_HID_DESC.DESC_TYPE I2C_OUTPUT_TYPE[0]
1 1
.names I2C.is_read I2C_READ
1 1
.names I2C.received_byte[0] I2C_RX[0]
1 1
.names I2C.received_byte[1] I2C_RX[1]
1 1
.names I2C.received_byte[2] I2C_RX[2]
1 1
.names I2C.received_byte[3] I2C_RX[3]
1 1
.names I2C.received_byte[4] I2C_RX[4]
1 1
.names I2C.received_byte[5] I2C_RX[5]
1 1
.names I2C.received_byte[6] I2C_RX[6]
1 1
.names I2C.received_byte[7] I2C_RX[7]
1 1
.names I2C_HID_DESC.VAL[0] I2C_TX_DESC[0]
1 1
.names I2C_HID_DESC.VAL[1] I2C_TX_DESC[1]
1 1
.names I2C_HID_DESC.VAL[2] I2C_TX_DESC[2]
1 1
.names I2C_HID_DESC.VAL[3] I2C_TX_DESC[3]
1 1
.names I2C_HID_DESC.VAL[4] I2C_TX_DESC[4]
1 1
.names I2C_HID_DESC.VAL[5] I2C_TX_DESC[5]
1 1
.names I2C_HID_DESC.VAL[6] I2C_TX_DESC[6]
1 1
.names I2C_HID_DESC.VAL[7] I2C_TX_DESC[7]
1 1
.names I2C.wr I2C_WR
1 1
.names COM_DCD INT
1 1
.names COM_DCD INTERRUPT
1 1
.names KEYBOARD.isr ISR
1 1
.names LED2 KBD_LED_STATUS[0]
1 1
.names LED3 KBD_LED_STATUS[1]
1 1
.names LED4 KBD_LED_STATUS[2]
1 1
.names CLK KEYBOARD.CLK
1 1
.names KBD_COLUMNS[0] KEYBOARD.COLUMNS[0]
1 1
.names KBD_COLUMNS[1] KEYBOARD.COLUMNS[1]
1 1
.names KBD_COLUMNS[2] KEYBOARD.COLUMNS[2]
1 1
.names KBD_COLUMNS[3] KEYBOARD.COLUMNS[3]
1 1
.names KBD_COLUMNS[4] KEYBOARD.COLUMNS[4]
1 1
.names KBD_COLUMNS[5] KEYBOARD.COLUMNS[5]
1 1
.names KBD_COLUMNS[6] KEYBOARD.COLUMNS[6]
1 1
.names KBD_COLUMNS[7] KEYBOARD.COLUMNS[7]
1 1
.names IS_RAM_INIT KEYBOARD.FREEZE
1 1
.names KEYBOARD.isr KEYBOARD.INT
1 1
.names CLK KEYBOARD.RAM.clk
1 1
.names KEYBOARD.ram_adr[0] KEYBOARD.RAM.raddr[0]
1 1
.names KEYBOARD.ram_adr[1] KEYBOARD.RAM.raddr[1]
1 1
.names KEYBOARD.ram_adr[2] KEYBOARD.RAM.raddr[2]
1 1
.names KEYBOARD.ram_adr[3] KEYBOARD.RAM.raddr[3]
1 1
.names KEYBOARD.ram_adr[4] KEYBOARD.RAM.raddr[4]
1 1
.names KEYBOARD.ram_adr[5] KEYBOARD.RAM.raddr[5]
1 1
.names KEYBOARD.ram_adr[6] KEYBOARD.RAM.raddr[6]
1 1
.names KEYBOARD.ram_adr[7] KEYBOARD.RAM.raddr[7]
1 1
.names KEYBOARD.ram_adr[8] KEYBOARD.RAM.raddr[8]
1 1
.names KEYBOARD.RAM.r_data[0] KEYBOARD.RAM.rdata[0]
1 1
.names KEYBOARD.RAM.r_data[1] KEYBOARD.RAM.rdata[1]
1 1
.names KEYBOARD.RAM.r_data[2] KEYBOARD.RAM.rdata[2]
1 1
.names KEYBOARD.RAM.r_data[3] KEYBOARD.RAM.rdata[3]
1 1
.names KEYBOARD.RAM.r_data[4] KEYBOARD.RAM.rdata[4]
1 1
.names KEYBOARD.RAM.r_data[5] KEYBOARD.RAM.rdata[5]
1 1
.names KEYBOARD.RAM.r_data[6] KEYBOARD.RAM.rdata[6]
1 1
.names KEYBOARD.RAM.r_data[7] KEYBOARD.RAM.rdata[7]
1 1
.names KEYBOARD.ram_adr[0] KEYBOARD.RAM.waddr[0]
1 1
.names KEYBOARD.ram_adr[1] KEYBOARD.RAM.waddr[1]
1 1
.names KEYBOARD.ram_adr[2] KEYBOARD.RAM.waddr[2]
1 1
.names KEYBOARD.ram_adr[3] KEYBOARD.RAM.waddr[3]
1 1
.names KEYBOARD.ram_adr[4] KEYBOARD.RAM.waddr[4]
1 1
.names KEYBOARD.ram_adr[5] KEYBOARD.RAM.waddr[5]
1 1
.names KEYBOARD.ram_adr[6] KEYBOARD.RAM.waddr[6]
1 1
.names KEYBOARD.ram_adr[7] KEYBOARD.RAM.waddr[7]
1 1
.names KEYBOARD.ram_adr[8] KEYBOARD.RAM.waddr[8]
1 1
.names KEYBOARD.temp[0] KEYBOARD.RAM.wdata[0]
1 1
.names KEYBOARD.temp[1] KEYBOARD.RAM.wdata[1]
1 1
.names KEYBOARD.temp[2] KEYBOARD.RAM.wdata[2]
1 1
.names KEYBOARD.temp[3] KEYBOARD.RAM.wdata[3]
1 1
.names KEYBOARD.temp[4] KEYBOARD.RAM.wdata[4]
1 1
.names KEYBOARD.temp[5] KEYBOARD.RAM.wdata[5]
1 1
.names KEYBOARD.temp[6] KEYBOARD.RAM.wdata[6]
1 1
.names KEYBOARD.temp[7] KEYBOARD.RAM.wdata[7]
1 1
.names KEYBOARD.ram_wr KEYBOARD.RAM.wen
1 1
.names CLK KEYBOARD.REPORT.clk
1 1
.names KEYBOARD.report_adress_rd[0] KEYBOARD.REPORT.raddr[0]
1 1
.names KEYBOARD.report_adress_rd[1] KEYBOARD.REPORT.raddr[1]
1 1
.names KEYBOARD.report_adress_rd[2] KEYBOARD.REPORT.raddr[2]
1 1
.names KEYBOARD.report_adress_rd[3] KEYBOARD.REPORT.raddr[3]
1 1
.names $false KEYBOARD.REPORT.raddr[4]
1 1
.names $false KEYBOARD.REPORT.raddr[5]
1 1
.names $false KEYBOARD.REPORT.raddr[6]
1 1
.names $false KEYBOARD.REPORT.raddr[7]
1 1
.names $false KEYBOARD.REPORT.raddr[8]
1 1
.names KEYBOARD.REPORT.r_data[0] KEYBOARD.REPORT.rdata[0]
1 1
.names KEYBOARD.REPORT.r_data[1] KEYBOARD.REPORT.rdata[1]
1 1
.names KEYBOARD.REPORT.r_data[2] KEYBOARD.REPORT.rdata[2]
1 1
.names KEYBOARD.REPORT.r_data[3] KEYBOARD.REPORT.rdata[3]
1 1
.names KEYBOARD.REPORT.r_data[4] KEYBOARD.REPORT.rdata[4]
1 1
.names KEYBOARD.REPORT.r_data[5] KEYBOARD.REPORT.rdata[5]
1 1
.names KEYBOARD.REPORT.r_data[6] KEYBOARD.REPORT.rdata[6]
1 1
.names KEYBOARD.REPORT.r_data[7] KEYBOARD.REPORT.rdata[7]
1 1
.names KEYBOARD.report_adress_rd[0] KEYBOARD.REPORT.waddr[0]
1 1
.names KEYBOARD.report_adress_rd[1] KEYBOARD.REPORT.waddr[1]
1 1
.names KEYBOARD.report_adress_rd[2] KEYBOARD.REPORT.waddr[2]
1 1
.names KEYBOARD.report_adress_rd[3] KEYBOARD.REPORT.waddr[3]
1 1
.names $false KEYBOARD.REPORT.waddr[4]
1 1
.names $false KEYBOARD.REPORT.waddr[5]
1 1
.names $false KEYBOARD.REPORT.waddr[6]
1 1
.names $false KEYBOARD.REPORT.waddr[7]
1 1
.names $false KEYBOARD.REPORT.waddr[8]
1 1
.names KEYBOARD.report_data_wr[0] KEYBOARD.REPORT.wdata[0]
1 1
.names KEYBOARD.report_data_wr[1] KEYBOARD.REPORT.wdata[1]
1 1
.names KEYBOARD.report_data_wr[2] KEYBOARD.REPORT.wdata[2]
1 1
.names KEYBOARD.report_data_wr[3] KEYBOARD.REPORT.wdata[3]
1 1
.names KEYBOARD.report_data_wr[4] KEYBOARD.REPORT.wdata[4]
1 1
.names KEYBOARD.report_data_wr[5] KEYBOARD.REPORT.wdata[5]
1 1
.names KEYBOARD.report_data_wr[6] KEYBOARD.REPORT.wdata[6]
1 1
.names KEYBOARD.report_data_wr[7] KEYBOARD.REPORT.wdata[7]
1 1
.names KEYBOARD.report_wr_en KEYBOARD.REPORT.wen
1 1
.names wr_cnt[0] KEYBOARD.REPORT_ADRESS[0]
1 1
.names wr_cnt[1] KEYBOARD.REPORT_ADRESS[1]
1 1
.names wr_cnt[2] KEYBOARD.REPORT_ADRESS[2]
1 1
.names wr_cnt[3] KEYBOARD.REPORT_ADRESS[3]
1 1
.names KEYBOARD.REPORT.r_data[0] KEYBOARD.REPORT_DATA[0]
1 1
.names KEYBOARD.REPORT.r_data[1] KEYBOARD.REPORT_DATA[1]
1 1
.names KEYBOARD.REPORT.r_data[2] KEYBOARD.REPORT_DATA[2]
1 1
.names KEYBOARD.REPORT.r_data[3] KEYBOARD.REPORT_DATA[3]
1 1
.names KEYBOARD.REPORT.r_data[4] KEYBOARD.REPORT_DATA[4]
1 1
.names KEYBOARD.REPORT.r_data[5] KEYBOARD.REPORT_DATA[5]
1 1
.names KEYBOARD.REPORT.r_data[6] KEYBOARD.REPORT_DATA[6]
1 1
.names KEYBOARD.REPORT.r_data[7] KEYBOARD.REPORT_DATA[7]
1 1
.names I2C.FLT_SCL.RESET KEYBOARD.RESET
1 1
.names KBD_ROWS[0] KEYBOARD.ROWS[0]
1 1
.names KBD_ROWS[1] KEYBOARD.ROWS[1]
1 1
.names KBD_ROWS[2] KEYBOARD.ROWS[2]
1 1
.names KBD_ROWS[3] KEYBOARD.ROWS[3]
1 1
.names KBD_ROWS[4] KEYBOARD.ROWS[4]
1 1
.names KBD_ROWS[5] KEYBOARD.ROWS[5]
1 1
.names KBD_ROWS[6] KEYBOARD.ROWS[6]
1 1
.names KBD_ROWS[7] KEYBOARD.ROWS[7]
1 1
.names KBD_ROWS[8] KEYBOARD.ROWS[8]
1 1
.names KBD_ROWS[9] KEYBOARD.ROWS[9]
1 1
.names KBD_ROWS[10] KEYBOARD.ROWS[10]
1 1
.names KBD_ROWS[11] KEYBOARD.ROWS[11]
1 1
.names KBD_ROWS[12] KEYBOARD.ROWS[12]
1 1
.names KBD_ROWS[13] KEYBOARD.ROWS[13]
1 1
.names KBD_ROWS[14] KEYBOARD.ROWS[14]
1 1
.names KBD_ROWS[15] KEYBOARD.ROWS[15]
1 1
.names KEYBOARD.row_time[5] KEYBOARD.kbd_code[0]
1 1
.names KEYBOARD.row_time[6] KEYBOARD.kbd_code[1]
1 1
.names KEYBOARD.row_time[7] KEYBOARD.kbd_code[2]
1 1
.names KEYBOARD.row_counter[0] KEYBOARD.kbd_code[3]
1 1
.names KEYBOARD.row_counter[1] KEYBOARD.kbd_code[4]
1 1
.names KEYBOARD.row_counter[2] KEYBOARD.kbd_code[5]
1 1
.names KEYBOARD.row_counter[3] KEYBOARD.kbd_code[6]
1 1
.names KEYBOARD.RAM.r_data[0] KEYBOARD.ram_rd[0]
1 1
.names KEYBOARD.RAM.r_data[1] KEYBOARD.ram_rd[1]
1 1
.names KEYBOARD.RAM.r_data[2] KEYBOARD.ram_rd[2]
1 1
.names KEYBOARD.RAM.r_data[3] KEYBOARD.ram_rd[3]
1 1
.names KEYBOARD.RAM.r_data[4] KEYBOARD.ram_rd[4]
1 1
.names KEYBOARD.RAM.r_data[5] KEYBOARD.ram_rd[5]
1 1
.names KEYBOARD.RAM.r_data[6] KEYBOARD.ram_rd[6]
1 1
.names KEYBOARD.RAM.r_data[7] KEYBOARD.ram_rd[7]
1 1
.names KEYBOARD.report_adress_rd[0] KEYBOARD.report_adress_wr[0]
1 1
.names KEYBOARD.report_adress_rd[1] KEYBOARD.report_adress_wr[1]
1 1
.names KEYBOARD.report_adress_rd[2] KEYBOARD.report_adress_wr[2]
1 1
.names KEYBOARD.report_adress_rd[3] KEYBOARD.report_adress_wr[3]
1 1
.names KEYBOARD.REPORT.r_data[0] KEYBOARD.report_data_rd[0]
1 1
.names KEYBOARD.REPORT.r_data[1] KEYBOARD.report_data_rd[1]
1 1
.names KEYBOARD.REPORT.r_data[2] KEYBOARD.report_data_rd[2]
1 1
.names KEYBOARD.REPORT.r_data[3] KEYBOARD.report_data_rd[3]
1 1
.names KEYBOARD.REPORT.r_data[4] KEYBOARD.report_data_rd[4]
1 1
.names KEYBOARD.REPORT.r_data[5] KEYBOARD.report_data_rd[5]
1 1
.names KEYBOARD.REPORT.r_data[6] KEYBOARD.report_data_rd[6]
1 1
.names KEYBOARD.REPORT.r_data[7] KEYBOARD.report_data_rd[7]
1 1
.names I2C_TRANS LED5
1 1
.names REPORT_DATA.rdata[0] REPORT_DATA.r_data[0]
1 1
.names REPORT_DATA.rdata[1] REPORT_DATA.r_data[1]
1 1
.names REPORT_DATA.rdata[2] REPORT_DATA.r_data[2]
1 1
.names I2C_COUNTER[0] REPORT_DATA.raddr[0]
1 1
.names I2C_COUNTER[1] REPORT_DATA.raddr[1]
1 1
.names I2C_COUNTER[2] REPORT_DATA.raddr[2]
1 1
.names I2C_COUNTER[3] REPORT_DATA.raddr[3]
1 1
.names ring_rd[0] REPORT_DATA.raddr[4]
1 1
.names ring_rd[1] REPORT_DATA.raddr[5]
1 1
.names ring_rd[2] REPORT_DATA.raddr[6]
1 1
.names ring_rd[3] REPORT_DATA.raddr[7]
1 1
.names $false REPORT_DATA.raddr[8]
1 1
.names wr_cnt[0] REPORT_DATA.waddr[0]
1 1
.names wr_cnt[1] REPORT_DATA.waddr[1]
1 1
.names wr_cnt[2] REPORT_DATA.waddr[2]
1 1
.names wr_cnt[3] REPORT_DATA.waddr[3]
1 1
.names ring_wr[0] REPORT_DATA.waddr[4]
1 1
.names ring_wr[1] REPORT_DATA.waddr[5]
1 1
.names ring_wr[2] REPORT_DATA.waddr[6]
1 1
.names ring_wr[3] REPORT_DATA.waddr[7]
1 1
.names $false REPORT_DATA.waddr[8]
1 1
.names KEYBOARD.REPORT.r_data[0] REPORT_DATA.wdata[0]
1 1
.names KEYBOARD.REPORT.r_data[1] REPORT_DATA.wdata[1]
1 1
.names KEYBOARD.REPORT.r_data[2] REPORT_DATA.wdata[2]
1 1
.names KEYBOARD.REPORT.r_data[3] REPORT_DATA.wdata[3]
1 1
.names KEYBOARD.REPORT.r_data[4] REPORT_DATA.wdata[4]
1 1
.names KEYBOARD.REPORT.r_data[5] REPORT_DATA.wdata[5]
1 1
.names KEYBOARD.REPORT.r_data[6] REPORT_DATA.wdata[6]
1 1
.names KEYBOARD.REPORT.r_data[7] REPORT_DATA.wdata[7]
1 1
.names report_wr_en REPORT_DATA.wen
1 1
.names I2C.FLT_SCL.RESET RESET
1 1
.names CLK UART.CLK
1 1
.names I2C.FLT_SCL.RESET UART.RESET
1 1
.names UART.tx_activity UART.TX_ACTIVITY
1 1
.names UART_TX_DATA[0] UART.TX_BYTE[0]
1 1
.names UART_TX_DATA[1] UART.TX_BYTE[1]
1 1
.names UART_TX_DATA[2] UART.TX_BYTE[2]
1 1
.names UART_TX_DATA[3] UART.TX_BYTE[3]
1 1
.names UART_TX_DATA[4] UART.TX_BYTE[4]
1 1
.names UART_TX_DATA[5] UART.TX_BYTE[5]
1 1
.names UART_TX_DATA[6] UART.TX_BYTE[6]
1 1
.names UART_TX_DATA[7] UART.TX_BYTE[7]
1 1
.names COM_TX UART.TX_LINE
1 1
.names UART_WR UART.TX_SIGNAL
1 1
.names COM_TX UART.tx_line
1 1
.names UART.tx_activity UART_ACTIVE
1 1
.names COM_TX UART_TX_LINE
1 1
.names I2C_COUNTER[0] report_data_radr[0]
1 1
.names I2C_COUNTER[1] report_data_radr[1]
1 1
.names I2C_COUNTER[2] report_data_radr[2]
1 1
.names I2C_COUNTER[3] report_data_radr[3]
1 1
.names ring_rd[0] report_data_radr[4]
1 1
.names ring_rd[1] report_data_radr[5]
1 1
.names ring_rd[2] report_data_radr[6]
1 1
.names ring_rd[3] report_data_radr[7]
1 1
.names REPORT_DATA.rdata[0] report_data_rd[0]
1 1
.names REPORT_DATA.rdata[1] report_data_rd[1]
1 1
.names REPORT_DATA.rdata[2] report_data_rd[2]
1 1
.names REPORT_DATA.rdata[3] report_data_rd[3]
1 1
.names REPORT_DATA.rdata[4] report_data_rd[4]
1 1
.names REPORT_DATA.rdata[5] report_data_rd[5]
1 1
.names REPORT_DATA.rdata[6] report_data_rd[6]
1 1
.names REPORT_DATA.rdata[7] report_data_rd[7]
1 1
.names wr_cnt[0] report_data_wadr[0]
1 1
.names wr_cnt[1] report_data_wadr[1]
1 1
.names wr_cnt[2] report_data_wadr[2]
1 1
.names wr_cnt[3] report_data_wadr[3]
1 1
.names ring_wr[0] report_data_wadr[4]
1 1
.names ring_wr[1] report_data_wadr[5]
1 1
.names ring_wr[2] report_data_wadr[6]
1 1
.names ring_wr[3] report_data_wadr[7]
1 1
.names KEYBOARD.REPORT.r_data[0] report_data_wr[0]
1 1
.names KEYBOARD.REPORT.r_data[1] report_data_wr[1]
1 1
.names KEYBOARD.REPORT.r_data[2] report_data_wr[2]
1 1
.names KEYBOARD.REPORT.r_data[3] report_data_wr[3]
1 1
.names KEYBOARD.REPORT.r_data[4] report_data_wr[4]
1 1
.names KEYBOARD.REPORT.r_data[5] report_data_wr[5]
1 1
.names KEYBOARD.REPORT.r_data[6] report_data_wr[6]
1 1
.names KEYBOARD.REPORT.r_data[7] report_data_wr[7]
1 1
.end