You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

3379 lines
247 KiB

# Generated by Yosys 0.7 (Apio build) (git sha1 8c071a2, gcc 4.8.4-2ubuntu1~14.04.3 -fPIC -Os)
.model top
.inputs CLK SCL SDA COM_RX KBD_COLUMNS[0] KBD_COLUMNS[1] KBD_COLUMNS[2] KBD_COLUMNS[3] KBD_ROWS[0] KBD_ROWS[1] KBD_ROWS[2] KBD_ROWS[3]
.outputs LED1 LED2 LED3 LED4 LED5 SDA INTERRUPT COM_TX COM_DCD COM_DSR COM_RTS KBD_ROWS[0] KBD_ROWS[1] KBD_ROWS[2] KBD_ROWS[3]
.names $false
.names $true
1
.names $undef
.gate SB_LUT4 I0=$abc$32112$n533 I1=$abc$32112$n2002 I2=$abc$32112$n538 I3=UART.tx_activity O=$abc$32112$n3
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000111111111
.gate SB_LUT4 I0=$abc$32112$n534 I1=$abc$32112$n537 I2=$abc$32112$n1572 I3=$abc$32112$n1558 O=$abc$32112$n533
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000110000000101
.gate SB_LUT4 I0=UART_TX_DATA[0] I1=UART_TX_DATA[2] I2=$abc$32112$n535 I3=$false O=$abc$32112$n534
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=$abc$32112$n2106 I1=$abc$32112$n2107 I2=$false I3=$false O=$abc$32112$n535
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0110
.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=$false I3=$false O=$abc$32112$n2106
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0110
.gate SB_LUT4 I0=UART_TX_DATA[4] I1=UART_TX_DATA[6] I2=$abc$32112$n535 I3=$false O=$abc$32112$n537
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10101100
.gate SB_LUT4 I0=$abc$32112$n539_1 I1=$abc$32112$n540 I2=$abc$32112$n1558 I3=$abc$32112$n1572 O=$abc$32112$n538
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010001100000000
.gate SB_LUT4 I0=UART_TX_DATA[5] I1=UART_TX_DATA[7] I2=$abc$32112$n535 I3=$false O=$abc$32112$n539_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10101100
.gate SB_LUT4 I0=UART_TX_DATA[1] I1=UART_TX_DATA[3] I2=$abc$32112$n535 I3=$false O=$abc$32112$n540
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=$abc$32112$n542 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$32112$n5
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0111
.gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=$false I3=$false O=$abc$32112$n542
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=I2C.i2c_state_machine I1=I2C.i2c_start_latency I2=$false I3=$false O=I2C_TRANS
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=rststate[3] I1=rststate[2] I2=rststate[1] I3=rststate[0] O=I2C.FLT_SCL.RESET
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=I2C_OUTPUT_TYPE[0] I2=$false I3=$false O=$abc$32112$n19
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=KEYBOARD.row_counter[1] I2=$false I3=$false O=$abc$32112$n23
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1001
.gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$false I3=$false O=$abc$32112$n25
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1011
.gate SB_LUT4 I0=$abc$32112$n549 I1=$abc$32112$n550 I2=$abc$32112$n551 I3=$abc$32112$n552 O=$abc$32112$n29
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[1] I2=KEYBOARD.row_time[11] I3=KEYBOARD.row_time[7] O=$abc$32112$n549
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=KEYBOARD.row_time[3] I1=KEYBOARD.row_time[2] I2=KEYBOARD.row_time[5] I3=KEYBOARD.row_time[6] O=$abc$32112$n550
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=KEYBOARD.row_time[14] I1=KEYBOARD.row_time[15] I2=KEYBOARD.row_time[9] I3=KEYBOARD.row_time[10] O=$abc$32112$n551
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=KEYBOARD.row_time[4] I1=KEYBOARD.row_time[8] I2=KEYBOARD.row_time[12] I3=KEYBOARD.row_time[13] O=$abc$32112$n552
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$abc$32112$n554 I1=$abc$32112$n568 I2=$abc$32112$n576 I3=$abc$32112$n584 O=$abc$32112$n60
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111111111111110
.gate SB_LUT4 I0=$abc$32112$n560 I1=$abc$32112$n1281_1 I2=KEYBOARD.COLS_SHADOW[1] I3=$abc$32112$n563 O=$abc$32112$n554
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100000100000000
.gate SB_LUT4 I0=$abc$32112$n1174 I1=$abc$32112$n1175 I2=$false I3=$false O=$abc$32112$n560
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0110
.gate SB_LUT4 I0=$abc$32112$n29 I1=KEYBOARD.row_counter[0] I2=$false I3=$false O=$abc$32112$n1174
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0110
.gate SB_LUT4 I0=$abc$32112$n29 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$32112$n1175
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01111000
.gate SB_LUT4 I0=KEYBOARD.row_time[3] I1=KEYBOARD.row_time[2] I2=$abc$32112$n564 I3=$abc$32112$n566 O=$abc$32112$n563
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=$abc$32112$n565 I2=KEYBOARD.row_time[1] I3=$false O=$abc$32112$n564
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=KEYBOARD.row_time[7] I1=KEYBOARD.row_time[4] I2=KEYBOARD.row_time[5] I3=KEYBOARD.row_time[6] O=$abc$32112$n565
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=$abc$32112$n551 I1=$abc$32112$n567 I2=$false I3=$false O=$abc$32112$n566
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=KEYBOARD.row_time[11] I1=KEYBOARD.row_time[13] I2=KEYBOARD.row_time[8] I3=KEYBOARD.row_time[12] O=$abc$32112$n567
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$32112$n1284 I1=KEYBOARD.COLS_SHADOW[3] I2=$abc$32112$n575 I3=$abc$32112$n569 O=$abc$32112$n568
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1001000000000000
.gate SB_LUT4 I0=KEYBOARD.row_counter[1] I1=$abc$32112$n1174 I2=$false I3=$false O=$abc$32112$n569
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=KEYBOARD.row_time[3] I1=$abc$32112$n566 I2=$abc$32112$n564 I3=KEYBOARD.row_time[2] O=$abc$32112$n575
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=$abc$32112$n1174 I1=$abc$32112$n1287 I2=KEYBOARD.COLS_SHADOW[2] I3=$abc$32112$n582 O=$abc$32112$n576
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100000100000000
.gate SB_LUT4 I0=KEYBOARD.row_time[3] I1=$abc$32112$n583 I2=$abc$32112$n566 I3=KEYBOARD.row_time[2] O=$abc$32112$n582
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[1] I2=$abc$32112$n565 I3=$false O=$abc$32112$n583
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$32112$n585 I1=$abc$32112$n1290 I2=KEYBOARD.COLS_SHADOW[0] I3=$abc$32112$n591 O=$abc$32112$n584
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100000100000000
.gate SB_LUT4 I0=$abc$32112$n1174 I1=$abc$32112$n1175 I2=$false I3=$false O=$abc$32112$n585
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=KEYBOARD.row_time[3] I1=KEYBOARD.row_time[2] I2=$abc$32112$n566 I3=$abc$32112$n583 O=$abc$32112$n591
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$32112$n593 I1=$abc$32112$n594 I2=$abc$32112$n595_1 I3=$abc$32112$n597 O=$abc$32112$n61
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111111111111110
.gate SB_LUT4 I0=$abc$32112$n1281_1 I1=KEYBOARD.COLS_SHADOW[1] I2=$abc$32112$n563 I3=$abc$32112$n585 O=$abc$32112$n593
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1001000000000000
.gate SB_LUT4 I0=$abc$32112$n1284 I1=KEYBOARD.COLS_SHADOW[3] I2=$abc$32112$n575 I3=$abc$32112$n560 O=$abc$32112$n594
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1001000000000000
.gate SB_LUT4 I0=$abc$32112$n596 I1=$abc$32112$n1290 I2=KEYBOARD.COLS_SHADOW[0] I3=$abc$32112$n591 O=$abc$32112$n595_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100000100000000
.gate SB_LUT4 I0=$abc$32112$n1174 I1=$abc$32112$n1175 I2=$false I3=$false O=$abc$32112$n596
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$32112$n1287 I1=KEYBOARD.COLS_SHADOW[2] I2=$abc$32112$n582 I3=$abc$32112$n598 O=$abc$32112$n597
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1001000000000000
.gate SB_LUT4 I0=$abc$32112$n1174 I1=KEYBOARD.row_counter[1] I2=$false I3=$false O=$abc$32112$n598
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n600 I1=$abc$32112$n560 I2=$abc$32112$n602 I3=$abc$32112$n601_1 O=$abc$32112$n63
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111111111111000
.gate SB_LUT4 I0=$abc$32112$n1281_1 I1=KEYBOARD.COLS_SHADOW[1] I2=$abc$32112$n563 I3=$false O=$abc$32112$n600
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10010000
.gate SB_LUT4 I0=$abc$32112$n1287 I1=KEYBOARD.COLS_SHADOW[2] I2=$abc$32112$n582 I3=$abc$32112$n585 O=$abc$32112$n601_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1001000000000000
.gate SB_LUT4 I0=$abc$32112$n1284 I1=KEYBOARD.COLS_SHADOW[3] I2=$abc$32112$n575 I3=$abc$32112$n1174 O=$abc$32112$n602
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1001000000000000
.gate SB_LUT4 I0=$abc$32112$n604 I1=$abc$32112$n605_1 I2=$abc$32112$n606 I3=$abc$32112$n607 O=$abc$32112$n64
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111111111111110
.gate SB_LUT4 I0=$abc$32112$n585 I1=$abc$32112$n1287 I2=KEYBOARD.COLS_SHADOW[2] I3=$abc$32112$n582 O=$abc$32112$n604
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100000100000000
.gate SB_LUT4 I0=$abc$32112$n569 I1=$abc$32112$n1290 I2=KEYBOARD.COLS_SHADOW[0] I3=$abc$32112$n591 O=$abc$32112$n605_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100000100000000
.gate SB_LUT4 I0=$abc$32112$n1281_1 I1=KEYBOARD.COLS_SHADOW[1] I2=$abc$32112$n563 I3=$abc$32112$n1174 O=$abc$32112$n606
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1001000000000000
.gate SB_LUT4 I0=$abc$32112$n1284 I1=KEYBOARD.COLS_SHADOW[3] I2=$abc$32112$n575 I3=$abc$32112$n598 O=$abc$32112$n607
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1001000000000000
.gate SB_LUT4 I0=$abc$32112$n609 I1=$abc$32112$n610 I2=$abc$32112$n611 I3=$abc$32112$n595_1 O=$abc$32112$n66
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111111100001110
.gate SB_LUT4 I0=$abc$32112$n1287 I1=KEYBOARD.COLS_SHADOW[2] I2=$abc$32112$n582 I3=$false O=$abc$32112$n609
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10010000
.gate SB_LUT4 I0=$abc$32112$n1281_1 I1=KEYBOARD.COLS_SHADOW[1] I2=$abc$32112$n563 I3=$abc$32112$n598 O=$abc$32112$n610
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1001000000000000
.gate SB_LUT4 I0=$abc$32112$n598 I1=$abc$32112$n582 I2=$false I3=$false O=$abc$32112$n611
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n605_1 I1=$abc$32112$n614_1 I2=$abc$32112$n615 I3=$abc$32112$n613 O=$abc$32112$n67
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111111011111111
.gate SB_LUT4 I0=$abc$32112$n1284 I1=KEYBOARD.COLS_SHADOW[3] I2=$abc$32112$n575 I3=$false O=$abc$32112$n613
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01101111
.gate SB_LUT4 I0=$abc$32112$n560 I1=$abc$32112$n1287 I2=KEYBOARD.COLS_SHADOW[2] I3=$abc$32112$n582 O=$abc$32112$n614_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100000100000000
.gate SB_LUT4 I0=$abc$32112$n1281_1 I1=KEYBOARD.COLS_SHADOW[1] I2=$abc$32112$n563 I3=$abc$32112$n596 O=$abc$32112$n615
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1001000000000000
.gate SB_LUT4 I0=$abc$32112$n1175 I1=$abc$32112$n600 I2=$abc$32112$n598 I3=$abc$32112$n613 O=$abc$32112$n617
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1011101110110000
.gate SB_LUT4 I0=$abc$32112$n598 I1=$abc$32112$n613 I2=$abc$32112$n615 I3=$false O=$abc$32112$n70
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11110001
.gate SB_LUT4 I0=$abc$32112$n60 I1=$abc$32112$n61 I2=$abc$32112$n63 I3=$false O=$abc$32112$n621
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$32112$n624 I2=I2C.wr I3=$false O=$abc$32112$n376
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=I2C_HID_DESC.last_rd_request I1=I2C_OUTPUT_TYPE[0] I2=I2C_OUTPUT_TYPE[1] I3=$false O=$abc$32112$n624
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00010100
.gate SB_LUT4 I0=I2C.is_read I1=$abc$32112$n635 I2=$abc$32112$n634 I3=$abc$32112$n626 O=$abc$32112$n396
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000111100000000
.gate SB_LUT4 I0=$abc$32112$n632 I1=$abc$32112$n633 I2=$abc$32112$n631_1 I3=$abc$32112$n627 O=$abc$32112$n626
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100111100000000
.gate SB_LUT4 I0=$abc$32112$n630_1 I1=$abc$32112$n628 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$32112$n627
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01001111
.gate SB_LUT4 I0=$0\uart_double_ff[0:0] I1=$abc$32112$n25 I2=$false I3=$false O=$abc$32112$n628
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=last_trans I1=I2C_TRANS I2=$false I3=$false O=$0\uart_double_ff[0:0]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=$false I3=$false O=$abc$32112$n630_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$32112$n542 I1=$abc$32112$n630_1 I2=I2C.FLT_SCL.RESET I3=$abc$32112$n628 O=$abc$32112$n631_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=UART.tx_activity I1=last_uart_active I2=uart_double_ff I3=$false O=$abc$32112$n632
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=LED1 I1=UART_WR I2=last_isr I3=KEYBOARD.isr O=$abc$32112$n633
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$abc$32112$n5 I1=I2C.wr I2=last_wr I3=$false O=$abc$32112$n634
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000001
.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[2] I1=$abc$32112$n2169 I2=I2C_OUTPUT_TYPE[0] I3=I2C_OUTPUT_TYPE[1] O=$abc$32112$n635
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001000000000001
.gate SB_LUT4 I0=$abc$32112$n637 I1=I2C.is_read I2=$false I3=$false O=$abc$32112$n403
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$32112$n630_1 I2=$false I3=$false O=$abc$32112$n637
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n634 I1=$abc$32112$n637 I2=$false I3=$false O=$abc$32112$n405
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$32112$n630_1 I1=I2C.FLT_SCL.RESET I2=$abc$32112$n640 I3=$false O=$abc$32112$n409
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$32112$n631_1 I1=$abc$32112$n632 I2=$abc$32112$n634 I3=$false O=$abc$32112$n640
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00001101
.gate SB_LUT4 I0=$abc$32112$n1293 I1=$abc$32112$n677 I2=$false I3=$false O=$abc$32112$n419
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n646 I1=$abc$32112$n658 I2=I2C_INPUT_DATA[3][0] I3=$false O=$abc$32112$n645
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$32112$n647 I1=$abc$32112$n653 I2=$false I3=$false O=$abc$32112$n646
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C_INPUT_DATA[0][3] I1=I2C_INPUT_DATA[0][0] I2=I2C_INPUT_DATA[0][2] I3=$abc$32112$n648 O=$abc$32112$n647
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=I2C_INPUT_DATA[0][1] I1=$abc$32112$n652 I2=$abc$32112$n649 I3=$false O=$abc$32112$n648
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$32112$n650 I1=$abc$32112$n651_1 I2=$false I3=$false O=$abc$32112$n649
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C_INPUT_DATA[1][1] I1=I2C_INPUT_DATA[1][2] I2=I2C_INPUT_DATA[1][4] I3=I2C_INPUT_DATA[1][7] O=$abc$32112$n650
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=I2C_INPUT_DATA[1][0] I1=I2C_INPUT_DATA[1][3] I2=I2C_INPUT_DATA[1][5] I3=I2C_INPUT_DATA[1][6] O=$abc$32112$n651_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=I2C_INPUT_DATA[0][4] I1=I2C_INPUT_DATA[0][5] I2=I2C_INPUT_DATA[0][6] I3=I2C_INPUT_DATA[0][7] O=$abc$32112$n652
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=I2C_INPUT_DATA[4][0] I1=I2C_INPUT_DATA[5][7] I2=$abc$32112$n654 I3=$abc$32112$n656 O=$abc$32112$n653
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=I2C_INPUT_DATA[4][3] I1=I2C_INPUT_DATA[4][4] I2=I2C_INPUT_DATA[5][1] I3=$abc$32112$n655 O=$abc$32112$n654
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=I2C_INPUT_DATA[4][6] I1=I2C_INPUT_DATA[5][3] I2=I2C_INPUT_DATA[5][4] I3=I2C_INPUT_DATA[4][1] O=$abc$32112$n655
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=I2C_INPUT_DATA[4][5] I1=I2C_INPUT_DATA[4][7] I2=I2C_INPUT_DATA[5][0] I3=$abc$32112$n657 O=$abc$32112$n656
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=I2C_INPUT_DATA[5][2] I1=I2C_INPUT_DATA[5][5] I2=I2C_INPUT_DATA[5][6] I3=I2C_INPUT_DATA[4][2] O=$abc$32112$n657
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=I2C_INPUT_DATA[2][4] I1=I2C_INPUT_DATA[2][5] I2=$abc$32112$n659 I3=I2C_INPUT_DATA[3][1] O=$abc$32112$n658
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=$abc$32112$n660 I1=$abc$32112$n661 I2=$abc$32112$n662 I3=$abc$32112$n663 O=$abc$32112$n659
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=I2C_INPUT_DATA[2][1] I1=I2C_INPUT_DATA[2][0] I2=I2C_INPUT_DATA[2][2] I3=I2C_INPUT_DATA[2][3] O=$abc$32112$n660
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=I2C_INPUT_DATA[3][4] I1=I2C_INPUT_DATA[3][5] I2=I2C_INPUT_DATA[3][6] I3=I2C_INPUT_DATA[3][7] O=$abc$32112$n661
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=I2C_INPUT_DATA[2][6] I1=I2C_INPUT_DATA[2][7] I2=$false I3=$false O=$abc$32112$n662
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0001
.gate SB_LUT4 I0=I2C_INPUT_DATA[3][2] I1=I2C_INPUT_DATA[3][3] I2=$false I3=$false O=$abc$32112$n663
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0001
.gate SB_LUT4 I0=I2C_INPUT_LEN[1] I1=I2C_INPUT_LEN[0] I2=$abc$32112$n665 I3=$false O=$abc$32112$n664
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$32112$n666 I1=I2C_INPUT_LEN[2] I2=$false I3=$false O=$abc$32112$n665
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=$abc$32112$n667 I2=$false I3=$false O=$abc$32112$n666
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=I2C_INPUT_LEN[4] I1=I2C_INPUT_LEN[5] I2=I2C_INPUT_LEN[6] I3=I2C_INPUT_LEN[7] O=$abc$32112$n667
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$32112$n648 I1=$abc$32112$n670 I2=$abc$32112$n671_1 I3=$abc$32112$n673 O=$abc$32112$n669
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=I2C_INPUT_DATA[2][5] I1=I2C_INPUT_DATA[2][4] I2=$abc$32112$n661 I3=$abc$32112$n662 O=$abc$32112$n670
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=I2C_INPUT_DATA[2][2] I1=I2C_INPUT_DATA[2][3] I2=$abc$32112$n663 I3=$abc$32112$n672 O=$abc$32112$n671_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=I2C_INPUT_DATA[0][0] I1=I2C_INPUT_DATA[0][3] I2=I2C_INPUT_DATA[2][1] I3=I2C_INPUT_DATA[0][2] O=$abc$32112$n672
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=I2C_INPUT_DATA[3][0] I1=I2C_INPUT_DATA[3][1] I2=I2C_INPUT_DATA[2][0] I3=$false O=$abc$32112$n673
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=I2C_INPUT_LEN[0] I1=$abc$32112$n666 I2=I2C_INPUT_LEN[1] I3=$false O=$abc$32112$n674
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$32112$n676 I1=I2C.is_read I2=$abc$32112$n634 I3=$false O=$abc$32112$n675
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=I2C_INPUT_LEN[0] I2=I2C_INPUT_LEN[1] I3=$abc$32112$n666 O=$abc$32112$n676
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=I2C.wr I2=last_wr I3=$abc$32112$n678_1 O=$abc$32112$n677
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000011010111
.gate SB_LUT4 I0=I2C.is_read I1=$abc$32112$n674 I2=$abc$32112$n634 I3=$false O=$abc$32112$n678_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$32112$n630_1 I1=$abc$32112$n628 I2=I2C.FLT_SCL.RESET I3=$abc$32112$n640 O=$abc$32112$n424
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1110111100000000
.gate SB_LUT4 I0=$abc$32112$n634 I1=I2C.is_read I2=$abc$32112$n626 I3=$false O=$abc$32112$n435
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=$abc$32112$n676 I1=I2C.is_read I2=$abc$32112$n634 I3=$false O=$abc$32112$n682
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11100000
.gate SB_LUT4 I0=$abc$32112$n409 I1=$abc$32112$n25 I2=$false I3=$false O=$abc$32112$n505
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n685 I1=$abc$32112$n692 I2=$false I3=$false O=$abc$32112$n518
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n1053 I1=$abc$32112$n686 I2=$false I3=$false O=$abc$32112$n685
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$32112$n1054 I1=$abc$32112$n1065 I2=$abc$32112$n687 I3=$abc$32112$n2097 O=$abc$32112$n686
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$32112$n506 I1=I2C.FLT_SCL.out I2=$abc$32112$n8 I3=$abc$32112$n688 O=$abc$32112$n687
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=$abc$32112$n689 I1=$abc$32112$n691 I2=$false I3=$false O=$abc$32112$n688
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0001
.gate SB_LUT4 I0=I2C.i2c_start_latency I1=$abc$32112$n1817 I2=I2C.i2c_state_machine I3=I2C.is_read O=$abc$32112$n689
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1011111100000000
.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$32112$n16 I2=I2C.FLT_SCL.out I3=$false O=$abc$32112$n1817
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11101111
.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=$abc$32112$n16 I3=I2C.i2c_state_machine O=$abc$32112$n691
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000011111101
.gate SB_LUT4 I0=$abc$32112$n1051 I1=$abc$32112$n693_1 I2=$false I3=$false O=$abc$32112$n692
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=I2C.i2c_bit_counter[0] I1=I2C.i2c_bit_counter[1] I2=$abc$32112$n1817 I3=$false O=$abc$32112$n693_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01100000
.gate SB_LUT4 I0=$abc$32112$n685 I1=$abc$32112$n693_1 I2=$abc$32112$n1051 I3=$false O=$abc$32112$n525
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$32112$n685 I1=$abc$32112$n696 I2=$false I3=$false O=$abc$32112$n532
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n693_1 I1=$abc$32112$n1051 I2=$false I3=$false O=$abc$32112$n696
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$32112$n693_1 I1=$abc$32112$n685 I2=$abc$32112$n1051 I3=$false O=$abc$32112$n539
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$32112$n699 I1=$abc$32112$n692 I2=$false I3=$false O=$abc$32112$n546
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n686 I1=$abc$32112$n1053 I2=$false I3=$false O=$abc$32112$n699
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n699 I1=$abc$32112$n693_1 I2=$abc$32112$n1051 I3=$false O=$abc$32112$n555
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$32112$n699 I1=$abc$32112$n696 I2=$false I3=$false O=$abc$32112$n562
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n693_1 I1=$abc$32112$n699 I2=$abc$32112$n1051 I3=$false O=$abc$32112$n577
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=I2C.i2c_start_latency I1=I2C.i2c_state_machine I2=$abc$32112$n1817 I3=$false O=$abc$32112$n580
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01001111
.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$32112$n705 I2=$false I3=$false O=$abc$32112$n592
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0111
.gate SB_LUT4 I0=UART_WR I1=UART.TX_sig_last I2=UART.tx_activity I3=$false O=$abc$32112$n705
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00001101
.gate SB_LUT4 I0=$abc$32112$n707 I1=$abc$32112$n710 I2=$abc$32112$n705 I3=I2C.FLT_SCL.RESET O=$abc$32112$n595
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$abc$32112$n708 I1=UART.tx_activity I2=$false I3=$false O=$abc$32112$n707
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=UART.tx_clk_counter[1] I1=UART.tx_clk_counter[0] I2=$abc$32112$n709 I3=$false O=$abc$32112$n708
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01100000
.gate SB_LUT4 I0=$abc$32112$n1404 I1=$abc$32112$n1407 I2=$abc$32112$n1408 I3=$false O=$abc$32112$n709
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=UART.tx_bit_counter[3] I1=$abc$32112$n711 I2=$false I3=$false O=$abc$32112$n710
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=UART.tx_bit_counter[2] I3=UART.tx_activity O=$abc$32112$n711
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$abc$32112$n29 I1=$abc$32112$n839 I2=$false I3=$false O=$abc$32112$n601
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$abc$32112$n10 I2=$false I3=$false O=$abc$32112$n839
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n717 I1=$abc$32112$n630 I2=$false I3=$false O=$abc$32112$n605
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$32112$n1252_1 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$32112$n630
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1011
.gate SB_LUT4 I0=$abc$32112$n718 I1=I2C.FLT_SCL.RESET I2=$false I3=$false O=$abc$32112$n717
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$32112$n2171 I1=$abc$32112$n2172 I2=$false I3=$false O=$abc$32112$n718
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$32112$n718 I1=$abc$32112$n1252_1 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$32112$n720_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01001111
.gate SB_LUT4 I0=$abc$32112$n724 I1=$abc$32112$n727 I2=$abc$32112$n729 I3=$abc$32112$n730 O=$abc$32112$n723
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111100000000000
.gate SB_LUT4 I0=$abc$32112$n563 I1=$abc$32112$n582 I2=$abc$32112$n725 I3=$abc$32112$n726 O=$abc$32112$n724
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000011111110
.gate SB_LUT4 I0=$abc$32112$n1284 I1=$abc$32112$n575 I2=KEYBOARD.COLS_SHADOW[3] I3=KEYBOARD.is_pressed O=$abc$32112$n725
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000011111011
.gate SB_LUT4 I0=$abc$32112$n1287 I1=KEYBOARD.COLS_SHADOW[2] I2=KEYBOARD.is_pressed I3=$abc$32112$n582 O=$abc$32112$n726
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0111000100000000
.gate SB_LUT4 I0=$abc$32112$n728 I1=$abc$32112$n591 I2=$false I3=$false O=$abc$32112$n727
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$32112$n1281_1 I1=KEYBOARD.COLS_SHADOW[1] I2=KEYBOARD.is_pressed I3=$abc$32112$n563 O=$abc$32112$n728
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0111000100000000
.gate SB_LUT4 I0=$abc$32112$n1290 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[0] I3=$abc$32112$n591 O=$abc$32112$n729
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1011001000000000
.gate SB_LUT4 I0=$abc$32112$n718 I1=$abc$32112$n839 I2=$false I3=$false O=$abc$32112$n730
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$32112$n66 I1=KEYBOARD.report[20] I2=$false I3=$false O=$abc$32112$n732_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0110
.gate SB_LUT4 I0=$abc$32112$n604 I1=$abc$32112$n605_1 I2=$abc$32112$n606 I3=$abc$32112$n607 O=$abc$32112$n738
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$32112$n741 I1=$abc$32112$n717 I2=$abc$32112$n671 I3=$false O=$abc$32112$n739
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$32112$n724 I1=$abc$32112$n727 I2=$abc$32112$n729 I3=$false O=$abc$32112$n671
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000111
.gate SB_LUT4 I0=$abc$32112$n742 I1=$abc$32112$n743_1 I2=$false I3=$false O=$abc$32112$n741
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=KEYBOARD.report[20] I1=KEYBOARD.report[21] I2=KEYBOARD.report[22] I3=KEYBOARD.report[23] O=$abc$32112$n742
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=KEYBOARD.report[16] I1=KEYBOARD.report[17] I2=KEYBOARD.report[18] I3=KEYBOARD.report[19] O=$abc$32112$n743_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$32112$n60 I1=KEYBOARD.report[24] I2=$abc$32112$n747 I3=$abc$32112$n749_1 O=$abc$32112$n746
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1001000000000000
.gate SB_LUT4 I0=$abc$32112$n671 I1=$abc$32112$n748 I2=$abc$32112$n67 I3=KEYBOARD.report[29] O=$abc$32112$n747
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001000000000001
.gate SB_LUT4 I0=$abc$32112$n70 I1=KEYBOARD.report[31] I2=$false I3=$false O=$abc$32112$n748
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0110
.gate SB_LUT4 I0=KEYBOARD.report[30] I1=$abc$32112$n69 I2=KEYBOARD.report[27] I3=$abc$32112$n738 O=$abc$32112$n749_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000101110111011
.gate SB_LUT4 I0=$abc$32112$n741 I1=$abc$32112$n671 I2=$abc$32112$n755 I3=I2C.FLT_SCL.RESET O=$abc$32112$n754
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1011111100000000
.gate SB_LUT4 I0=$abc$32112$n756 I1=$abc$32112$n757 I2=$false I3=$false O=$abc$32112$n755
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=KEYBOARD.report[28] I1=KEYBOARD.report[29] I2=KEYBOARD.report[30] I3=KEYBOARD.report[31] O=$abc$32112$n756
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=KEYBOARD.report[24] I1=KEYBOARD.report[25] I2=KEYBOARD.report[26] I3=KEYBOARD.report[27] O=$abc$32112$n757
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$32112$n67 I1=KEYBOARD.report[37] I2=$abc$32112$n70 I3=KEYBOARD.report[39] O=$abc$32112$n762
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=$abc$32112$n769 I1=$abc$32112$n671 I2=$abc$32112$n717 I3=$false O=$abc$32112$n768
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$32112$n741 I1=$abc$32112$n755 I2=$false I3=$false O=$abc$32112$n769
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$32112$n771 I1=$abc$32112$n769 I2=$abc$32112$n717 I3=$abc$32112$n671 O=$abc$32112$n770_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=$abc$32112$n772 I1=$abc$32112$n773 I2=$false I3=$false O=$abc$32112$n771
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=KEYBOARD.report[36] I1=KEYBOARD.report[37] I2=KEYBOARD.report[38] I3=KEYBOARD.report[39] O=$abc$32112$n772
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=KEYBOARD.report[32] I1=KEYBOARD.report[33] I2=KEYBOARD.report[34] I3=KEYBOARD.report[35] O=$abc$32112$n773
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$32112$n738 I1=KEYBOARD.report[43] I2=$abc$32112$n69 I3=KEYBOARD.report[46] O=$abc$32112$n777
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0111000000000111
.gate SB_LUT4 I0=$abc$32112$n605_1 I1=$abc$32112$n614_1 I2=$abc$32112$n779_1 I3=$false O=$abc$32112$n778
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$32112$n1175 I1=$abc$32112$n1174 I2=$abc$32112$n600 I3=$abc$32112$n613 O=$abc$32112$n779_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1110111100000000
.gate SB_LUT4 I0=$abc$32112$n61 I1=KEYBOARD.report[41] I2=$abc$32112$n66 I3=KEYBOARD.report[44] O=$abc$32112$n786
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=$abc$32112$n789 I1=$abc$32112$n790_1 I2=$false I3=$false O=$abc$32112$n788
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=KEYBOARD.report[44] I1=KEYBOARD.report[45] I2=KEYBOARD.report[46] I3=KEYBOARD.report[47] O=$abc$32112$n789
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=KEYBOARD.report[40] I1=KEYBOARD.report[41] I2=KEYBOARD.report[42] I3=KEYBOARD.report[43] O=$abc$32112$n790_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$32112$n794 I1=$abc$32112$n1259 I2=$false I3=$false O=$abc$32112$n693
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n1257_1 I1=$abc$32112$n801 I2=$abc$32112$n795_1 I3=$abc$32112$n720_1 O=$abc$32112$n794
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000110100000000
.gate SB_LUT4 I0=$abc$32112$n799 I1=$abc$32112$n800_1 I2=$abc$32112$n796 I3=$abc$32112$n1254 O=$abc$32112$n795_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0111111100000000
.gate SB_LUT4 I0=$abc$32112$n797 I1=$abc$32112$n69 I2=KEYBOARD.report[54] I3=$abc$32112$n798 O=$abc$32112$n796
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100000100000000
.gate SB_LUT4 I0=$abc$32112$n61 I1=KEYBOARD.report[49] I2=$false I3=$false O=$abc$32112$n797
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0110
.gate SB_LUT4 I0=$abc$32112$n67 I1=KEYBOARD.report[53] I2=$abc$32112$n70 I3=KEYBOARD.report[55] O=$abc$32112$n798
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=$abc$32112$n63 I1=KEYBOARD.report[50] I2=$abc$32112$n64 I3=KEYBOARD.report[51] O=$abc$32112$n799
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=$abc$32112$n60 I1=KEYBOARD.report[48] I2=$abc$32112$n66 I3=KEYBOARD.report[52] O=$abc$32112$n800_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=$abc$32112$n802 I1=$abc$32112$n803 I2=$false I3=$false O=$abc$32112$n801
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=KEYBOARD.report[52] I1=KEYBOARD.report[53] I2=KEYBOARD.report[54] I3=KEYBOARD.report[55] O=$abc$32112$n802
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=KEYBOARD.report[48] I1=KEYBOARD.report[49] I2=KEYBOARD.report[50] I3=KEYBOARD.report[51] O=$abc$32112$n803
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$32112$n1259 I1=$abc$32112$n806_1 I2=$false I3=$false O=$abc$32112$n720
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n1257_1 I1=$abc$32112$n816_1 I2=$abc$32112$n1310 I3=$abc$32112$n720_1 O=$abc$32112$n806_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000110100000000
.gate SB_LUT4 I0=$abc$32112$n809 I1=$abc$32112$n69 I2=KEYBOARD.report[62] I3=$abc$32112$n810 O=$abc$32112$n808
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100000100000000
.gate SB_LUT4 I0=$abc$32112$n60 I1=KEYBOARD.report[56] I2=$false I3=$false O=$abc$32112$n809
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0110
.gate SB_LUT4 I0=$abc$32112$n63 I1=KEYBOARD.report[58] I2=$abc$32112$n70 I3=KEYBOARD.report[63] O=$abc$32112$n810
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=$abc$32112$n801 I1=$abc$32112$n817 I2=$abc$32112$n818 I3=$false O=$abc$32112$n816_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=KEYBOARD.report[60] I1=KEYBOARD.report[61] I2=KEYBOARD.report[62] I3=KEYBOARD.report[63] O=$abc$32112$n817
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=KEYBOARD.report[56] I1=KEYBOARD.report[57] I2=KEYBOARD.report[58] I3=KEYBOARD.report[59] O=$abc$32112$n818
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$32112$n596 I1=$abc$32112$n10 I2=$abc$32112$n591 I3=I2C.FLT_SCL.RESET O=$abc$32112$n732
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000011111111
.gate SB_LUT4 I0=$abc$32112$n10 I1=$abc$32112$n563 I2=$false I3=$false O=$abc$32112$n823
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n582 I1=$abc$32112$n596 I2=$abc$32112$n10 I3=I2C.FLT_SCL.RESET O=$abc$32112$n749
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000011111111
.gate SB_LUT4 I0=$abc$32112$n826 I1=$abc$32112$n10 I2=$abc$32112$n596 I3=I2C.FLT_SCL.RESET O=$abc$32112$n765
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000011111111
.gate SB_LUT4 I0=KEYBOARD.row_time[3] I1=$abc$32112$n566 I2=$abc$32112$n564 I3=KEYBOARD.row_time[2] O=$abc$32112$n826
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=$abc$32112$n569 I1=$abc$32112$n10 I2=$abc$32112$n591 I3=I2C.FLT_SCL.RESET O=$abc$32112$n770
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000011111111
.gate SB_LUT4 I0=$abc$32112$n582 I1=$abc$32112$n569 I2=$abc$32112$n10 I3=I2C.FLT_SCL.RESET O=$abc$32112$n785
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000011111111
.gate SB_LUT4 I0=$abc$32112$n826 I1=$abc$32112$n10 I2=$abc$32112$n569 I3=I2C.FLT_SCL.RESET O=$abc$32112$n790
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000011111111
.gate SB_LUT4 I0=$abc$32112$n585 I1=$abc$32112$n10 I2=$abc$32112$n591 I3=I2C.FLT_SCL.RESET O=$abc$32112$n795
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000011111111
.gate SB_LUT4 I0=$abc$32112$n582 I1=$abc$32112$n585 I2=$abc$32112$n10 I3=I2C.FLT_SCL.RESET O=$abc$32112$n806
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000011111111
.gate SB_LUT4 I0=$abc$32112$n826 I1=$abc$32112$n10 I2=$abc$32112$n585 I3=I2C.FLT_SCL.RESET O=$abc$32112$n811
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000011111111
.gate SB_LUT4 I0=$abc$32112$n598 I1=$abc$32112$n10 I2=$abc$32112$n591 I3=I2C.FLT_SCL.RESET O=$abc$32112$n816
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000011111111
.gate SB_LUT4 I0=$abc$32112$n611 I1=$abc$32112$n10 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$32112$n827
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10001111
.gate SB_LUT4 I0=$abc$32112$n826 I1=$abc$32112$n10 I2=$abc$32112$n598 I3=I2C.FLT_SCL.RESET O=$abc$32112$n834
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000011111111
.gate SB_LUT4 I0=$abc$32112$n29 I1=KEYBOARD.row_time[0] I2=$abc$32112$n839 I3=$false O=$abc$32112$n838
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11100000
.gate SB_LUT4 I0=$abc$32112$n1518 I1=$abc$32112$n842 I2=$abc$32112$n1517 I3=$abc$32112$n1327 O=$abc$32112$n848
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=I2C.FLT_SDA.counter[2] I1=I2C.FLT_SDA.counter[0] I2=I2C.FLT_SDA.counter[1] I3=$abc$32112$n1330 O=$abc$32112$n1518
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111111100000001
.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=I2C.SDAF I2=$false I3=$false O=$abc$32112$n842
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1001
.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=$false I3=$false O=$abc$32112$n1517
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1001
.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=I2C.FLT_SDA.counter[2] I3=$abc$32112$n842 O=$abc$32112$n849
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111111011111111
.gate SB_LUT4 I0=$abc$32112$n1519 I1=$abc$32112$n848_1 I2=$false I3=$false O=$abc$32112$n858
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$32112$n847 I1=$abc$32112$n1331 I2=$false I3=$false O=$abc$32112$n1519
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1110
.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$false O=$abc$32112$n847
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=$abc$32112$n1520 I1=$abc$32112$n1334 I2=I2C.FLT_SCL.out I3=I2C.SCLF O=$abc$32112$n848_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000100010000
.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=$false I3=$false O=$abc$32112$n1520
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1001
.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.SCLF I2=$abc$32112$n847 I3=$false O=$abc$32112$n859
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01101111
.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[2] I1=I2C_OUTPUT_TYPE[1] I2=I2C_OUTPUT_TYPE[0] I3=$false O=$abc$32112$n992
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10111111
.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.byte_counter[0] I2=$false I3=$false O=$abc$32112$n1383
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.byte_counter[1] I2=$false I3=$false O=$abc$32112$n1384
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.byte_counter[2] I2=$false I3=$false O=$abc$32112$n1386
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.byte_counter[3] I2=$false I3=$false O=$abc$32112$n1387
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.byte_counter[4] I2=$false I3=$false O=$abc$32112$n1389
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.byte_counter[5] I2=$false I3=$false O=$abc$32112$n1390
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.byte_counter[6] I2=$false I3=$false O=$abc$32112$n1392
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.byte_counter[7] I2=$false I3=$false O=$abc$32112$n1393
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n941_1 I1=$abc$32112$n934 I2=$abc$32112$n932 I3=$abc$32112$n861_1 O=$abc$32112$n1496
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111111101110000
.gate SB_LUT4 I0=$abc$32112$n900 I1=$abc$32112$n931_1 I2=$abc$32112$n864_1 I3=$abc$32112$n19 O=$abc$32112$n861_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000001111111
.gate SB_LUT4 I0=$abc$32112$n899 I1=$abc$32112$n891 I2=$abc$32112$n897 I3=$abc$32112$n865 O=$abc$32112$n864_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=$abc$32112$n866 I1=$abc$32112$n886_1 I2=$false I3=$false O=$abc$32112$n865
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n880 I1=$abc$32112$n885_1 I2=$abc$32112$n867_1 I3=$abc$32112$n875 O=$abc$32112$n866
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000101100000000
.gate SB_LUT4 I0=$abc$32112$n868_1 I1=$abc$32112$n872 I2=$false I3=$false O=$abc$32112$n867_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$32112$n869 I1=$abc$32112$n871 I2=$false I3=$false O=$abc$32112$n868_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$32112$n870 I1=I2C.byte_counter[4] I2=$false I3=$false O=$abc$32112$n869
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C.byte_counter[6] I1=I2C.byte_counter[7] I2=I2C.byte_counter[5] I3=$false O=$abc$32112$n870
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=I2C.byte_counter[5] I1=I2C.byte_counter[4] I2=I2C.byte_counter[6] I3=I2C.byte_counter[7] O=$abc$32112$n871
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$32112$n873 I1=$abc$32112$n874 I2=$false I3=$false O=$abc$32112$n872
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C.byte_counter[2] I1=I2C.byte_counter[3] I2=$false I3=$false O=$abc$32112$n873
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[0] I2=$false I3=$false O=$abc$32112$n874
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$32112$n876 I1=$abc$32112$n869 I2=$abc$32112$n878_1 I3=$abc$32112$n879 O=$abc$32112$n875
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=I2C.byte_counter[3] I1=$abc$32112$n877 I2=I2C.byte_counter[2] I3=$false O=$abc$32112$n876
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$false I3=$false O=$abc$32112$n877
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=I2C.byte_counter[5] I1=I2C.byte_counter[6] I2=I2C.byte_counter[7] I3=I2C.byte_counter[4] O=$abc$32112$n878_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[3] I2=I2C.byte_counter[0] I3=I2C.byte_counter[2] O=$abc$32112$n879
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$32112$n881 I1=$abc$32112$n883 I2=$false I3=$false O=$abc$32112$n880
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0001
.gate SB_LUT4 I0=I2C.byte_counter[3] I1=I2C.byte_counter[0] I2=$abc$32112$n882 I3=$false O=$abc$32112$n881
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=I2C.byte_counter[2] I1=I2C.byte_counter[1] I2=$false I3=$false O=$abc$32112$n882
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=I2C.byte_counter[3] I1=$abc$32112$n884 I2=I2C.byte_counter[2] I3=$false O=$abc$32112$n883
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[0] I2=$false I3=$false O=$abc$32112$n884
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C.byte_counter[4] I1=$abc$32112$n870 I2=$false I3=$false O=$abc$32112$n885_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$32112$n888 I1=$abc$32112$n869 I2=$abc$32112$n889 I3=$abc$32112$n887 O=$abc$32112$n886_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000011100000000
.gate SB_LUT4 I0=$abc$32112$n881 I1=$abc$32112$n888 I2=$abc$32112$n871 I3=$false O=$abc$32112$n887
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00011111
.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[0] I2=$abc$32112$n873 I3=$false O=$abc$32112$n888
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$32112$n878_1 I1=$abc$32112$n890 I2=$abc$32112$n884 I3=$false O=$abc$32112$n889
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=I2C.byte_counter[3] I1=I2C.byte_counter[2] I2=$false I3=$false O=$abc$32112$n890
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n892_1 I1=$abc$32112$n894 I2=$false I3=$false O=$abc$32112$n891
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n868_1 I1=$abc$32112$n893 I2=$abc$32112$n876 I3=$abc$32112$n885_1 O=$abc$32112$n892_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000101110111011
.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[0] I2=$abc$32112$n890 I3=$false O=$abc$32112$n893
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$32112$n868_1 I1=$abc$32112$n895 I2=$abc$32112$n885_1 I3=$abc$32112$n896 O=$abc$32112$n894
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000101110111011
.gate SB_LUT4 I0=$abc$32112$n873 I1=$abc$32112$n877 I2=$false I3=$false O=$abc$32112$n895
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[3] I2=I2C.byte_counter[0] I3=I2C.byte_counter[2] O=$abc$32112$n896
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$abc$32112$n893 I1=$abc$32112$n876 I2=$abc$32112$n878_1 I3=$abc$32112$n898_1 O=$abc$32112$n897
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000011111
.gate SB_LUT4 I0=$abc$32112$n869 I1=$abc$32112$n877 I2=$abc$32112$n890 I3=$false O=$abc$32112$n898_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$32112$n890 I1=$abc$32112$n874 I2=$abc$32112$n883 I3=$abc$32112$n869 O=$abc$32112$n899
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111100000000000
.gate SB_LUT4 I0=$abc$32112$n911 I1=$abc$32112$n905 I2=$abc$32112$n901 I3=$false O=$abc$32112$n900
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$32112$n903 I1=$abc$32112$n885_1 I2=$abc$32112$n902 I3=$false O=$abc$32112$n901
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10110000
.gate SB_LUT4 I0=$abc$32112$n896 I1=$abc$32112$n903 I2=$abc$32112$n878_1 I3=$abc$32112$n904_1 O=$abc$32112$n902
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100111100000000
.gate SB_LUT4 I0=$abc$32112$n877 I1=$abc$32112$n890 I2=$abc$32112$n888 I3=$false O=$abc$32112$n903
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000111
.gate SB_LUT4 I0=$abc$32112$n895 I1=$abc$32112$n885_1 I2=$abc$32112$n869 I3=$abc$32112$n879 O=$abc$32112$n904_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=$abc$32112$n909 I1=$abc$32112$n910 I2=$abc$32112$n885_1 I3=$abc$32112$n906 O=$abc$32112$n905
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100111100000000
.gate SB_LUT4 I0=I2C.byte_counter[3] I1=$abc$32112$n907 I2=$abc$32112$n908_1 I3=$abc$32112$n878_1 O=$abc$32112$n906
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1011000010111011
.gate SB_LUT4 I0=$abc$32112$n871 I1=$abc$32112$n884 I2=$false I3=$false O=$abc$32112$n907
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[2] I2=I2C.byte_counter[3] I3=I2C.byte_counter[0] O=$abc$32112$n908_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010110011111111
.gate SB_LUT4 I0=I2C.byte_counter[3] I1=I2C.byte_counter[2] I2=$abc$32112$n874 I3=$false O=$abc$32112$n909
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[3] I2=I2C.byte_counter[2] I3=I2C.byte_counter[0] O=$abc$32112$n910
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1110011111111111
.gate SB_LUT4 I0=$abc$32112$n885_1 I1=$abc$32112$n890 I2=I2C.byte_counter[0] I3=$false O=$abc$32112$n911
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$32112$n913 I1=$abc$32112$n918 I2=$false I3=$false O=$abc$32112$n912
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n869 I1=$abc$32112$n896 I2=$abc$32112$n917 I3=$abc$32112$n914_1 O=$abc$32112$n913
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000011100000000
.gate SB_LUT4 I0=$abc$32112$n879 I1=$abc$32112$n893 I2=$abc$32112$n885_1 I3=$abc$32112$n915 O=$abc$32112$n914_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001111100000000
.gate SB_LUT4 I0=$abc$32112$n881 I1=$abc$32112$n869 I2=$abc$32112$n916 I3=$false O=$abc$32112$n915
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000111
.gate SB_LUT4 I0=I2C.byte_counter[0] I1=$abc$32112$n882 I2=$abc$32112$n878_1 I3=$false O=$abc$32112$n916
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$32112$n871 I1=$abc$32112$n877 I2=$abc$32112$n890 I3=$false O=$abc$32112$n917
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$32112$n869 I1=$abc$32112$n909 I2=$abc$32112$n919 I3=$false O=$abc$32112$n918
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000111
.gate SB_LUT4 I0=I2C.byte_counter[3] I1=$abc$32112$n874 I2=$abc$32112$n871 I3=I2C.byte_counter[2] O=$abc$32112$n919
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=$abc$32112$n923 I1=$abc$32112$n924 I2=$false I3=$false O=$abc$32112$n922
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$32112$n876 I1=$abc$32112$n871 I2=$false I3=$false O=$abc$32112$n923
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n871 I1=$abc$32112$n874 I2=$abc$32112$n890 I3=$false O=$abc$32112$n924
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$32112$n878_1 I1=$abc$32112$n910 I2=$abc$32112$n926 I3=$abc$32112$n927_1 O=$abc$32112$n925_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000001101
.gate SB_LUT4 I0=$abc$32112$n871 I1=$abc$32112$n896 I2=$false I3=$false O=$abc$32112$n926
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C.byte_counter[3] I1=I2C.byte_counter[2] I2=$abc$32112$n884 I3=$abc$32112$n870 O=$abc$32112$n927_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$32112$n883 I1=$abc$32112$n878_1 I2=$abc$32112$n872 I3=$abc$32112$n885_1 O=$abc$32112$n928_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=$abc$32112$n926 I1=$abc$32112$n922 I2=$abc$32112$n913 I3=$false O=$abc$32112$n931_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$32112$n933 I1=$abc$32112$n19 I2=$false I3=$false O=$abc$32112$n932
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$32112$n934 I1=$abc$32112$n936 I2=$abc$32112$n938 I3=$abc$32112$n940 O=$abc$32112$n933
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$abc$32112$n881 I1=$abc$32112$n878_1 I2=$abc$32112$n935 I3=$false O=$abc$32112$n934
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=$abc$32112$n895 I1=$abc$32112$n871 I2=$abc$32112$n879 I3=$abc$32112$n878_1 O=$abc$32112$n935
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=$abc$32112$n883 I1=$abc$32112$n878_1 I2=$abc$32112$n937_1 I3=$abc$32112$n917 O=$abc$32112$n936
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000111
.gate SB_LUT4 I0=$abc$32112$n876 I1=$abc$32112$n896 I2=$abc$32112$n878_1 I3=$false O=$abc$32112$n937_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11100000
.gate SB_LUT4 I0=$abc$32112$n939 I1=$abc$32112$n923 I2=$abc$32112$n887 I3=$false O=$abc$32112$n938
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$32112$n893 I1=$abc$32112$n871 I2=$false I3=$false O=$abc$32112$n939
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n877 I1=$abc$32112$n878_1 I2=$abc$32112$n873 I3=$abc$32112$n919 O=$abc$32112$n940
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000010111111
.gate SB_LUT4 I0=$abc$32112$n923 I1=$abc$32112$n942 I2=$abc$32112$n940 I3=$false O=$abc$32112$n941_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$32112$n876 I1=$abc$32112$n878_1 I2=$false I3=$false O=$abc$32112$n942
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n867_1 I1=$abc$32112$n945 I2=$abc$32112$n19 I3=$abc$32112$n944 O=$abc$32112$n1499
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111111100001011
.gate SB_LUT4 I0=$abc$32112$n937_1 I1=$abc$32112$n938 I2=$abc$32112$n935 I3=$abc$32112$n932 O=$abc$32112$n944
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1011111100000000
.gate SB_LUT4 I0=$abc$32112$n911 I1=$abc$32112$n924 I2=$abc$32112$n928_1 I3=$abc$32112$n918 O=$abc$32112$n945
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$32112$n865 I1=$abc$32112$n912 I2=$abc$32112$n902 I3=$abc$32112$n948 O=$abc$32112$n947
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$abc$32112$n899 I1=$abc$32112$n924 I2=$false I3=$false O=$abc$32112$n948
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$32112$n881 I1=$abc$32112$n878_1 I2=$abc$32112$n936 I3=$abc$32112$n950 O=$abc$32112$n949
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0111000000000000
.gate SB_LUT4 I0=$abc$32112$n951 I1=$abc$32112$n923 I2=$false I3=$false O=$abc$32112$n950
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$32112$n881 I1=$abc$32112$n871 I2=$false I3=$false O=$abc$32112$n951
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n925_1 I1=$abc$32112$n891 I2=$abc$32112$n19 I3=$abc$32112$n953_1 O=$abc$32112$n1505
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000011111111111
.gate SB_LUT4 I0=$abc$32112$n942 I1=$abc$32112$n939 I2=$abc$32112$n950 I3=$abc$32112$n932 O=$abc$32112$n953_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001000011111111
.gate SB_LUT4 I0=$abc$32112$n942 I1=$abc$32112$n950 I2=$abc$32112$n932 I3=$abc$32112$n955_1 O=$abc$32112$n1508
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111111110110000
.gate SB_LUT4 I0=$abc$32112$n913 I1=$abc$32112$n894 I2=$abc$32112$n901 I3=$abc$32112$n19 O=$abc$32112$n955_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000001111111
.gate SB_LUT4 I0=$abc$32112$n901 I1=$abc$32112$n897 I2=$abc$32112$n922 I3=$abc$32112$n1312 O=$abc$32112$n960
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=I2C.FLT_SDA.counter[2] I1=I2C.FLT_SDA.counter[0] I2=I2C.FLT_SDA.counter[1] I3=$abc$32112$n1327 O=$abc$32112$n1516
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111111100000001
.gate SB_LUT4 I0=$abc$32112$n847 I1=$abc$32112$n1334 I2=$false I3=$false O=$abc$32112$n1521
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1110
.gate SB_LUT4 I0=$abc$32112$n914_1 I1=$abc$32112$n948 I2=$abc$32112$n1312 I3=$false O=$abc$32112$n1665
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01111111
.gate SB_LUT4 I0=$abc$32112$n978 I1=$abc$32112$n1271_1 I2=$abc$32112$n966 I3=$abc$32112$n542 O=$2\I2C_OUT_DESC_MASK[7:0][7]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100000100000000
.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=$abc$32112$n967 I2=$abc$32112$n971_1 I3=$abc$32112$n969_1 O=$abc$32112$n966
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1011000010111011
.gate SB_LUT4 I0=$abc$32112$n1270 I1=$abc$32112$n970 I2=$abc$32112$n969_1 I3=$false O=$abc$32112$n967
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000111
.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=I2C_INPUT_LEN[0] I2=$abc$32112$n666 I3=I2C_INPUT_LEN[1] O=$abc$32112$n969_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=I2C_INPUT_DATA[2][5] I1=I2C_INPUT_DATA[3][0] I2=$abc$32112$n659 I3=I2C_INPUT_DATA[2][4] O=$abc$32112$n970
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$32112$n972_1 I1=I2C_OUTPUT_TYPE[1] I2=$abc$32112$n676 I3=$abc$32112$n974_1 O=$abc$32112$n971_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1110000011101110
.gate SB_LUT4 I0=I2C_INPUT_DATA[0][2] I1=I2C_INPUT_DATA[0][3] I2=$abc$32112$n973_1 I3=$false O=$abc$32112$n972_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$32112$n649 I1=$abc$32112$n652 I2=I2C_INPUT_DATA[0][1] I3=$false O=$abc$32112$n973_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=I2C_INPUT_DATA[0][2] I1=I2C_INPUT_DATA[0][3] I2=$abc$32112$n648 I3=I2C_INPUT_DATA[0][0] O=$abc$32112$n974_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$32112$n972_1 I1=I2C_INPUT_DATA[0][0] I2=$abc$32112$n974_1 I3=$abc$32112$n969_1 O=$abc$32112$n977_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000011100000000
.gate SB_LUT4 I0=$abc$32112$n967 I1=$abc$32112$n979 I2=I2C_OUTPUT_TYPE[2] I3=$false O=$abc$32112$n978
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11100000
.gate SB_LUT4 I0=$abc$32112$n972_1 I1=$abc$32112$n974_1 I2=$abc$32112$n969_1 I3=$false O=$abc$32112$n979
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$32112$n1271_1 I1=$abc$32112$n542 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][0]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0111
.gate SB_LUT4 I0=$abc$32112$n966 I1=$abc$32112$n542 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][1]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1011
.gate SB_LUT4 I0=$abc$32112$n978 I1=$abc$32112$n542 I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][2]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n632 I1=$abc$32112$n628 I2=$false I3=$false O=$2\UART_WR[0:0]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1011
.gate SB_LUT4 I0=$abc$32112$n985 I1=I2C.received_byte[0] I2=I2C.is_read I3=$false O=$abc$32112$n1695
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01011100
.gate SB_LUT4 I0=I2C_HID_DESC.VAL[0] I1=I2C_TX_REPORT[0] I2=I2C_OUT_DESC_MASK[0] I3=$false O=$abc$32112$n985
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=$abc$32112$n987 I1=I2C.received_byte[1] I2=I2C.is_read I3=$false O=$abc$32112$n1696
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01011100
.gate SB_LUT4 I0=I2C_HID_DESC.VAL[1] I1=I2C_TX_REPORT[1] I2=I2C_OUT_DESC_MASK[1] I3=$false O=$abc$32112$n987
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=$abc$32112$n989 I1=I2C.received_byte[2] I2=I2C.is_read I3=$false O=$abc$32112$n1697
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01011100
.gate SB_LUT4 I0=I2C_HID_DESC.VAL[2] I1=I2C_TX_REPORT[2] I2=I2C_OUT_DESC_MASK[2] I3=$false O=$abc$32112$n989
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=$abc$32112$n991_1 I1=I2C.received_byte[3] I2=I2C.is_read I3=$false O=$abc$32112$n1699
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01011100
.gate SB_LUT4 I0=I2C_HID_DESC.VAL[3] I1=I2C_TX_REPORT[3] I2=I2C_OUT_DESC_MASK[3] I3=$false O=$abc$32112$n991_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=$abc$32112$n993 I1=I2C.received_byte[4] I2=I2C.is_read I3=$false O=$abc$32112$n1700
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01011100
.gate SB_LUT4 I0=I2C_HID_DESC.VAL[4] I1=I2C_TX_REPORT[4] I2=I2C_OUT_DESC_MASK[4] I3=$false O=$abc$32112$n993
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=$abc$32112$n995 I1=I2C.received_byte[5] I2=I2C.is_read I3=$false O=$abc$32112$n1702
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01011100
.gate SB_LUT4 I0=I2C_HID_DESC.VAL[5] I1=I2C_TX_REPORT[5] I2=I2C_OUT_DESC_MASK[5] I3=$false O=$abc$32112$n995
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=$abc$32112$n997 I1=I2C.received_byte[6] I2=I2C.is_read I3=$false O=$abc$32112$n1704
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01011100
.gate SB_LUT4 I0=I2C_HID_DESC.VAL[6] I1=I2C_TX_REPORT[6] I2=I2C_OUT_DESC_MASK[6] I3=$false O=$abc$32112$n997
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=$abc$32112$n999 I1=I2C.received_byte[7] I2=I2C.is_read I3=$false O=$abc$32112$n1705
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01011100
.gate SB_LUT4 I0=I2C_HID_DESC.VAL[7] I1=I2C_TX_REPORT[7] I2=I2C_OUT_DESC_MASK[7] I3=$false O=$abc$32112$n999
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=$abc$32112$n630_1 I1=$abc$32112$n1706 I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][0]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[0] I2=$abc$32112$n630_1 I3=$false O=$2\I2C_INPUT_LEN[7:0][1]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10010000
.gate SB_LUT4 I0=$abc$32112$n630_1 I1=$abc$32112$n1710 I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][2]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n630_1 I1=$abc$32112$n1712 I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][3]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n630_1 I1=$abc$32112$n1714 I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][4]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n630_1 I1=$abc$32112$n1716 I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][5]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n630_1 I1=$abc$32112$n1718 I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][6]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n630_1 I1=$abc$32112$n1720 I2=$false I3=$false O=$2\I2C_INPUT_LEN[7:0][7]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C_INPUT_DATA[4][0] I1=I2C_INPUT_DATA[8][0] I2=$abc$32112$n664 I3=$false O=$abc$32112$n1723
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10101100
.gate SB_LUT4 I0=I2C_INPUT_DATA[4][1] I1=I2C_INPUT_DATA[8][1] I2=$abc$32112$n664 I3=$false O=$abc$32112$n1726
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10101100
.gate SB_LUT4 I0=I2C_INPUT_DATA[4][2] I1=I2C_INPUT_DATA[8][2] I2=$abc$32112$n664 I3=$false O=$abc$32112$n1729
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10101100
.gate SB_LUT4 I0=$abc$32112$n1012 I1=$abc$32112$n1730 I2=$false I3=$false O=$0\rststate[3:0][0]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$32112$n675 I1=$abc$32112$n647 I2=$abc$32112$n665 I3=$abc$32112$n1013 O=$abc$32112$n1012
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$abc$32112$n670 I1=$abc$32112$n1014_1 I2=$abc$32112$n660 I3=$false O=$abc$32112$n1013
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=I2C_INPUT_DATA[3][1] I1=$abc$32112$n1015_1 I2=$abc$32112$n663 I3=$false O=$abc$32112$n1014_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=I2C_INPUT_LEN[0] I1=I2C_INPUT_LEN[1] I2=I2C_INPUT_DATA[3][0] I3=$false O=$abc$32112$n1015_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$32112$n1012 I1=$abc$32112$n1732 I2=$false I3=$false O=$0\rststate[3:0][1]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$32112$n1012 I1=$abc$32112$n1734 I2=$false I3=$false O=$0\rststate[3:0][2]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$32112$n1012 I1=$abc$32112$n1736 I2=$false I3=$false O=$0\rststate[3:0][3]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$32112$n1020 I1=$abc$32112$n1023 I2=$false I3=$false O=$abc$32112$n1807
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n951 I1=$abc$32112$n1272_1 I2=$false I3=$false O=$abc$32112$n1020
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=I2C.byte_counter[3] I1=I2C.byte_counter[2] I2=$abc$32112$n907 I3=$false O=$abc$32112$n1022_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$32112$n1028 I1=$abc$32112$n1027 I2=$abc$32112$n1024 I3=$abc$32112$n1628 O=$abc$32112$n1023
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000111111101110
.gate SB_LUT4 I0=$abc$32112$n1025 I1=$abc$32112$n1026 I2=$false I3=$false O=$abc$32112$n1024
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=KEYBOARD.report[8] I1=KEYBOARD.report[40] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1025
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000101000001100
.gate SB_LUT4 I0=KEYBOARD.report[24] I1=KEYBOARD.report[56] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1026
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0101001111111111
.gate SB_LUT4 I0=KEYBOARD.report[0] I1=KEYBOARD.report[32] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1027
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000101000001100
.gate SB_LUT4 I0=KEYBOARD.report[16] I1=KEYBOARD.report[48] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1028
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010110000000000
.gate SB_LUT4 I0=$abc$32112$n1030 I1=$abc$32112$n1272_1 I2=$abc$32112$n951 I3=$abc$32112$n2168 O=$abc$32112$n1808
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111010001000100
.gate SB_LUT4 I0=$abc$32112$n1031 I1=$abc$32112$n1034 I2=$abc$32112$n1036 I3=$false O=$abc$32112$n1030
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=$abc$32112$n1032 I1=$abc$32112$n1033 I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1031
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000010100000011
.gate SB_LUT4 I0=KEYBOARD.report[9] I1=KEYBOARD.report[1] I2=$abc$32112$n1628 I3=$false O=$abc$32112$n1032
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=KEYBOARD.report[41] I1=KEYBOARD.report[33] I2=$abc$32112$n1628 I3=$false O=$abc$32112$n1033
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=KEYBOARD.report[25] I1=KEYBOARD.report[17] I2=$abc$32112$n1628 I3=$abc$32112$n1035 O=$abc$32112$n1034
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010110000000000
.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[2] I2=$false I3=$false O=$abc$32112$n1035
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=KEYBOARD.report[57] I1=KEYBOARD.report[49] I2=$abc$32112$n1628 I3=$abc$32112$n882 O=$abc$32112$n1036
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010110000000000
.gate SB_LUT4 I0=$abc$32112$n1038 I1=$abc$32112$n1020 I2=$false I3=$false O=$abc$32112$n1809
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$32112$n1042 I1=$abc$32112$n1043 I2=$abc$32112$n1039 I3=$abc$32112$n1628 O=$abc$32112$n1038
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111000001000100
.gate SB_LUT4 I0=$abc$32112$n1040 I1=$abc$32112$n1041 I2=$false I3=$false O=$abc$32112$n1039
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=KEYBOARD.report[10] I1=KEYBOARD.report[42] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1040
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000101000001100
.gate SB_LUT4 I0=KEYBOARD.report[26] I1=KEYBOARD.report[58] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1041
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0101001111111111
.gate SB_LUT4 I0=KEYBOARD.report[2] I1=KEYBOARD.report[34] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1042
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000101000001100
.gate SB_LUT4 I0=KEYBOARD.report[18] I1=KEYBOARD.report[50] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1043
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0101001111111111
.gate SB_LUT4 I0=$abc$32112$n1045 I1=$abc$32112$n1272_1 I2=$abc$32112$n951 I3=$abc$32112$n2168 O=$abc$32112$n1810
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111010001000100
.gate SB_LUT4 I0=$abc$32112$n1046 I1=$abc$32112$n1049 I2=$abc$32112$n1050 I3=$false O=$abc$32112$n1045
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=$abc$32112$n1047 I1=$abc$32112$n1048 I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1046
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000010100000011
.gate SB_LUT4 I0=KEYBOARD.report[11] I1=KEYBOARD.report[3] I2=$abc$32112$n1628 I3=$false O=$abc$32112$n1047
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=KEYBOARD.report[43] I1=KEYBOARD.report[35] I2=$abc$32112$n1628 I3=$false O=$abc$32112$n1048
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=KEYBOARD.report[27] I1=KEYBOARD.report[19] I2=$abc$32112$n1628 I3=$abc$32112$n1035 O=$abc$32112$n1049
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010110000000000
.gate SB_LUT4 I0=KEYBOARD.report[59] I1=KEYBOARD.report[51] I2=$abc$32112$n1628 I3=$abc$32112$n882 O=$abc$32112$n1050
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010110000000000
.gate SB_LUT4 I0=$abc$32112$n1020 I1=$abc$32112$n1052 I2=$false I3=$false O=$abc$32112$n1811
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n1057 I1=$abc$32112$n1056 I2=$abc$32112$n1053_1 I3=$abc$32112$n1628 O=$abc$32112$n1052
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000111111101110
.gate SB_LUT4 I0=$abc$32112$n1054_1 I1=$abc$32112$n1055 I2=$false I3=$false O=$abc$32112$n1053_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=KEYBOARD.report[12] I1=KEYBOARD.report[44] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1054_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000101000001100
.gate SB_LUT4 I0=KEYBOARD.report[28] I1=KEYBOARD.report[60] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1055
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0101001111111111
.gate SB_LUT4 I0=KEYBOARD.report[4] I1=KEYBOARD.report[36] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1056
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000101000001100
.gate SB_LUT4 I0=KEYBOARD.report[20] I1=KEYBOARD.report[52] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1057
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010110000000000
.gate SB_LUT4 I0=$abc$32112$n1059 I1=$abc$32112$n1020 I2=$false I3=$false O=$abc$32112$n1812
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$32112$n1063 I1=$abc$32112$n1064 I2=$abc$32112$n1060 I3=$abc$32112$n1628 O=$abc$32112$n1059
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111000001000100
.gate SB_LUT4 I0=$abc$32112$n1061 I1=$abc$32112$n1062 I2=$false I3=$false O=$abc$32112$n1060
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=KEYBOARD.report[13] I1=KEYBOARD.report[45] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1061
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000101000001100
.gate SB_LUT4 I0=KEYBOARD.report[29] I1=KEYBOARD.report[61] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1062
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0101001111111111
.gate SB_LUT4 I0=KEYBOARD.report[5] I1=KEYBOARD.report[37] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1063
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000101000001100
.gate SB_LUT4 I0=KEYBOARD.report[21] I1=KEYBOARD.report[53] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1064
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0101001111111111
.gate SB_LUT4 I0=$abc$32112$n1020 I1=$abc$32112$n1066 I2=$false I3=$false O=$abc$32112$n1813
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n1071 I1=$abc$32112$n1070 I2=$abc$32112$n1067 I3=$abc$32112$n1628 O=$abc$32112$n1066
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000111111101110
.gate SB_LUT4 I0=$abc$32112$n1068 I1=$abc$32112$n1069 I2=$false I3=$false O=$abc$32112$n1067
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=KEYBOARD.report[14] I1=KEYBOARD.report[46] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1068
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000101000001100
.gate SB_LUT4 I0=KEYBOARD.report[30] I1=KEYBOARD.report[62] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1069
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0101001111111111
.gate SB_LUT4 I0=KEYBOARD.report[6] I1=KEYBOARD.report[38] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1070
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000101000001100
.gate SB_LUT4 I0=KEYBOARD.report[22] I1=KEYBOARD.report[54] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1071
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010110000000000
.gate SB_LUT4 I0=$abc$32112$n1073 I1=$abc$32112$n1020 I2=$false I3=$false O=$abc$32112$n1814
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$32112$n1077 I1=$abc$32112$n1078 I2=$abc$32112$n1074 I3=$abc$32112$n1628 O=$abc$32112$n1073
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111000001000100
.gate SB_LUT4 I0=$abc$32112$n1075 I1=$abc$32112$n1076 I2=$false I3=$false O=$abc$32112$n1074
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=KEYBOARD.report[15] I1=KEYBOARD.report[47] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1075
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000101000001100
.gate SB_LUT4 I0=KEYBOARD.report[31] I1=KEYBOARD.report[63] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1076
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0101001111111111
.gate SB_LUT4 I0=KEYBOARD.report[7] I1=KEYBOARD.report[39] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$32112$n1077
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000101000001100
.gate SB_LUT4 I0=KEYBOARD.report[23] I1=KEYBOARD.report[55] I2=I2C.byte_counter[2] I3=I2C.byte_counter[1] O=$abc$32112$n1078
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0101001111111111
.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.i2c_bit_counter[0] I2=$false I3=$false O=$abc$32112$n1819
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.i2c_bit_counter[1] I2=$false I3=$false O=$abc$32112$n1821
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.i2c_bit_counter[2] I2=$false I3=$false O=$abc$32112$n1823
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C.i2c_bit_counter[3] I1=$abc$32112$n1817 I2=$false I3=$false O=$abc$32112$n2139
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$32112$n1317_1 I1=$abc$32112$n1105 I2=$abc$32112$n1097 I3=$false O=$abc$32112$n1833
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01001111
.gate SB_LUT4 I0=$abc$32112$n985 I1=$abc$32112$n993 I2=$abc$32112$n1053 I3=$abc$32112$n692 O=$abc$32112$n1086
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0011010100000000
.gate SB_LUT4 I0=$abc$32112$n989 I1=$abc$32112$n997 I2=$abc$32112$n1053 I3=$abc$32112$n696 O=$abc$32112$n1087
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0011010100000000
.gate SB_LUT4 I0=$abc$32112$n987 I1=$abc$32112$n991_1 I2=$abc$32112$n693_1 I3=$abc$32112$n1051 O=$abc$32112$n1091
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010110011111111
.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$abc$32112$n8 I2=$false I3=$false O=$abc$32112$n1093_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$32112$n1095 I1=$abc$32112$n1093_1 I2=$false I3=$false O=$abc$32112$n1094
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$32112$n1817 I1=I2C.is_ack I2=$false I3=$false O=$abc$32112$n1095
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=$abc$32112$n16 I3=I2C.SDA_DIR O=$abc$32112$n1096
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0111110100000000
.gate SB_LUT4 I0=$abc$32112$n1096 I1=I2C.i2c_state_machine I2=$abc$32112$n1098 I3=$false O=$abc$32112$n1097
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00001101
.gate SB_LUT4 I0=$abc$32112$n1104 I1=$abc$32112$n1096 I2=$abc$32112$n1099_1 I3=$abc$32112$n688 O=$abc$32112$n1098
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111010000000000
.gate SB_LUT4 I0=$abc$32112$n1273 I1=$abc$32112$n1103 I2=$false I3=$false O=$abc$32112$n1099_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$32112$n1102 I1=I2C.received_byte[3] I2=I2C.received_byte[5] I3=I2C.received_byte[6] O=$abc$32112$n1101
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=I2C.received_byte[1] I1=I2C.received_byte[2] I2=I2C.received_byte[4] I3=I2C.received_byte[7] O=$abc$32112$n1102
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$32112$n1095 I1=$abc$32112$n1104 I2=$false I3=$false O=$abc$32112$n1103
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$32112$n1093_1 I1=$abc$32112$n506 I2=$false I3=$false O=$abc$32112$n1104
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n691 I1=$abc$32112$n689 I2=$false I3=$false O=$abc$32112$n1105
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$32112$n1103 I1=$abc$32112$n1273 I2=$abc$32112$n688 I3=$abc$32112$n1107 O=$abc$32112$n1835
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111111101110000
.gate SB_LUT4 I0=$abc$32112$n1109 I1=$abc$32112$n506 I2=$abc$32112$n1108 I3=$abc$32112$n1105 O=$abc$32112$n1107
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0111111100000000
.gate SB_LUT4 I0=$abc$32112$n1095 I1=I2C.FLT_SCL.out I2=$abc$32112$n8 I3=$false O=$abc$32112$n1108
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$abc$32112$n16 I2=I2C.SDA_DIR I3=I2C.FLT_SDA.out O=$abc$32112$n1109
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000111100000000
.gate SB_LUT4 I0=$abc$32112$n1274_1 I1=I2C.received_byte[0] I2=$abc$32112$n1103 I3=$abc$32112$n689 O=$abc$32112$n1837
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111111110000000
.gate SB_LUT4 I0=$abc$32112$n1113 I1=$abc$32112$n1819 I2=$abc$32112$n1116 I3=$abc$32112$n1051 O=$abc$32112$n1839
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111100010001000
.gate SB_LUT4 I0=$abc$32112$n1115 I1=$abc$32112$n1114 I2=$false I3=$false O=$abc$32112$n1113
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$32112$n1095 I1=$abc$32112$n1104 I2=$abc$32112$n688 I3=$abc$32112$n687 O=$abc$32112$n1114
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000001111111
.gate SB_LUT4 I0=$abc$32112$n1108 I1=$abc$32112$n1093_1 I2=$abc$32112$n506 I3=$abc$32112$n1105 O=$abc$32112$n1115
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010110000000000
.gate SB_LUT4 I0=$abc$32112$n1117 I1=$abc$32112$n506 I2=$false I3=$false O=$abc$32112$n1116
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$32112$n691 I1=$abc$32112$n689 I2=I2C.FLT_SCL.out I3=$abc$32112$n8 O=$abc$32112$n1117
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1110111111111011
.gate SB_LUT4 I0=$abc$32112$n1113 I1=$abc$32112$n1821 I2=$abc$32112$n693_1 I3=$abc$32112$n1116 O=$abc$32112$n1841
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000111110001000
.gate SB_LUT4 I0=$abc$32112$n1113 I1=$abc$32112$n1823 I2=$abc$32112$n1116 I3=$abc$32112$n1053 O=$abc$32112$n1843
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111100010001000
.gate SB_LUT4 I0=$abc$32112$n1984 I1=$abc$32112$n1128 I2=$abc$32112$n1383 I3=$abc$32112$n1126 O=$abc$32112$n1847
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111000011101110
.gate SB_LUT4 I0=$abc$32112$n691 I1=$abc$32112$n1127 I2=$false I3=$false O=$abc$32112$n1126
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1011
.gate SB_LUT4 I0=$abc$32112$n1094 I1=$abc$32112$n1108 I2=$abc$32112$n689 I3=$abc$32112$n506 O=$abc$32112$n1127
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1100101000000000
.gate SB_LUT4 I0=$abc$32112$n1129 I1=$abc$32112$n1392 I2=$false I3=$false O=$abc$32112$n1128
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n1384 I1=$abc$32112$n890 I2=$abc$32112$n1130 I3=I2C.byte_counter[0] O=$abc$32112$n1129
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=I2C.byte_counter[5] I1=I2C.byte_counter[4] I2=I2C.byte_counter[7] I3=$false O=$abc$32112$n1130
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$32112$n1384 I1=$abc$32112$n1275_1 I2=$abc$32112$n1126 I3=$false O=$abc$32112$n1849
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10100011
.gate SB_LUT4 I0=$abc$32112$n1986 I1=$abc$32112$n1386 I2=$abc$32112$n1134 I3=$false O=$abc$32112$n1851
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10101100
.gate SB_LUT4 I0=$abc$32112$n691 I1=$abc$32112$n1135 I2=$false I3=$false O=$abc$32112$n1134
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$32112$n1128 I1=$abc$32112$n1127 I2=$false I3=$false O=$abc$32112$n1135
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$32112$n1137 I1=I2C.byte_counter[3] I2=$abc$32112$n691 I3=$false O=$abc$32112$n1853
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$32112$n1987 I1=$abc$32112$n1387 I2=$abc$32112$n1135 I3=$false O=$abc$32112$n1137
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10101100
.gate SB_LUT4 I0=$abc$32112$n1139 I1=I2C.byte_counter[4] I2=$abc$32112$n691 I3=$false O=$abc$32112$n1855
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$32112$n1988 I1=$abc$32112$n1128 I2=$abc$32112$n1389 I3=$abc$32112$n1127 O=$abc$32112$n1139
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1110111011110000
.gate SB_LUT4 I0=$abc$32112$n1989 I1=$abc$32112$n1390 I2=$abc$32112$n1134 I3=$false O=$abc$32112$n1857
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10101100
.gate SB_LUT4 I0=$abc$32112$n1127 I1=$abc$32112$n1392 I2=$abc$32112$n1142 I3=$false O=$abc$32112$n1859
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00001110
.gate SB_LUT4 I0=$abc$32112$n1990 I1=$abc$32112$n1135 I2=I2C.byte_counter[6] I3=$abc$32112$n691 O=$abc$32112$n1142
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000111101000100
.gate SB_LUT4 I0=$abc$32112$n1991 I1=$abc$32112$n1393 I2=$abc$32112$n1134 I3=$false O=$abc$32112$n1861
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10101100
.gate SB_LUT4 I0=$abc$32112$n1145 I1=$abc$32112$n1146 I2=I2C.is_ack I3=$abc$32112$n691 O=$abc$32112$n1863
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111000010111011
.gate SB_LUT4 I0=$abc$32112$n506 I1=$abc$32112$n1095 I2=$abc$32112$n1099_1 I3=$abc$32112$n689 O=$abc$32112$n1145
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000011110100
.gate SB_LUT4 I0=$abc$32112$n506 I1=$abc$32112$n689 I2=$abc$32112$n1095 I3=$abc$32112$n1093_1 O=$abc$32112$n1146
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0111011100001111
.gate SB_LUT4 I0=$abc$32112$n1108 I1=$abc$32112$n506 I2=$abc$32112$n689 I3=$abc$32112$n1150 O=$abc$32112$n1149
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1011111100000000
.gate SB_LUT4 I0=$abc$32112$n1095 I1=$abc$32112$n689 I2=$abc$32112$n1093_1 I3=$abc$32112$n506 O=$abc$32112$n1150
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1110110011000000
.gate SB_LUT4 I0=$abc$32112$n1109 I1=$abc$32112$n2170 I2=$abc$32112$n689 I3=$abc$32112$n1108 O=$abc$32112$n1151
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010110011111111
.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=$abc$32112$n16 I3=I2C.wr O=$abc$32112$n1153
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0111110100000000
.gate SB_LUT4 I0=$abc$32112$n1404 I1=$abc$32112$n707 I2=$false I3=$false O=$abc$32112$n1993
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1011
.gate SB_LUT4 I0=UART.tx_clk_counter[1] I1=UART.tx_clk_counter[0] I2=UART.tx_activity I3=$false O=$abc$32112$n1995
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10010000
.gate SB_LUT4 I0=$abc$32112$n1407 I1=$abc$32112$n707 I2=$false I3=$false O=$abc$32112$n1997
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1011
.gate SB_LUT4 I0=$abc$32112$n1408 I1=$abc$32112$n707 I2=$false I3=$false O=$abc$32112$n1999
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1011
.gate SB_LUT4 I0=$abc$32112$n708 I1=$abc$32112$n710 I2=$abc$32112$n705 I3=$false O=$abc$32112$n2000
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000111
.gate SB_LUT4 I0=$abc$32112$n671 I1=KEYBOARD.report[0] I2=$abc$32112$n621 I3=$false O=$abc$32112$n2065
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10101100
.gate SB_LUT4 I0=$abc$32112$n671 I1=KEYBOARD.report[1] I2=$abc$32112$n1161 I3=$false O=$abc$32112$n2068
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10101100
.gate SB_LUT4 I0=$abc$32112$n61 I1=$abc$32112$n63 I2=$abc$32112$n60 I3=$false O=$abc$32112$n1161
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$32112$n671 I1=KEYBOARD.report[2] I2=$abc$32112$n1163 I3=$false O=$abc$32112$n2071
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10101100
.gate SB_LUT4 I0=$abc$32112$n60 I1=$abc$32112$n63 I2=$abc$32112$n61 I3=$false O=$abc$32112$n1163
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$32112$n671 I1=KEYBOARD.report[3] I2=$abc$32112$n1165 I3=$false O=$abc$32112$n2074
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10101100
.gate SB_LUT4 I0=$abc$32112$n63 I1=$abc$32112$n61 I2=$abc$32112$n60 I3=$false O=$abc$32112$n1165
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$32112$n671 I1=KEYBOARD.report[4] I2=$abc$32112$n1167 I3=$false O=$abc$32112$n2077
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10101100
.gate SB_LUT4 I0=$abc$32112$n60 I1=$abc$32112$n61 I2=$abc$32112$n63 I3=$false O=$abc$32112$n1167
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$32112$n671 I1=KEYBOARD.report[5] I2=$abc$32112$n601_1 I3=$false O=$abc$32112$n2080
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10101100
.gate SB_LUT4 I0=$abc$32112$n671 I1=KEYBOARD.report[6] I2=$abc$32112$n593 I3=$false O=$abc$32112$n2083
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10101100
.gate SB_LUT4 I0=$abc$32112$n671 I1=KEYBOARD.report[7] I2=$abc$32112$n568 I3=$false O=$abc$32112$n2086
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10101100
.gate SB_LUT4 I0=$abc$32112$n671 I1=$abc$32112$n60 I2=$false I3=$false O=$abc$32112$n2087
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n671 I1=$abc$32112$n61 I2=$false I3=$false O=$abc$32112$n2088
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n671 I1=$abc$32112$n63 I2=$false I3=$false O=$abc$32112$n2089
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n671 I1=$abc$32112$n64 I2=$false I3=$false O=$abc$32112$n2090
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n671 I1=$abc$32112$n66 I2=$false I3=$false O=$abc$32112$n2091
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n671 I1=$abc$32112$n67 I2=$false I3=$false O=$abc$32112$n2092
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n69 I1=$abc$32112$n671 I2=$false I3=$false O=$abc$32112$n2093
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$32112$n671 I1=$abc$32112$n70 I2=$false I3=$false O=$abc$32112$n2094
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] I1=$abc$32112$n882 I2=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[3] I3=$abc$32112$n1180 O=$abc$32112$n2227
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=$abc$32112$n939 I1=I2C.is_read I2=$abc$32112$n637 I3=$abc$32112$n2178 O=$abc$32112$n1180
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$32112$n1182 I1=I2C.byte_counter[1] I2=I2C.byte_counter[2] I3=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] O=$abc$32112$n2231
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[3] I1=$abc$32112$n1180 I2=$false I3=$false O=$abc$32112$n1182
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] I1=I2C.byte_counter[1] I2=I2C.byte_counter[2] I3=$abc$32112$n1182 O=$abc$32112$n2235
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=$abc$32112$n1180 I1=$abc$32112$n1185 I2=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] I3=$false O=$abc$32112$n2237
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=I2C.byte_counter[1] I1=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[3] I2=I2C.byte_counter[2] I3=$false O=$abc$32112$n1185
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] I1=$abc$32112$n1185 I2=$abc$32112$n1180 I3=$false O=$abc$32112$n2241
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=I2C.byte_counter[2] I1=I2C.byte_counter[1] I2=$abc$32112$n1182 I3=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] O=$abc$32112$n2244
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0] I1=$abc$32112$n882 I2=$abc$32112$n1182 I3=$false O=$abc$32112$n2246
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=$false I2=$false I3=$false O=$abc$32112$n1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$false I2=$false I3=$false O=$abc$32112$n7
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$32112$n10 I1=$false I2=$false I3=$false O=COM_DSR
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$32112$n12 I1=$false I2=$false I3=$false O=COM_TX
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=LED1 I1=$false I2=$false I3=$false O=COM_DCD
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=UART.tx_activity I1=$false I2=$false I3=$false O=$abc$32112$n21
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$32112$n23 I1=$false I2=$false I3=$false O=$abc$32112$n22
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.FLT_SCL.RESET I1=$false I2=$false I3=$false O=$0\KBD_FREEZE[0:0]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.byte_counter[2] I1=$false I2=$false I3=$false O=$abc$32112$n994
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.byte_counter[3] I1=$false I2=$false I3=$false O=$abc$32112$n1009
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.byte_counter[0] I1=$false I2=$false I3=$false O=$abc$32112$n1011
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.byte_counter[7] I1=$false I2=$false I3=$false O=$abc$32112$n1014
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.byte_counter[6] I1=$false I2=$false I3=$false O=$abc$32112$n1015
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.byte_counter[5] I1=$false I2=$false I3=$false O=$abc$32112$n1017
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.byte_counter[4] I1=$false I2=$false I3=$false O=$abc$32112$n1018
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.byte_counter[1] I1=$false I2=$false I3=$false O=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[1]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$32112$n2139 I1=$false I2=$false I3=$false O=$abc$32112$n1825
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=$false I2=$false I3=$false O=$abc$32112$n2003
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$32112$n1384 I1=$false I2=$false I3=$false O=$abc$32112$n2095
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$32112$n1821 I1=$false I2=$false I3=$false O=$abc$32112$n2096
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=KEYBOARD.row_time[1] I1=$false I2=$false I3=$false O=$abc$32112$n2100
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$32112$n2106 I1=$false I2=$false I3=$false O=$abc$32112$n2105
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C_INPUT_LEN[1] I1=$false I2=$false I3=$false O=$abc$32112$n2130
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=$false I2=$false I3=$false O=$abc$32112$n2131
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=$false I2=$false I3=$false O=$abc$32112$n2132
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C_INPUT_LEN[4] I1=$false I2=$false I3=$false O=$abc$32112$n2133
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C_INPUT_LEN[5] I1=$false I2=$false I3=$false O=$abc$32112$n2134
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C_INPUT_LEN[6] I1=$false I2=$false I3=$false O=$abc$32112$n2135
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C_INPUT_LEN[7] I1=$false I2=$false I3=$false O=$abc$32112$n2136
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$32112$n1819 I1=$false I2=$false I3=$false O=$abc$32112$n2137
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$32112$n1823 I1=$false I2=$false I3=$false O=$abc$32112$n2138
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$32112$n2107 I1=$false I2=$false I3=$false O=$abc$32112$n2140
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$32112$n2141 I1=$false I2=$false I3=$false O=$abc$32112$n2142
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$32112$n2143 I1=$false I2=$false I3=$false O=$abc$32112$n2144
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$32112$n1386 I1=$false I2=$false I3=$false O=$abc$32112$n2145
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$32112$n1387 I1=$false I2=$false I3=$false O=$abc$32112$n2146
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$32112$n1389 I1=$false I2=$false I3=$false O=$abc$32112$n2147
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$32112$n1390 I1=$false I2=$false I3=$false O=$abc$32112$n2148
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$32112$n1392 I1=$false I2=$false I3=$false O=$abc$32112$n2149
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$32112$n1393 I1=$false I2=$false I3=$false O=$abc$32112$n2150
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$abc$32112$n584 I1=$abc$32112$n609 I2=$abc$32112$n569 I3=$abc$32112$n617 O=$abc$32112$n69
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1110000011111111
.gate SB_LUT4 I0=$abc$32112$n69 I1=$abc$32112$n621 I2=$false I3=$false O=$abc$32112$n74
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1011
.gate SB_LUT4 I0=$abc$32112$n682 I1=$abc$32112$n1293 I2=$abc$32112$n627 I3=$false O=$abc$32112$n501
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$32112$n69 I1=$abc$32112$n621 I2=$abc$32112$n10 I3=$false O=$abc$32112$n1252_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10110000
.gate SB_LUT4 I0=$abc$32112$n1328 I1=$abc$32112$n739 I2=$abc$32112$n720_1 I3=$false O=$abc$32112$n614
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$32112$n69 I1=$abc$32112$n621 I2=$abc$32112$n723 I3=$false O=$abc$32112$n1254
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10110000
.gate SB_LUT4 I0=$abc$32112$n768 I1=$abc$32112$n770_1 I2=$abc$32112$n720_1 I3=$false O=$abc$32112$n1255
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$32112$n788 I1=$abc$32112$n770_1 I2=$false I3=$false O=$abc$32112$n1257_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$32112$n770_1 I1=$abc$32112$n788 I2=$abc$32112$n1278_1 I3=$false O=$abc$32112$n1259
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=$abc$32112$n823 I1=$abc$32112$n596 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$32112$n743
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10001111
.gate SB_LUT4 I0=$abc$32112$n823 I1=$abc$32112$n569 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$32112$n779
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10001111
.gate SB_LUT4 I0=$abc$32112$n823 I1=$abc$32112$n585 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$32112$n800
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10001111
.gate SB_LUT4 I0=$abc$32112$n823 I1=$abc$32112$n598 I2=I2C.FLT_SCL.RESET I3=$false O=$abc$32112$n822
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10001111
.gate SB_LUT4 I0=$abc$32112$n949 I1=$abc$32112$n947 I2=$abc$32112$n19 I3=$false O=$abc$32112$n1502
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=$abc$32112$n942 I1=$abc$32112$n960 I2=$abc$32112$n19 I3=$false O=$abc$32112$n1514
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10100011
.gate SB_LUT4 I0=$abc$32112$n646 I1=$abc$32112$n674 I2=I2C_INPUT_DATA[3][1] I3=$false O=$abc$32112$n1270
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$32112$n967 I1=$abc$32112$n972_1 I2=I2C_OUTPUT_TYPE[0] I3=$abc$32112$n977_1 O=$abc$32112$n1271_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1100111100001010
.gate SB_LUT4 I0=$abc$32112$n1022_1 I1=$abc$32112$n2175 I2=$abc$32112$n2168 I3=$false O=$abc$32112$n1272_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$32112$n1101 I1=$abc$32112$n879 I2=$abc$32112$n871 I3=$false O=$abc$32112$n1273
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$32112$n691 I1=$abc$32112$n879 I2=$abc$32112$n871 I3=$false O=$abc$32112$n1274_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$32112$n1128 I1=I2C.byte_counter[1] I2=I2C.byte_counter[0] I3=$false O=$abc$32112$n1275_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000001
.gate SB_LUT4 I0=$abc$32112$n1277_1 I1=$abc$32112$n1153 I2=$abc$32112$n691 I3=$false O=$abc$32112$n1865
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11000101
.gate SB_LUT4 I0=$abc$32112$n1149 I1=$abc$32112$n1153 I2=$abc$32112$n1151 I3=$abc$32112$n506 O=$abc$32112$n1277_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1011000010111011
.gate SB_LUT4 I0=$abc$32112$n739 I1=$abc$32112$n771 I2=$abc$32112$n768 I3=$false O=$abc$32112$n1278_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000111
.gate SB_LUT4 I0=KEYBOARD.last_data[9] I1=KEYBOARD.last_data[1] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$32112$n1279
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=KEYBOARD.last_data[13] I1=KEYBOARD.last_data[5] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$32112$n1280_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10101100
.gate SB_LUT4 I0=$abc$32112$n1280_1 I1=$abc$32112$n1279 I2=KEYBOARD.row_counter[0] I3=$false O=$abc$32112$n1281_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01011100
.gate SB_LUT4 I0=KEYBOARD.last_data[11] I1=KEYBOARD.last_data[3] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$32112$n1282
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=KEYBOARD.last_data[15] I1=KEYBOARD.last_data[7] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$32112$n1283
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10101100
.gate SB_LUT4 I0=$abc$32112$n1283 I1=$abc$32112$n1282 I2=KEYBOARD.row_counter[0] I3=$false O=$abc$32112$n1284
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01011100
.gate SB_LUT4 I0=KEYBOARD.last_data[10] I1=KEYBOARD.last_data[2] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$32112$n1285_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=KEYBOARD.last_data[14] I1=KEYBOARD.last_data[6] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$32112$n1286_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10101100
.gate SB_LUT4 I0=$abc$32112$n1286_1 I1=$abc$32112$n1285_1 I2=KEYBOARD.row_counter[0] I3=$false O=$abc$32112$n1287
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01011100
.gate SB_LUT4 I0=KEYBOARD.last_data[8] I1=KEYBOARD.last_data[0] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$32112$n1288_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=KEYBOARD.last_data[12] I1=KEYBOARD.last_data[4] I2=KEYBOARD.row_counter[1] I3=$false O=$abc$32112$n1289_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10101100
.gate SB_LUT4 I0=$abc$32112$n1289_1 I1=$abc$32112$n1288_1 I2=KEYBOARD.row_counter[0] I3=$false O=$abc$32112$n1290
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01011100
.gate SB_LUT4 I0=$abc$32112$n645 I1=$abc$32112$n669 I2=I2C_INPUT_LEN[2] I3=I2C_INPUT_LEN[3] O=$abc$32112$n1291_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000101011000000
.gate SB_LUT4 I0=I2C_INPUT_LEN[1] I1=I2C_INPUT_LEN[0] I2=$abc$32112$n1291_1 I3=$abc$32112$n667 O=$abc$32112$n1292_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1011111111111111
.gate SB_LUT4 I0=$abc$32112$n675 I1=$abc$32112$n1292_1 I2=$abc$32112$n631_1 I3=$false O=$abc$32112$n1293
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000111
.gate SB_LUT4 I0=KEYBOARD.report[19] I1=KEYBOARD.report[22] I2=$abc$32112$n69 I3=$abc$32112$n738 O=$abc$32112$n1294_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100000110000010
.gate SB_LUT4 I0=KEYBOARD.report[27] I1=$abc$32112$n64 I2=KEYBOARD.report[26] I3=$abc$32112$n63 O=$abc$32112$n1297
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1011000000001011
.gate SB_LUT4 I0=$abc$32112$n69 I1=KEYBOARD.report[30] I2=KEYBOARD.report[28] I3=$abc$32112$n66 O=$abc$32112$n1298
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1011000000001011
.gate SB_LUT4 I0=KEYBOARD.report[25] I1=$abc$32112$n61 I2=$abc$32112$n1298 I3=$abc$32112$n1297 O=$abc$32112$n1299_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1001000000000000
.gate SB_LUT4 I0=$abc$32112$n746 I1=$abc$32112$n1299_1 I2=$abc$32112$n754 I3=$abc$32112$n720_1 O=$abc$32112$n631
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000111100000000
.gate SB_LUT4 I0=KEYBOARD.report[34] I1=$abc$32112$n63 I2=KEYBOARD.report[35] I3=$abc$32112$n64 O=$abc$32112$n1301
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=KEYBOARD.report[45] I1=KEYBOARD.report[47] I2=$abc$32112$n70 I3=$abc$32112$n778 O=$abc$32112$n1305_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100000110000010
.gate SB_LUT4 I0=$abc$32112$n1257_1 I1=$abc$32112$n720_1 I2=$abc$32112$n1335 I3=$abc$32112$n1278_1 O=$abc$32112$n678
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=KEYBOARD.report[57] I1=$abc$32112$n61 I2=KEYBOARD.report[59] I3=$abc$32112$n64 O=$abc$32112$n1308_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=KEYBOARD.report[60] I1=$abc$32112$n66 I2=KEYBOARD.report[61] I3=$abc$32112$n778 O=$abc$32112$n1309_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000100110010000
.gate SB_LUT4 I0=$abc$32112$n1309_1 I1=$abc$32112$n808 I2=$abc$32112$n1308_1 I3=$abc$32112$n1254 O=$abc$32112$n1310
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0111111100000000
.gate SB_LUT4 I0=$abc$32112$n873 I1=$abc$32112$n884 I2=$abc$32112$n879 I3=I2C.byte_counter[6] O=$abc$32112$n1311
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111000010001000
.gate SB_LUT4 I0=I2C.byte_counter[5] I1=I2C.byte_counter[4] I2=I2C.byte_counter[7] I3=$abc$32112$n1311 O=$abc$32112$n1312
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111111011111111
.gate SB_LUT4 I0=$abc$32112$n999 I1=$abc$32112$n995 I2=$abc$32112$n693_1 I3=$abc$32112$n1051 O=$abc$32112$n1313_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0011010100000000
.gate SB_LUT4 I0=$abc$32112$n1091 I1=$abc$32112$n1313_1 I2=$abc$32112$n1087 I3=$abc$32112$n1053 O=$abc$32112$n1314_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000001100001010
.gate SB_LUT4 I0=$abc$32112$n1095 I1=$abc$32112$n1096 I2=$false I3=$false O=$abc$32112$n1315
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0111
.gate SB_LUT4 I0=$abc$32112$n1086 I1=$abc$32112$n1314_1 I2=$abc$32112$n1315 I3=$abc$32112$n506 O=$abc$32112$n1316_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111000010111011
.gate SB_LUT4 I0=$abc$32112$n1096 I1=$abc$32112$n1316_1 I2=$abc$32112$n1093_1 I3=$false O=$abc$32112$n1317_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11000101
.gate SB_LUT4 I0=$abc$32112$n2139 I1=$abc$32112$n1054 I2=$abc$32112$n1117 I3=$false O=$abc$32112$n1318
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01011100
.gate SB_LUT4 I0=$abc$32112$n1093_1 I1=I2C.is_ack I2=$abc$32112$n1108 I3=$abc$32112$n689 O=$abc$32112$n1319_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111000010001000
.gate SB_LUT4 I0=$abc$32112$n1117 I1=$abc$32112$n1319_1 I2=$abc$32112$n691 I3=$abc$32112$n2139 O=$abc$32112$n1320_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000110010101111
.gate SB_LUT4 I0=$abc$32112$n1318 I1=$abc$32112$n1320_1 I2=$abc$32112$n506 I3=$false O=$abc$32112$n1845
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$32112$n907 I1=$abc$32112$n873 I2=$abc$32112$n899 I3=$abc$32112$n924 O=$abc$32112$n1322_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000111
.gate SB_LUT4 I0=$abc$32112$n1322_1 I1=$abc$32112$n875 I2=$abc$32112$n892_1 I3=$abc$32112$n914_1 O=$abc$32112$n1323_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0111111111111111
.gate SB_LUT4 I0=$abc$32112$n19 I1=$abc$32112$n1323_1 I2=$abc$32112$n923 I3=$false O=$abc$32112$n1511
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11110100
.gate SB_LUT4 I0=KEYBOARD.report[17] I1=$abc$32112$n61 I2=KEYBOARD.report[18] I3=$abc$32112$n63 O=$abc$32112$n1325
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=KEYBOARD.report[21] I1=$abc$32112$n67 I2=KEYBOARD.report[23] I3=$abc$32112$n70 O=$abc$32112$n1326
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=$abc$32112$n732_1 I1=$abc$32112$n1326 I2=$abc$32112$n1325 I3=$abc$32112$n1294_1 O=$abc$32112$n1327_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=$abc$32112$n1327_1 I1=KEYBOARD.report[16] I2=$abc$32112$n60 I3=$abc$32112$n1254 O=$abc$32112$n1328
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0111110100000000
.gate SB_LUT4 I0=KEYBOARD.report[32] I1=$abc$32112$n60 I2=KEYBOARD.report[33] I3=$abc$32112$n61 O=$abc$32112$n1329
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=KEYBOARD.report[36] I1=$abc$32112$n66 I2=KEYBOARD.report[38] I3=$abc$32112$n69 O=$abc$32112$n1330_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1001000000001001
.gate SB_LUT4 I0=$abc$32112$n1329 I1=$abc$32112$n1330_1 I2=$abc$32112$n762 I3=$abc$32112$n1301 O=$abc$32112$n1331_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0111111111111111
.gate SB_LUT4 I0=$abc$32112$n1254 I1=$abc$32112$n1331_1 I2=$abc$32112$n1255 I3=$false O=$abc$32112$n651
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=KEYBOARD.report[43] I1=$abc$32112$n738 I2=KEYBOARD.report[42] I3=$abc$32112$n63 O=$abc$32112$n1333
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1110000000001110
.gate SB_LUT4 I0=$abc$32112$n1333 I1=$abc$32112$n777 I2=$abc$32112$n786 I3=$abc$32112$n1305_1 O=$abc$32112$n1334_1
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$abc$32112$n1254 I1=$abc$32112$n60 I2=KEYBOARD.report[40] I3=$abc$32112$n1334_1 O=$abc$32112$n1335
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1101011101010101
.gate SB_CARRY CI=$abc$32112$n2140 CO=$auto$alumacc.cc:470:replace_alu$4536.C[2] I0=$false I1=$abc$32112$n2106
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4536.C[2] CO=$auto$alumacc.cc:470:replace_alu$4536.C[3] I0=$false I1=$abc$32112$n2142
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4536.C[3] CO=$abc$32112$n2002 I0=$false I1=$abc$32112$n2144
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$abc$32112$n64 CO=$auto$alumacc.cc:470:replace_alu$4541.C[5] I0=$abc$32112$n66 I1=$true
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4541.C[5] CO=$auto$alumacc.cc:470:replace_alu$4541.C[6] I0=$abc$32112$n67 I1=$false
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4541.C[6] CO=$auto$alumacc.cc:470:replace_alu$4541.C[7] I0=$abc$32112$n69 I1=$false
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4541.C[7] CO=$abc$32112$n2171 I0=$abc$32112$n70 I1=$false
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$abc$32112$n60 CO=$auto$alumacc.cc:470:replace_alu$4546.C[2] I0=$abc$32112$n61 I1=$false
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4546.C[2] CO=$auto$alumacc.cc:470:replace_alu$4546.C[3] I0=$abc$32112$n63 I1=$false
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4546.C[3] CO=$auto$alumacc.cc:470:replace_alu$4546.C[4] I0=$abc$32112$n64 I1=$false
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4546.C[4] CO=$auto$alumacc.cc:470:replace_alu$4546.C[5] I0=$abc$32112$n66 I1=$false
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4546.C[5] CO=$auto$alumacc.cc:470:replace_alu$4546.C[6] I0=$abc$32112$n67 I1=$true
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4546.C[6] CO=$auto$alumacc.cc:470:replace_alu$4546.C[7] I0=$abc$32112$n69 I1=$false
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4546.C[7] CO=$abc$32112$n2172 I0=$abc$32112$n70 I1=$false
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$abc$32112$n2137 CO=$auto$alumacc.cc:470:replace_alu$4557.C[2] I0=$false I1=$abc$32112$n2096
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4557.C[2] CO=$auto$alumacc.cc:470:replace_alu$4557.C[3] I0=$false I1=$abc$32112$n2138
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4557.C[3] CO=$abc$32112$n506 I0=$false I1=$abc$32112$n2139
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$abc$32112$n1011 CO=$auto$alumacc.cc:470:replace_alu$4562.C[2] I0=$true I1=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[1]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4562.C[2] CO=$auto$alumacc.cc:470:replace_alu$4562.C[3] I0=$false I1=$abc$32112$n994
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4562.C[3] CO=$auto$alumacc.cc:470:replace_alu$4562.C[4] I0=$false I1=$abc$32112$n1009
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4562.C[4] CO=$auto$alumacc.cc:470:replace_alu$4562.C[5] I0=$false I1=$abc$32112$n1018
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4562.C[5] CO=$auto$alumacc.cc:470:replace_alu$4562.C[6] I0=$false I1=$abc$32112$n1017
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4562.C[6] CO=$auto$alumacc.cc:470:replace_alu$4562.C[7] I0=$false I1=$abc$32112$n1015
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4562.C[7] CO=$abc$32112$n2175 I0=$false I1=$abc$32112$n1014
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$abc$32112$n1011 CO=$auto$alumacc.cc:470:replace_alu$4573.C[2] I0=$false I1=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[1]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4573.C[2] CO=$auto$alumacc.cc:470:replace_alu$4573.C[3] I0=$true I1=$abc$32112$n994
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4573.C[3] CO=$auto$alumacc.cc:470:replace_alu$4573.C[4] I0=$true I1=$abc$32112$n1009
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4573.C[4] CO=$auto$alumacc.cc:470:replace_alu$4573.C[5] I0=$false I1=$abc$32112$n1018
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4573.C[5] CO=$auto$alumacc.cc:470:replace_alu$4573.C[6] I0=$false I1=$abc$32112$n1017
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4573.C[6] CO=$auto$alumacc.cc:470:replace_alu$4573.C[7] I0=$false I1=$abc$32112$n1015
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4573.C[7] CO=$abc$32112$n2178 I0=$false I1=$abc$32112$n1014
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$abc$32112$n994 CO=$auto$alumacc.cc:470:replace_alu$4584.C[4] I0=$true I1=$abc$32112$n1009
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4584.C[4] CO=$auto$alumacc.cc:470:replace_alu$4584.C[5] I0=$false I1=$abc$32112$n1018
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4584.C[5] CO=$auto$alumacc.cc:470:replace_alu$4584.C[6] I0=$false I1=$abc$32112$n1017
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4584.C[6] CO=$auto$alumacc.cc:470:replace_alu$4584.C[7] I0=$false I1=$abc$32112$n1015
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4584.C[7] CO=$abc$32112$n2168 I0=$false I1=$abc$32112$n1014
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$abc$32112$n2130 CO=$auto$alumacc.cc:470:replace_alu$4591.C[3] I0=$false I1=$abc$32112$n2131
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4591.C[3] CO=$auto$alumacc.cc:470:replace_alu$4591.C[4] I0=$false I1=$abc$32112$n2132
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4591.C[4] CO=$auto$alumacc.cc:470:replace_alu$4591.C[5] I0=$false I1=$abc$32112$n2133
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4591.C[5] CO=$auto$alumacc.cc:470:replace_alu$4591.C[6] I0=$false I1=$abc$32112$n2134
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4591.C[6] CO=$auto$alumacc.cc:470:replace_alu$4591.C[7] I0=$false I1=$abc$32112$n2135
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4591.C[7] CO=$abc$32112$n2169 I0=$false I1=$abc$32112$n2136
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$0\KBD_FREEZE[0:0] I2=rststate[0] I3=$false O=$abc$32112$n1730
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$false CO=$auto$alumacc.cc:470:replace_alu$4596.C[1] I0=$0\KBD_FREEZE[0:0] I1=rststate[0]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=rststate[1] I3=$auto$alumacc.cc:470:replace_alu$4596.C[1] O=$abc$32112$n1732
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4596.C[1] CO=$auto$alumacc.cc:470:replace_alu$4596.C[2] I0=$false I1=rststate[1]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=rststate[2] I3=$auto$alumacc.cc:470:replace_alu$4596.C[2] O=$abc$32112$n1734
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4596.C[2] CO=$auto$alumacc.cc:470:replace_alu$4596.C[3] I0=$false I1=rststate[2]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=rststate[3] I3=$auto$alumacc.cc:470:replace_alu$4596.C[3] O=$abc$32112$n1736
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=I2C.byte_counter[0] I2=$false I3=$true O=$abc$32112$n1706
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=I2C.byte_counter[0] CO=$auto$alumacc.cc:470:replace_alu$4599.C[2] I0=I2C.byte_counter[1] I1=$true
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.byte_counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4599.C[2] O=$abc$32112$n1710
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4599.C[2] CO=$auto$alumacc.cc:470:replace_alu$4599.C[3] I0=I2C.byte_counter[2] I1=$true
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.byte_counter[3] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4599.C[3] O=$abc$32112$n1712
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4599.C[3] CO=$auto$alumacc.cc:470:replace_alu$4599.C[4] I0=I2C.byte_counter[3] I1=$true
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.byte_counter[4] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4599.C[4] O=$abc$32112$n1714
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4599.C[4] CO=$auto$alumacc.cc:470:replace_alu$4599.C[5] I0=I2C.byte_counter[4] I1=$true
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.byte_counter[5] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4599.C[5] O=$abc$32112$n1716
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4599.C[5] CO=$auto$alumacc.cc:470:replace_alu$4599.C[6] I0=I2C.byte_counter[5] I1=$true
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.byte_counter[6] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4599.C[6] O=$abc$32112$n1718
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4599.C[6] CO=$auto$alumacc.cc:470:replace_alu$4599.C[7] I0=I2C.byte_counter[6] I1=$true
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.byte_counter[7] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4599.C[7] O=$abc$32112$n1720
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=I2C.byte_counter[0] I2=$true I3=$true O=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[0]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=I2C.byte_counter[1] CO=$auto$alumacc.cc:470:replace_alu$4602.C[3] I0=I2C.byte_counter[2] I1=$true
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.byte_counter[3] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4602.C[3] O=$0$memwr$\I2C_INPUT_DATA$top.v:63$193_ADDR[31:0]$200[3]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=I2C.byte_counter[0] I2=$true I3=$true O=$abc$32112$n1628
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$abc$32112$n2095 CO=$auto$alumacc.cc:470:replace_alu$4608.C[3] I0=$false I1=$abc$32112$n2145
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4608.C[3] CO=$auto$alumacc.cc:470:replace_alu$4608.C[4] I0=$false I1=$abc$32112$n2146
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4608.C[4] CO=$auto$alumacc.cc:470:replace_alu$4608.C[5] I0=$false I1=$abc$32112$n2147
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4608.C[5] CO=$auto$alumacc.cc:470:replace_alu$4608.C[6] I0=$false I1=$abc$32112$n2148
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4608.C[6] CO=$auto$alumacc.cc:470:replace_alu$4608.C[7] I0=$false I1=$abc$32112$n2149
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4608.C[7] CO=$abc$32112$n2170 I0=$false I1=$abc$32112$n2150
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$true I2=$abc$32112$n1383 I3=$false O=$abc$32112$n1984
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$abc$32112$n1383 CO=$auto$alumacc.cc:470:replace_alu$4613.C[2] I0=$false I1=$abc$32112$n1384
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$abc$32112$n1386 I3=$auto$alumacc.cc:470:replace_alu$4613.C[2] O=$abc$32112$n1986
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4613.C[2] CO=$auto$alumacc.cc:470:replace_alu$4613.C[3] I0=$false I1=$abc$32112$n1386
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$abc$32112$n1387 I3=$auto$alumacc.cc:470:replace_alu$4613.C[3] O=$abc$32112$n1987
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4613.C[3] CO=$auto$alumacc.cc:470:replace_alu$4613.C[4] I0=$false I1=$abc$32112$n1387
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$abc$32112$n1389 I3=$auto$alumacc.cc:470:replace_alu$4613.C[4] O=$abc$32112$n1988
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4613.C[4] CO=$auto$alumacc.cc:470:replace_alu$4613.C[5] I0=$false I1=$abc$32112$n1389
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$abc$32112$n1390 I3=$auto$alumacc.cc:470:replace_alu$4613.C[5] O=$abc$32112$n1989
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4613.C[5] CO=$auto$alumacc.cc:470:replace_alu$4613.C[6] I0=$false I1=$abc$32112$n1390
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$abc$32112$n1392 I3=$auto$alumacc.cc:470:replace_alu$4613.C[6] O=$abc$32112$n1990
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4613.C[6] CO=$auto$alumacc.cc:470:replace_alu$4613.C[7] I0=$false I1=$abc$32112$n1392
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$abc$32112$n1393 I3=$auto$alumacc.cc:470:replace_alu$4613.C[7] O=$abc$32112$n1991
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$abc$32112$n1819 I2=$false I3=$true O=$abc$32112$n1051
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$abc$32112$n1819 CO=$auto$alumacc.cc:470:replace_alu$4616.C[2] I0=$abc$32112$n1821 I1=$true
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$abc$32112$n1823 I2=$true I3=$auto$alumacc.cc:470:replace_alu$4616.C[2] O=$abc$32112$n1053
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4616.C[2] CO=$auto$alumacc.cc:470:replace_alu$4616.C[3] I0=$abc$32112$n1823 I1=$true
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$abc$32112$n1825 I2=$true I3=$auto$alumacc.cc:470:replace_alu$4616.C[3] O=$abc$32112$n1054
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4616.C[3] CO=$abc$32112$n2097 I0=$abc$32112$n1825 I1=$true
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$true I3=$abc$32112$n2097 O=$abc$32112$n1065
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[0] I2=$false I3=$true O=$abc$32112$n1331
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=I2C.FLT_SCL.counter[0] CO=$auto$alumacc.cc:470:replace_alu$4619.C[2] I0=I2C.FLT_SCL.counter[1] I1=$true
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4619.C[2] O=$abc$32112$n1334
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[0] I2=$false I3=$true O=$abc$32112$n1327
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=I2C.FLT_SDA.counter[0] CO=$auto$alumacc.cc:470:replace_alu$4622.C[2] I0=I2C.FLT_SDA.counter[1] I1=$true
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4622.C[2] O=$abc$32112$n1330
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_time[0] I3=$false O=$auto$wreduce.cc:347:run$4460[0]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[10] I3=$auto$alumacc.cc:470:replace_alu$4628.C[10] O=$auto$wreduce.cc:347:run$4460[10]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[10] CO=$auto$alumacc.cc:470:replace_alu$4628.C[11] I0=$false I1=KEYBOARD.row_time[10]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[11] I3=$auto$alumacc.cc:470:replace_alu$4628.C[11] O=$auto$wreduce.cc:347:run$4460[11]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[11] CO=$auto$alumacc.cc:470:replace_alu$4628.C[12] I0=$false I1=KEYBOARD.row_time[11]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[12] I3=$auto$alumacc.cc:470:replace_alu$4628.C[12] O=$auto$wreduce.cc:347:run$4460[12]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[12] CO=$auto$alumacc.cc:470:replace_alu$4628.C[13] I0=$false I1=KEYBOARD.row_time[12]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[13] I3=$auto$alumacc.cc:470:replace_alu$4628.C[13] O=$auto$wreduce.cc:347:run$4460[13]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[13] CO=$auto$alumacc.cc:470:replace_alu$4628.C[14] I0=$false I1=KEYBOARD.row_time[13]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[14] I3=$auto$alumacc.cc:470:replace_alu$4628.C[14] O=$auto$wreduce.cc:347:run$4460[14]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[14] CO=$auto$alumacc.cc:470:replace_alu$4628.C[15] I0=$false I1=KEYBOARD.row_time[14]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[15] I3=$auto$alumacc.cc:470:replace_alu$4628.C[15] O=$auto$wreduce.cc:347:run$4460[15]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=KEYBOARD.row_time[0] CO=$auto$alumacc.cc:470:replace_alu$4628.C[2] I0=$false I1=KEYBOARD.row_time[1]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[2] I3=$auto$alumacc.cc:470:replace_alu$4628.C[2] O=$auto$wreduce.cc:347:run$4460[2]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[2] CO=$auto$alumacc.cc:470:replace_alu$4628.C[3] I0=$false I1=KEYBOARD.row_time[2]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[3] I3=$auto$alumacc.cc:470:replace_alu$4628.C[3] O=$auto$wreduce.cc:347:run$4460[3]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[3] CO=$auto$alumacc.cc:470:replace_alu$4628.C[4] I0=$false I1=KEYBOARD.row_time[3]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[4] I3=$auto$alumacc.cc:470:replace_alu$4628.C[4] O=$auto$wreduce.cc:347:run$4460[4]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[4] CO=$auto$alumacc.cc:470:replace_alu$4628.C[5] I0=$false I1=KEYBOARD.row_time[4]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[5] I3=$auto$alumacc.cc:470:replace_alu$4628.C[5] O=$auto$wreduce.cc:347:run$4460[5]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[5] CO=$auto$alumacc.cc:470:replace_alu$4628.C[6] I0=$false I1=KEYBOARD.row_time[5]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[6] I3=$auto$alumacc.cc:470:replace_alu$4628.C[6] O=$auto$wreduce.cc:347:run$4460[6]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[6] CO=$auto$alumacc.cc:470:replace_alu$4628.C[7] I0=$false I1=KEYBOARD.row_time[6]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[7] I3=$auto$alumacc.cc:470:replace_alu$4628.C[7] O=$auto$wreduce.cc:347:run$4460[7]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[7] CO=$auto$alumacc.cc:470:replace_alu$4628.C[8] I0=$false I1=KEYBOARD.row_time[7]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[8] I3=$auto$alumacc.cc:470:replace_alu$4628.C[8] O=$auto$wreduce.cc:347:run$4460[8]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[8] CO=$auto$alumacc.cc:470:replace_alu$4628.C[9] I0=$false I1=KEYBOARD.row_time[8]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[9] I3=$auto$alumacc.cc:470:replace_alu$4628.C[9] O=$auto$wreduce.cc:347:run$4460[9]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4628.C[9] CO=$auto$alumacc.cc:470:replace_alu$4628.C[10] I0=$false I1=KEYBOARD.row_time[9]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[0] I2=$false I3=$true O=$abc$32112$n1404
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=UART.tx_clk_counter[0] CO=$auto$alumacc.cc:470:replace_alu$4640.C[2] I0=UART.tx_clk_counter[1] I1=$true
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4640.C[2] O=$abc$32112$n1407
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4640.C[2] CO=$auto$alumacc.cc:470:replace_alu$4640.C[3] I0=UART.tx_clk_counter[2] I1=$true
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[3] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4640.C[3] O=$abc$32112$n1408
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[0] I2=$false I3=$true O=$abc$32112$n2107
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=UART.tx_bit_counter[0] CO=$auto$alumacc.cc:470:replace_alu$4643.C[2] I0=UART.tx_bit_counter[1] I1=$true
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[2] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4643.C[2] O=$abc$32112$n2141
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$4643.C[2] CO=$auto$alumacc.cc:470:replace_alu$4643.C[3] I0=UART.tx_bit_counter[2] I1=$true
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[3] I2=$true I3=$auto$alumacc.cc:470:replace_alu$4643.C[3] O=$abc$32112$n2143
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$false I2=$abc$32112$n2140 I3=$true O=$abc$32112$n1572
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$abc$32112$n2140 CO=$auto$alumacc.cc:470:replace_alu$4646.C[2] I0=$false I1=$abc$32112$n2106
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$abc$32112$n2142 I3=$auto$alumacc.cc:470:replace_alu$4646.C[2] O=$abc$32112$n1558
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$abc$32112$n2235 Q=I2C_INPUT_DATA[4][0]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$abc$32112$n2235 Q=I2C_INPUT_DATA[4][1]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$abc$32112$n2235 Q=I2C_INPUT_DATA[4][2]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[3] E=$abc$32112$n2235 Q=I2C_INPUT_DATA[4][3]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[4] E=$abc$32112$n2235 Q=I2C_INPUT_DATA[4][4]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[5] E=$abc$32112$n2235 Q=I2C_INPUT_DATA[4][5]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[6] E=$abc$32112$n2235 Q=I2C_INPUT_DATA[4][6]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[7] E=$abc$32112$n2235 Q=I2C_INPUT_DATA[4][7]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$32112$n1496 E=$abc$32112$n376 Q=I2C_HID_DESC.VAL[0]
.attr src "descriptors.v:11|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$32112$n1499 E=$abc$32112$n376 Q=I2C_HID_DESC.VAL[1]
.attr src "descriptors.v:11|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$32112$n1502 E=$abc$32112$n376 Q=I2C_HID_DESC.VAL[2]
.attr src "descriptors.v:11|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$32112$n1505 E=$abc$32112$n376 Q=I2C_HID_DESC.VAL[3]
.attr src "descriptors.v:11|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$32112$n1508 E=$abc$32112$n376 Q=I2C_HID_DESC.VAL[4]
.attr src "descriptors.v:11|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$32112$n1511 E=$abc$32112$n376 Q=I2C_HID_DESC.VAL[5]
.attr src "descriptors.v:11|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n1665 E=$abc$32112$n376 Q=I2C_HID_DESC.VAL[6] R=$abc$32112$n19
.attr src "descriptors.v:11|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$32112$n1514 E=$abc$32112$n376 Q=I2C_HID_DESC.VAL[7]
.attr src "descriptors.v:11|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFSR C=CLK D=I2C.wr Q=I2C_HID_DESC.last_rd_request R=$0\KBD_FREEZE[0:0]
.attr src "descriptors.v:11|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$abc$32112$n2227 Q=I2C_INPUT_DATA[8][0]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$abc$32112$n2227 Q=I2C_INPUT_DATA[8][1]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$abc$32112$n2227 Q=I2C_INPUT_DATA[8][2]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$32112$n5 E=$abc$32112$n396 Q=LED1
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n1807 E=$abc$32112$n403 Q=I2C_TX_REPORT[0] R=$abc$32112$n992
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n1808 E=$abc$32112$n403 Q=I2C_TX_REPORT[1] R=$abc$32112$n992
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n1809 E=$abc$32112$n403 Q=I2C_TX_REPORT[2] R=$abc$32112$n992
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n1810 E=$abc$32112$n403 Q=I2C_TX_REPORT[3] R=$abc$32112$n992
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n1811 E=$abc$32112$n403 Q=I2C_TX_REPORT[4] R=$abc$32112$n992
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n1812 E=$abc$32112$n403 Q=I2C_TX_REPORT[5] R=$abc$32112$n992
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n1813 E=$abc$32112$n403 Q=I2C_TX_REPORT[6] R=$abc$32112$n992
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n1814 E=$abc$32112$n403 Q=I2C_TX_REPORT[7] R=$abc$32112$n992
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\UART_WR[0:0] E=$abc$32112$n405 Q=UART_WR R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$32112$n1695 E=$abc$32112$n409 Q=UART_TX_DATA[0] S=$abc$32112$n25
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$32112$n1696 E=$abc$32112$n409 Q=UART_TX_DATA[1] S=$abc$32112$n25
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$32112$n1697 E=$abc$32112$n409 Q=UART_TX_DATA[2] S=$abc$32112$n25
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$32112$n1699 E=$abc$32112$n409 Q=UART_TX_DATA[3] S=$abc$32112$n25
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$32112$n1700 E=$abc$32112$n409 Q=UART_TX_DATA[4] S=$abc$32112$n25
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$32112$n1702 E=$abc$32112$n409 Q=UART_TX_DATA[5] S=$abc$32112$n25
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$32112$n1704 E=$abc$32112$n409 Q=UART_TX_DATA[6] S=$abc$32112$n25
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$32112$n1705 E=$abc$32112$n409 Q=UART_TX_DATA[7] S=$abc$32112$n25
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.FLT_SCL.RESET E=$abc$32112$n419 Q=$abc$32112$n10
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][0] E=$abc$32112$n424 Q=I2C_INPUT_LEN[0] R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][1] E=$abc$32112$n424 Q=I2C_INPUT_LEN[1] R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][2] E=$abc$32112$n424 Q=I2C_INPUT_LEN[2] R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][3] E=$abc$32112$n424 Q=I2C_INPUT_LEN[3] R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][4] E=$abc$32112$n424 Q=I2C_INPUT_LEN[4] R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][5] E=$abc$32112$n424 Q=I2C_INPUT_LEN[5] R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][6] E=$abc$32112$n424 Q=I2C_INPUT_LEN[6] R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][7] E=$abc$32112$n424 Q=I2C_INPUT_LEN[7] R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][0] E=$abc$32112$n435 Q=I2C_OUTPUT_TYPE[0] R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][1] E=$abc$32112$n435 Q=I2C_OUTPUT_TYPE[1] R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][2] E=$abc$32112$n435 Q=I2C_OUTPUT_TYPE[2] R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$32112$n435 Q=I2C_OUT_DESC_MASK[0] R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$32112$n435 Q=I2C_OUT_DESC_MASK[1] R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$32112$n435 Q=I2C_OUT_DESC_MASK[2] R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$32112$n435 Q=I2C_OUT_DESC_MASK[3] R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$32112$n435 Q=I2C_OUT_DESC_MASK[4] R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$32112$n435 Q=I2C_OUT_DESC_MASK[5] R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$32112$n435 Q=I2C_OUT_DESC_MASK[6] R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$abc$32112$n435 Q=I2C_OUT_DESC_MASK[7] R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n1723 E=$abc$32112$n501 Q=LED2 R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n1726 E=$abc$32112$n501 Q=LED3 R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n1729 E=$abc$32112$n501 Q=LED4 R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.wr E=I2C.FLT_SCL.RESET Q=last_wr
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C_TRANS E=I2C.FLT_SCL.RESET Q=last_trans
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=UART.tx_activity E=I2C.FLT_SCL.RESET Q=last_uart_active
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=KEYBOARD.isr E=I2C.FLT_SCL.RESET Q=last_isr
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\uart_double_ff[0:0] E=$abc$32112$n505 Q=uart_double_ff
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFF C=CLK D=$0\rststate[3:0][0] Q=rststate[0]
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$0\rststate[3:0][1] Q=rststate[1]
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$0\rststate[3:0][2] Q=rststate[2]
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$0\rststate[3:0][3] Q=rststate[3]
.attr src "top.v:46|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFFN C=CLK D=SCL Q=I2C.SCLF
.attr src "i2c_slave.v:47|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1"
.gate SB_DFFN C=CLK D=I2C.SDA_IN Q=I2C.SDAF
.attr src "i2c_slave.v:47|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1"
.gate SB_DFF C=CLK D=$abc$32112$n1865 Q=I2C.wr
.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$abc$32112$n1863 Q=I2C.is_ack
.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$abc$32112$n1847 Q=I2C.byte_counter[0]
.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$abc$32112$n1849 Q=I2C.byte_counter[1]
.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$abc$32112$n1851 Q=I2C.byte_counter[2]
.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$abc$32112$n1853 Q=I2C.byte_counter[3]
.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$abc$32112$n1855 Q=I2C.byte_counter[4]
.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$abc$32112$n1857 Q=I2C.byte_counter[5]
.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$abc$32112$n1859 Q=I2C.byte_counter[6]
.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$abc$32112$n1861 Q=I2C.byte_counter[7]
.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$32112$n518 Q=I2C.received_byte[0]
.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$32112$n525 Q=I2C.received_byte[1]
.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$32112$n532 Q=I2C.received_byte[2]
.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$32112$n539 Q=I2C.received_byte[3]
.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$32112$n546 Q=I2C.received_byte[4]
.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$32112$n555 Q=I2C.received_byte[5]
.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$32112$n562 Q=I2C.received_byte[6]
.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$abc$32112$n577 Q=I2C.received_byte[7]
.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFF C=CLK D=$abc$32112$n1839 Q=I2C.i2c_bit_counter[0]
.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$abc$32112$n1841 Q=I2C.i2c_bit_counter[1]
.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$abc$32112$n1843 Q=I2C.i2c_bit_counter[2]
.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$abc$32112$n1845 Q=I2C.i2c_bit_counter[3]
.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$abc$32112$n1837 Q=I2C.is_read
.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFFE C=CLK D=$abc$32112$n1817 E=$abc$32112$n580 Q=I2C.i2c_start_latency
.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFF C=CLK D=$abc$32112$n7 Q=$abc$32112$n16
.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$abc$32112$n1 Q=$abc$32112$n8
.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$abc$32112$n1833 Q=I2C.SDA_DIR
.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFFE C=CLK D=$abc$32112$n2000 E=I2C.FLT_SCL.RESET Q=UART.tx_activity
.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$32112$n3 E=$abc$32112$n595 Q=$abc$32112$n12
.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n1993 E=$abc$32112$n592 Q=UART.tx_clk_counter[0] R=$0\KBD_FREEZE[0:0]
.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n1995 E=$abc$32112$n592 Q=UART.tx_clk_counter[1] R=$0\KBD_FREEZE[0:0]
.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n1997 E=$abc$32112$n592 Q=UART.tx_clk_counter[2] R=$0\KBD_FREEZE[0:0]
.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n1999 E=$abc$32112$n592 Q=UART.tx_clk_counter[3] R=$0\KBD_FREEZE[0:0]
.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$32112$n2107 E=$abc$32112$n595 Q=UART.tx_bit_counter[0] S=$abc$32112$n21
.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2105 E=$abc$32112$n595 Q=UART.tx_bit_counter[1] R=$abc$32112$n21
.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2141 E=$abc$32112$n595 Q=UART.tx_bit_counter[2] R=$abc$32112$n21
.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$abc$32112$n2143 E=$abc$32112$n595 Q=UART.tx_bit_counter[3] S=$abc$32112$n21
.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=UART_WR E=I2C.FLT_SCL.RESET Q=UART.TX_sig_last
.attr src "uart.v:23|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$abc$32112$n2231 Q=I2C_INPUT_DATA[5][0]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$abc$32112$n2231 Q=I2C_INPUT_DATA[5][1]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$abc$32112$n2231 Q=I2C_INPUT_DATA[5][2]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[3] E=$abc$32112$n2231 Q=I2C_INPUT_DATA[5][3]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[4] E=$abc$32112$n2231 Q=I2C_INPUT_DATA[5][4]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[5] E=$abc$32112$n2231 Q=I2C_INPUT_DATA[5][5]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[6] E=$abc$32112$n2231 Q=I2C_INPUT_DATA[5][6]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[7] E=$abc$32112$n2231 Q=I2C_INPUT_DATA[5][7]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$abc$32112$n2237 Q=I2C_INPUT_DATA[3][0]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$abc$32112$n2237 Q=I2C_INPUT_DATA[3][1]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$abc$32112$n2237 Q=I2C_INPUT_DATA[3][2]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[3] E=$abc$32112$n2237 Q=I2C_INPUT_DATA[3][3]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[4] E=$abc$32112$n2237 Q=I2C_INPUT_DATA[3][4]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[5] E=$abc$32112$n2237 Q=I2C_INPUT_DATA[3][5]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[6] E=$abc$32112$n2237 Q=I2C_INPUT_DATA[3][6]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[7] E=$abc$32112$n2237 Q=I2C_INPUT_DATA[3][7]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$abc$32112$n2244 Q=I2C_INPUT_DATA[1][0]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$abc$32112$n2244 Q=I2C_INPUT_DATA[1][1]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$abc$32112$n2244 Q=I2C_INPUT_DATA[1][2]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[3] E=$abc$32112$n2244 Q=I2C_INPUT_DATA[1][3]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[4] E=$abc$32112$n2244 Q=I2C_INPUT_DATA[1][4]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[5] E=$abc$32112$n2244 Q=I2C_INPUT_DATA[1][5]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[6] E=$abc$32112$n2244 Q=I2C_INPUT_DATA[1][6]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[7] E=$abc$32112$n2244 Q=I2C_INPUT_DATA[1][7]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$abc$32112$n2241 Q=I2C_INPUT_DATA[2][0]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$abc$32112$n2241 Q=I2C_INPUT_DATA[2][1]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$abc$32112$n2241 Q=I2C_INPUT_DATA[2][2]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[3] E=$abc$32112$n2241 Q=I2C_INPUT_DATA[2][3]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[4] E=$abc$32112$n2241 Q=I2C_INPUT_DATA[2][4]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[5] E=$abc$32112$n2241 Q=I2C_INPUT_DATA[2][5]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[6] E=$abc$32112$n2241 Q=I2C_INPUT_DATA[2][6]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[7] E=$abc$32112$n2241 Q=I2C_INPUT_DATA[2][7]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$32112$n671 E=$abc$32112$n839 Q=KEYBOARD.is_pressed
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFN C=CLK D=KBD_COLUMNS[0] Q=KEYBOARD.COLS_SHADOW[0]
.attr src "matrix_kbd.v:28|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1"
.gate SB_DFFN C=CLK D=KBD_COLUMNS[1] Q=KEYBOARD.COLS_SHADOW[1]
.attr src "matrix_kbd.v:28|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1"
.gate SB_DFFN C=CLK D=KBD_COLUMNS[2] Q=KEYBOARD.COLS_SHADOW[2]
.attr src "matrix_kbd.v:28|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1"
.gate SB_DFFN C=CLK D=KBD_COLUMNS[3] Q=KEYBOARD.COLS_SHADOW[3]
.attr src "matrix_kbd.v:28|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:1"
.gate SB_DFFESR C=CLK D=KEYBOARD.row_counter[0] E=$abc$32112$n601 Q=KEYBOARD.ROWS_EN[0] R=$abc$32112$n22
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2003 E=$abc$32112$n601 Q=KEYBOARD.ROWS_EN[1] R=$abc$32112$n22
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.row_counter[0] E=$abc$32112$n601 Q=KEYBOARD.ROWS_EN[2] R=$abc$32112$n23
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2003 E=$abc$32112$n601 Q=KEYBOARD.ROWS_EN[3] R=$abc$32112$n23
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$32112$n74 E=$abc$32112$n839 Q=KEYBOARD.isr
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2065 E=$abc$32112$n605 Q=KEYBOARD.report[0] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2068 E=$abc$32112$n605 Q=KEYBOARD.report[1] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2071 E=$abc$32112$n605 Q=KEYBOARD.report[2] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2074 E=$abc$32112$n605 Q=KEYBOARD.report[3] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2077 E=$abc$32112$n605 Q=KEYBOARD.report[4] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2080 E=$abc$32112$n605 Q=KEYBOARD.report[5] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2083 E=$abc$32112$n605 Q=KEYBOARD.report[6] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2086 E=$abc$32112$n605 Q=KEYBOARD.report[7] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$false E=$abc$32112$n630 Q=KEYBOARD.report[8]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$false E=$abc$32112$n630 Q=KEYBOARD.report[9]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$false E=$abc$32112$n630 Q=KEYBOARD.report[10]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$false E=$abc$32112$n630 Q=KEYBOARD.report[11]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$false E=$abc$32112$n630 Q=KEYBOARD.report[12]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$false E=$abc$32112$n630 Q=KEYBOARD.report[13]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$false E=$abc$32112$n630 Q=KEYBOARD.report[14]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$false E=$abc$32112$n630 Q=KEYBOARD.report[15]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2087 E=$abc$32112$n614 Q=KEYBOARD.report[16] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2088 E=$abc$32112$n614 Q=KEYBOARD.report[17] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2089 E=$abc$32112$n614 Q=KEYBOARD.report[18] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2090 E=$abc$32112$n614 Q=KEYBOARD.report[19] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2091 E=$abc$32112$n614 Q=KEYBOARD.report[20] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2092 E=$abc$32112$n614 Q=KEYBOARD.report[21] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2093 E=$abc$32112$n614 Q=KEYBOARD.report[22] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2094 E=$abc$32112$n614 Q=KEYBOARD.report[23] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2087 E=$abc$32112$n631 Q=KEYBOARD.report[24] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2088 E=$abc$32112$n631 Q=KEYBOARD.report[25] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2089 E=$abc$32112$n631 Q=KEYBOARD.report[26] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2090 E=$abc$32112$n631 Q=KEYBOARD.report[27] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2091 E=$abc$32112$n631 Q=KEYBOARD.report[28] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2092 E=$abc$32112$n631 Q=KEYBOARD.report[29] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2093 E=$abc$32112$n631 Q=KEYBOARD.report[30] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2094 E=$abc$32112$n631 Q=KEYBOARD.report[31] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2087 E=$abc$32112$n651 Q=KEYBOARD.report[32] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2088 E=$abc$32112$n651 Q=KEYBOARD.report[33] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2089 E=$abc$32112$n651 Q=KEYBOARD.report[34] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2090 E=$abc$32112$n651 Q=KEYBOARD.report[35] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2091 E=$abc$32112$n651 Q=KEYBOARD.report[36] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2092 E=$abc$32112$n651 Q=KEYBOARD.report[37] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2093 E=$abc$32112$n651 Q=KEYBOARD.report[38] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2094 E=$abc$32112$n651 Q=KEYBOARD.report[39] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2087 E=$abc$32112$n678 Q=KEYBOARD.report[40] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2088 E=$abc$32112$n678 Q=KEYBOARD.report[41] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2089 E=$abc$32112$n678 Q=KEYBOARD.report[42] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2090 E=$abc$32112$n678 Q=KEYBOARD.report[43] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2091 E=$abc$32112$n678 Q=KEYBOARD.report[44] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2092 E=$abc$32112$n678 Q=KEYBOARD.report[45] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2093 E=$abc$32112$n678 Q=KEYBOARD.report[46] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2094 E=$abc$32112$n678 Q=KEYBOARD.report[47] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2087 E=$abc$32112$n693 Q=KEYBOARD.report[48] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2088 E=$abc$32112$n693 Q=KEYBOARD.report[49] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2089 E=$abc$32112$n693 Q=KEYBOARD.report[50] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2090 E=$abc$32112$n693 Q=KEYBOARD.report[51] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2091 E=$abc$32112$n693 Q=KEYBOARD.report[52] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2092 E=$abc$32112$n693 Q=KEYBOARD.report[53] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2093 E=$abc$32112$n693 Q=KEYBOARD.report[54] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2094 E=$abc$32112$n693 Q=KEYBOARD.report[55] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2087 E=$abc$32112$n720 Q=KEYBOARD.report[56] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2088 E=$abc$32112$n720 Q=KEYBOARD.report[57] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2089 E=$abc$32112$n720 Q=KEYBOARD.report[58] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2090 E=$abc$32112$n720 Q=KEYBOARD.report[59] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2091 E=$abc$32112$n720 Q=KEYBOARD.report[60] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2092 E=$abc$32112$n720 Q=KEYBOARD.report[61] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2093 E=$abc$32112$n720 Q=KEYBOARD.report[62] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2094 E=$abc$32112$n720 Q=KEYBOARD.report[63] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[0] E=$abc$32112$n732 Q=KEYBOARD.last_data[0] S=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[1] E=$abc$32112$n743 Q=KEYBOARD.last_data[1] S=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[2] E=$abc$32112$n749 Q=KEYBOARD.last_data[2] S=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[3] E=$abc$32112$n765 Q=KEYBOARD.last_data[3] S=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[0] E=$abc$32112$n770 Q=KEYBOARD.last_data[4] S=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[1] E=$abc$32112$n779 Q=KEYBOARD.last_data[5] S=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[2] E=$abc$32112$n785 Q=KEYBOARD.last_data[6] S=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[3] E=$abc$32112$n790 Q=KEYBOARD.last_data[7] S=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[0] E=$abc$32112$n795 Q=KEYBOARD.last_data[8] S=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[1] E=$abc$32112$n800 Q=KEYBOARD.last_data[9] S=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[2] E=$abc$32112$n806 Q=KEYBOARD.last_data[10] S=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[3] E=$abc$32112$n811 Q=KEYBOARD.last_data[11] S=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[0] E=$abc$32112$n816 Q=KEYBOARD.last_data[12] S=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[1] E=$abc$32112$n822 Q=KEYBOARD.last_data[13] S=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[2] E=$abc$32112$n827 Q=KEYBOARD.last_data[14] S=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[3] E=$abc$32112$n834 Q=KEYBOARD.last_data[15] S=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$32112$n1174 E=$abc$32112$n839 Q=KEYBOARD.row_counter[0]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$abc$32112$n1175 E=$abc$32112$n839 Q=KEYBOARD.row_counter[1]
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[0] E=$abc$32112$n839 Q=KEYBOARD.row_time[0] R=$abc$32112$n29
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$abc$32112$n2100 E=$abc$32112$n838 Q=KEYBOARD.row_time[1] R=$abc$32112$n29
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[2] E=$abc$32112$n839 Q=KEYBOARD.row_time[2] R=$abc$32112$n29
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[3] E=$abc$32112$n839 Q=KEYBOARD.row_time[3] R=$abc$32112$n29
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[4] E=$abc$32112$n839 Q=KEYBOARD.row_time[4] R=$abc$32112$n29
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[5] E=$abc$32112$n839 Q=KEYBOARD.row_time[5] R=$abc$32112$n29
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[6] E=$abc$32112$n839 Q=KEYBOARD.row_time[6] R=$abc$32112$n29
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[7] E=$abc$32112$n839 Q=KEYBOARD.row_time[7] R=$abc$32112$n29
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[8] E=$abc$32112$n839 Q=KEYBOARD.row_time[8] R=$abc$32112$n29
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[9] E=$abc$32112$n839 Q=KEYBOARD.row_time[9] R=$abc$32112$n29
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[10] E=$abc$32112$n839 Q=KEYBOARD.row_time[10] R=$abc$32112$n29
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[11] E=$abc$32112$n839 Q=KEYBOARD.row_time[11] R=$abc$32112$n29
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[12] E=$abc$32112$n839 Q=KEYBOARD.row_time[12] R=$abc$32112$n29
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[13] E=$abc$32112$n839 Q=KEYBOARD.row_time[13] R=$abc$32112$n29
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[14] E=$abc$32112$n839 Q=KEYBOARD.row_time[14] R=$abc$32112$n29
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$auto$wreduce.cc:347:run$4460[15] E=$abc$32112$n839 Q=KEYBOARD.row_time[15] R=$abc$32112$n29
.attr src "matrix_kbd.v:32|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$abc$32112$n2246 Q=I2C_INPUT_DATA[0][0]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$abc$32112$n2246 Q=I2C_INPUT_DATA[0][1]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$abc$32112$n2246 Q=I2C_INPUT_DATA[0][2]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[3] E=$abc$32112$n2246 Q=I2C_INPUT_DATA[0][3]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[4] E=$abc$32112$n2246 Q=I2C_INPUT_DATA[0][4]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[5] E=$abc$32112$n2246 Q=I2C_INPUT_DATA[0][5]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[6] E=$abc$32112$n2246 Q=I2C_INPUT_DATA[0][6]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[7] E=$abc$32112$n2246 Q=I2C_INPUT_DATA[0][7]
.attr src "/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFES C=CLK D=I2C.SDAF E=$abc$32112$n848 Q=I2C.FLT_SDA.out S=$0\KBD_FREEZE[0:0]
.attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:23"
.gate SB_DFFER C=CLK D=$abc$32112$n1516 E=$abc$32112$n849 Q=I2C.FLT_SDA.counter[0] R=$0\KBD_FREEZE[0:0]
.attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22"
.gate SB_DFFER C=CLK D=$abc$32112$n1517 E=$abc$32112$n849 Q=I2C.FLT_SDA.counter[1] R=$0\KBD_FREEZE[0:0]
.attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22"
.gate SB_DFFER C=CLK D=$abc$32112$n1518 E=$abc$32112$n849 Q=I2C.FLT_SDA.counter[2] R=$0\KBD_FREEZE[0:0]
.attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22"
.gate SB_DFFES C=CLK D=I2C.SCLF E=$abc$32112$n858 Q=I2C.FLT_SCL.out S=$0\KBD_FREEZE[0:0]
.attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:23"
.gate SB_DFFER C=CLK D=$abc$32112$n1519 E=$abc$32112$n859 Q=I2C.FLT_SCL.counter[0] R=$0\KBD_FREEZE[0:0]
.attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22"
.gate SB_DFFER C=CLK D=$abc$32112$n1520 E=$abc$32112$n859 Q=I2C.FLT_SCL.counter[1] R=$0\KBD_FREEZE[0:0]
.attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22"
.gate SB_DFFER C=CLK D=$abc$32112$n1521 E=$abc$32112$n859 Q=I2C.FLT_SCL.counter[2] R=$0\KBD_FREEZE[0:0]
.attr src "simple_filter.v:7|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:22"
.gate SB_DFFR C=CLK D=$abc$32112$n1835 Q=I2C.i2c_state_machine R=$0\KBD_FREEZE[0:0]
.attr src "i2c_slave.v:52|/home/t-bird/.apio/packages/toolchain-icestorm/bin/../share/yosys/ice40/cells_map.v:12"
.gate SB_IO D_IN_0=I2C.SDA_IN D_OUT_0=$false OUTPUT_ENABLE=I2C.SDA_DIR PACKAGE_PIN=SDA
.attr src "i2c_slave.v:177"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[0] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[0] PACKAGE_PIN=KBD_ROWS[0]
.attr src "matrix_kbd.v:179"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[1] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[1] PACKAGE_PIN=KBD_ROWS[1]
.attr src "matrix_kbd.v:179"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[2] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[2] PACKAGE_PIN=KBD_ROWS[2]
.attr src "matrix_kbd.v:179"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[3] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[3] PACKAGE_PIN=KBD_ROWS[3]
.attr src "matrix_kbd.v:179"
.param PIN_TYPE 101001
.param PULLUP 0
.names I2C.is_read COM_RTS
1 1
.names CLK I2C.CLK
1 1
.names I2C.byte_counter[0] I2C.COUNTER[0]
1 1
.names I2C.byte_counter[1] I2C.COUNTER[1]
1 1
.names I2C.byte_counter[2] I2C.COUNTER[2]
1 1
.names I2C.byte_counter[3] I2C.COUNTER[3]
1 1
.names I2C.byte_counter[4] I2C.COUNTER[4]
1 1
.names I2C.byte_counter[5] I2C.COUNTER[5]
1 1
.names I2C.byte_counter[6] I2C.COUNTER[6]
1 1
.names I2C.byte_counter[7] I2C.COUNTER[7]
1 1
.names CLK I2C.FLT_SCL.CLK
1 1
.names I2C.SCLF I2C.FLT_SCL.IN
1 1
.names I2C.FLT_SCL.out I2C.FLT_SCL.OUT
1 1
.names CLK I2C.FLT_SDA.CLK
1 1
.names I2C.SDAF I2C.FLT_SDA.IN
1 1
.names I2C.FLT_SDA.out I2C.FLT_SDA.OUT
1 1
.names I2C.FLT_SCL.RESET I2C.FLT_SDA.RESET
1 1
.names I2C.is_ack I2C.IS_ACK
1 1
.names I2C.is_read I2C.IS_READ
1 1
.names I2C_TRANS I2C.IS_TRANSMISSION
1 1
.names I2C.received_byte[0] I2C.RECEIVED_BYTE[0]
1 1
.names I2C.received_byte[1] I2C.RECEIVED_BYTE[1]
1 1
.names I2C.received_byte[2] I2C.RECEIVED_BYTE[2]
1 1
.names I2C.received_byte[3] I2C.RECEIVED_BYTE[3]
1 1
.names I2C.received_byte[4] I2C.RECEIVED_BYTE[4]
1 1
.names I2C.received_byte[5] I2C.RECEIVED_BYTE[5]
1 1
.names I2C.received_byte[6] I2C.RECEIVED_BYTE[6]
1 1
.names I2C.received_byte[7] I2C.RECEIVED_BYTE[7]
1 1
.names I2C.FLT_SCL.RESET I2C.RESET
1 1
.names SCL I2C.SCL
1 1
.names I2C.FLT_SCL.out I2C.SCLD
1 1
.names SDA I2C.SDA
1 1
.names I2C.FLT_SDA.out I2C.SDAD
1 1
.names I2C.wr I2C.WR
1 1
.names I2C.is_ack I2C_ACK
1 1
.names I2C.byte_counter[0] I2C_COUNTER[0]
1 1
.names I2C.byte_counter[1] I2C_COUNTER[1]
1 1
.names I2C.byte_counter[2] I2C_COUNTER[2]
1 1
.names I2C.byte_counter[3] I2C_COUNTER[3]
1 1
.names I2C.byte_counter[4] I2C_COUNTER[4]
1 1
.names I2C.byte_counter[5] I2C_COUNTER[5]
1 1
.names I2C.byte_counter[6] I2C_COUNTER[6]
1 1
.names I2C.byte_counter[7] I2C_COUNTER[7]
1 1
.names $false I2C_COUNTER[8]
1 1
.names $false I2C_COUNTER[9]
1 1
.names I2C.byte_counter[0] I2C_HID_DESC.ADR[0]
1 1
.names I2C.byte_counter[1] I2C_HID_DESC.ADR[1]
1 1
.names I2C.byte_counter[2] I2C_HID_DESC.ADR[2]
1 1
.names I2C.byte_counter[3] I2C_HID_DESC.ADR[3]
1 1
.names I2C.byte_counter[4] I2C_HID_DESC.ADR[4]
1 1
.names I2C.byte_counter[5] I2C_HID_DESC.ADR[5]
1 1
.names I2C.byte_counter[6] I2C_HID_DESC.ADR[6]
1 1
.names I2C.byte_counter[7] I2C_HID_DESC.ADR[7]
1 1
.names CLK I2C_HID_DESC.CLK
1 1
.names I2C_OUTPUT_TYPE[0] I2C_HID_DESC.DESC_TYPE[0]
1 1
.names I2C_OUTPUT_TYPE[1] I2C_HID_DESC.DESC_TYPE[1]
1 1
.names I2C.wr I2C_HID_DESC.RD_REQUEST
1 1
.names I2C.FLT_SCL.RESET I2C_HID_DESC.RESET
1 1
.names $undef I2C_INPUT_DATA[8][3]
1 1
.names $undef I2C_INPUT_DATA[8][4]
1 1
.names $undef I2C_INPUT_DATA[8][5]
1 1
.names $undef I2C_INPUT_DATA[8][6]
1 1
.names $undef I2C_INPUT_DATA[8][7]
1 1
.names I2C.is_read I2C_READ
1 1
.names I2C.received_byte[0] I2C_RX[0]
1 1
.names I2C.received_byte[1] I2C_RX[1]
1 1
.names I2C.received_byte[2] I2C_RX[2]
1 1
.names I2C.received_byte[3] I2C_RX[3]
1 1
.names I2C.received_byte[4] I2C_RX[4]
1 1
.names I2C.received_byte[5] I2C_RX[5]
1 1
.names I2C.received_byte[6] I2C_RX[6]
1 1
.names I2C.received_byte[7] I2C_RX[7]
1 1
.names I2C_HID_DESC.VAL[0] I2C_TX_DESC[0]
1 1
.names I2C_HID_DESC.VAL[1] I2C_TX_DESC[1]
1 1
.names I2C_HID_DESC.VAL[2] I2C_TX_DESC[2]
1 1
.names I2C_HID_DESC.VAL[3] I2C_TX_DESC[3]
1 1
.names I2C_HID_DESC.VAL[4] I2C_TX_DESC[4]
1 1
.names I2C_HID_DESC.VAL[5] I2C_TX_DESC[5]
1 1
.names I2C_HID_DESC.VAL[6] I2C_TX_DESC[6]
1 1
.names I2C_HID_DESC.VAL[7] I2C_TX_DESC[7]
1 1
.names I2C.wr I2C_WR
1 1
.names COM_DCD INT
1 1
.names COM_DCD INTERRUPT
1 1
.names KEYBOARD.isr ISR
1 1
.names COM_DSR KBD_FREEZE
1 1
.names LED2 KBD_LED_STATUS[0]
1 1
.names LED3 KBD_LED_STATUS[1]
1 1
.names LED4 KBD_LED_STATUS[2]
1 1
.names $undef KBD_LED_STATUS[3]
1 1
.names $undef KBD_LED_STATUS[4]
1 1
.names $undef KBD_LED_STATUS[5]
1 1
.names $undef KBD_LED_STATUS[6]
1 1
.names $undef KBD_LED_STATUS[7]
1 1
.names CLK KEYBOARD.CLK
1 1
.names KBD_COLUMNS[0] KEYBOARD.COLUMNS[0]
1 1
.names KBD_COLUMNS[1] KEYBOARD.COLUMNS[1]
1 1
.names KBD_COLUMNS[2] KEYBOARD.COLUMNS[2]
1 1
.names KBD_COLUMNS[3] KEYBOARD.COLUMNS[3]
1 1
.names COM_DSR KEYBOARD.FREEZE
1 1
.names KEYBOARD.isr KEYBOARD.INT
1 1
.names I2C.FLT_SCL.RESET KEYBOARD.RESET
1 1
.names KBD_ROWS[0] KEYBOARD.ROWS[0]
1 1
.names KBD_ROWS[1] KEYBOARD.ROWS[1]
1 1
.names KBD_ROWS[2] KEYBOARD.ROWS[2]
1 1
.names KBD_ROWS[3] KEYBOARD.ROWS[3]
1 1
.names KEYBOARD.report[0] KEYBOARD.kbd_report[0]
1 1
.names KEYBOARD.report[1] KEYBOARD.kbd_report[1]
1 1
.names KEYBOARD.report[2] KEYBOARD.kbd_report[2]
1 1
.names KEYBOARD.report[3] KEYBOARD.kbd_report[3]
1 1
.names KEYBOARD.report[4] KEYBOARD.kbd_report[4]
1 1
.names KEYBOARD.report[5] KEYBOARD.kbd_report[5]
1 1
.names KEYBOARD.report[6] KEYBOARD.kbd_report[6]
1 1
.names KEYBOARD.report[7] KEYBOARD.kbd_report[7]
1 1
.names KEYBOARD.report[8] KEYBOARD.kbd_report[8]
1 1
.names KEYBOARD.report[9] KEYBOARD.kbd_report[9]
1 1
.names KEYBOARD.report[10] KEYBOARD.kbd_report[10]
1 1
.names KEYBOARD.report[11] KEYBOARD.kbd_report[11]
1 1
.names KEYBOARD.report[12] KEYBOARD.kbd_report[12]
1 1
.names KEYBOARD.report[13] KEYBOARD.kbd_report[13]
1 1
.names KEYBOARD.report[14] KEYBOARD.kbd_report[14]
1 1
.names KEYBOARD.report[15] KEYBOARD.kbd_report[15]
1 1
.names KEYBOARD.report[16] KEYBOARD.kbd_report[16]
1 1
.names KEYBOARD.report[17] KEYBOARD.kbd_report[17]
1 1
.names KEYBOARD.report[18] KEYBOARD.kbd_report[18]
1 1
.names KEYBOARD.report[19] KEYBOARD.kbd_report[19]
1 1
.names KEYBOARD.report[20] KEYBOARD.kbd_report[20]
1 1
.names KEYBOARD.report[21] KEYBOARD.kbd_report[21]
1 1
.names KEYBOARD.report[22] KEYBOARD.kbd_report[22]
1 1
.names KEYBOARD.report[23] KEYBOARD.kbd_report[23]
1 1
.names KEYBOARD.report[24] KEYBOARD.kbd_report[24]
1 1
.names KEYBOARD.report[25] KEYBOARD.kbd_report[25]
1 1
.names KEYBOARD.report[26] KEYBOARD.kbd_report[26]
1 1
.names KEYBOARD.report[27] KEYBOARD.kbd_report[27]
1 1
.names KEYBOARD.report[28] KEYBOARD.kbd_report[28]
1 1
.names KEYBOARD.report[29] KEYBOARD.kbd_report[29]
1 1
.names KEYBOARD.report[30] KEYBOARD.kbd_report[30]
1 1
.names KEYBOARD.report[31] KEYBOARD.kbd_report[31]
1 1
.names KEYBOARD.report[32] KEYBOARD.kbd_report[32]
1 1
.names KEYBOARD.report[33] KEYBOARD.kbd_report[33]
1 1
.names KEYBOARD.report[34] KEYBOARD.kbd_report[34]
1 1
.names KEYBOARD.report[35] KEYBOARD.kbd_report[35]
1 1
.names KEYBOARD.report[36] KEYBOARD.kbd_report[36]
1 1
.names KEYBOARD.report[37] KEYBOARD.kbd_report[37]
1 1
.names KEYBOARD.report[38] KEYBOARD.kbd_report[38]
1 1
.names KEYBOARD.report[39] KEYBOARD.kbd_report[39]
1 1
.names KEYBOARD.report[40] KEYBOARD.kbd_report[40]
1 1
.names KEYBOARD.report[41] KEYBOARD.kbd_report[41]
1 1
.names KEYBOARD.report[42] KEYBOARD.kbd_report[42]
1 1
.names KEYBOARD.report[43] KEYBOARD.kbd_report[43]
1 1
.names KEYBOARD.report[44] KEYBOARD.kbd_report[44]
1 1
.names KEYBOARD.report[45] KEYBOARD.kbd_report[45]
1 1
.names KEYBOARD.report[46] KEYBOARD.kbd_report[46]
1 1
.names KEYBOARD.report[47] KEYBOARD.kbd_report[47]
1 1
.names KEYBOARD.report[48] KEYBOARD.kbd_report[48]
1 1
.names KEYBOARD.report[49] KEYBOARD.kbd_report[49]
1 1
.names KEYBOARD.report[50] KEYBOARD.kbd_report[50]
1 1
.names KEYBOARD.report[51] KEYBOARD.kbd_report[51]
1 1
.names KEYBOARD.report[52] KEYBOARD.kbd_report[52]
1 1
.names KEYBOARD.report[53] KEYBOARD.kbd_report[53]
1 1
.names KEYBOARD.report[54] KEYBOARD.kbd_report[54]
1 1
.names KEYBOARD.report[55] KEYBOARD.kbd_report[55]
1 1
.names KEYBOARD.report[56] KEYBOARD.kbd_report[56]
1 1
.names KEYBOARD.report[57] KEYBOARD.kbd_report[57]
1 1
.names KEYBOARD.report[58] KEYBOARD.kbd_report[58]
1 1
.names KEYBOARD.report[59] KEYBOARD.kbd_report[59]
1 1
.names KEYBOARD.report[60] KEYBOARD.kbd_report[60]
1 1
.names KEYBOARD.report[61] KEYBOARD.kbd_report[61]
1 1
.names KEYBOARD.report[62] KEYBOARD.kbd_report[62]
1 1
.names KEYBOARD.report[63] KEYBOARD.kbd_report[63]
1 1
.names I2C_TRANS LED5
1 1
.names I2C.FLT_SCL.RESET RESET
1 1
.names CLK UART.CLK
1 1
.names I2C.FLT_SCL.RESET UART.RESET
1 1
.names UART.tx_activity UART.TX_ACTIVITY
1 1
.names UART_TX_DATA[0] UART.TX_BYTE[0]
1 1
.names UART_TX_DATA[1] UART.TX_BYTE[1]
1 1
.names UART_TX_DATA[2] UART.TX_BYTE[2]
1 1
.names UART_TX_DATA[3] UART.TX_BYTE[3]
1 1
.names UART_TX_DATA[4] UART.TX_BYTE[4]
1 1
.names UART_TX_DATA[5] UART.TX_BYTE[5]
1 1
.names UART_TX_DATA[6] UART.TX_BYTE[6]
1 1
.names UART_TX_DATA[7] UART.TX_BYTE[7]
1 1
.names COM_TX UART.TX_LINE
1 1
.names UART_WR UART.TX_SIGNAL
1 1
.names COM_TX UART.tx_line
1 1
.names UART.tx_activity UART_ACTIVE
1 1
.names COM_TX UART_TX_LINE
1 1
.names KEYBOARD.report[0] kbd_report[0]
1 1
.names KEYBOARD.report[1] kbd_report[1]
1 1
.names KEYBOARD.report[2] kbd_report[2]
1 1
.names KEYBOARD.report[3] kbd_report[3]
1 1
.names KEYBOARD.report[4] kbd_report[4]
1 1
.names KEYBOARD.report[5] kbd_report[5]
1 1
.names KEYBOARD.report[6] kbd_report[6]
1 1
.names KEYBOARD.report[7] kbd_report[7]
1 1
.names KEYBOARD.report[8] kbd_report[8]
1 1
.names KEYBOARD.report[9] kbd_report[9]
1 1
.names KEYBOARD.report[10] kbd_report[10]
1 1
.names KEYBOARD.report[11] kbd_report[11]
1 1
.names KEYBOARD.report[12] kbd_report[12]
1 1
.names KEYBOARD.report[13] kbd_report[13]
1 1
.names KEYBOARD.report[14] kbd_report[14]
1 1
.names KEYBOARD.report[15] kbd_report[15]
1 1
.names KEYBOARD.report[16] kbd_report[16]
1 1
.names KEYBOARD.report[17] kbd_report[17]
1 1
.names KEYBOARD.report[18] kbd_report[18]
1 1
.names KEYBOARD.report[19] kbd_report[19]
1 1
.names KEYBOARD.report[20] kbd_report[20]
1 1
.names KEYBOARD.report[21] kbd_report[21]
1 1
.names KEYBOARD.report[22] kbd_report[22]
1 1
.names KEYBOARD.report[23] kbd_report[23]
1 1
.names KEYBOARD.report[24] kbd_report[24]
1 1
.names KEYBOARD.report[25] kbd_report[25]
1 1
.names KEYBOARD.report[26] kbd_report[26]
1 1
.names KEYBOARD.report[27] kbd_report[27]
1 1
.names KEYBOARD.report[28] kbd_report[28]
1 1
.names KEYBOARD.report[29] kbd_report[29]
1 1
.names KEYBOARD.report[30] kbd_report[30]
1 1
.names KEYBOARD.report[31] kbd_report[31]
1 1
.names KEYBOARD.report[32] kbd_report[32]
1 1
.names KEYBOARD.report[33] kbd_report[33]
1 1
.names KEYBOARD.report[34] kbd_report[34]
1 1
.names KEYBOARD.report[35] kbd_report[35]
1 1
.names KEYBOARD.report[36] kbd_report[36]
1 1
.names KEYBOARD.report[37] kbd_report[37]
1 1
.names KEYBOARD.report[38] kbd_report[38]
1 1
.names KEYBOARD.report[39] kbd_report[39]
1 1
.names KEYBOARD.report[40] kbd_report[40]
1 1
.names KEYBOARD.report[41] kbd_report[41]
1 1
.names KEYBOARD.report[42] kbd_report[42]
1 1
.names KEYBOARD.report[43] kbd_report[43]
1 1
.names KEYBOARD.report[44] kbd_report[44]
1 1
.names KEYBOARD.report[45] kbd_report[45]
1 1
.names KEYBOARD.report[46] kbd_report[46]
1 1
.names KEYBOARD.report[47] kbd_report[47]
1 1
.names KEYBOARD.report[48] kbd_report[48]
1 1
.names KEYBOARD.report[49] kbd_report[49]
1 1
.names KEYBOARD.report[50] kbd_report[50]
1 1
.names KEYBOARD.report[51] kbd_report[51]
1 1
.names KEYBOARD.report[52] kbd_report[52]
1 1
.names KEYBOARD.report[53] kbd_report[53]
1 1
.names KEYBOARD.report[54] kbd_report[54]
1 1
.names KEYBOARD.report[55] kbd_report[55]
1 1
.names KEYBOARD.report[56] kbd_report[56]
1 1
.names KEYBOARD.report[57] kbd_report[57]
1 1
.names KEYBOARD.report[58] kbd_report[58]
1 1
.names KEYBOARD.report[59] kbd_report[59]
1 1
.names KEYBOARD.report[60] kbd_report[60]
1 1
.names KEYBOARD.report[61] kbd_report[61]
1 1
.names KEYBOARD.report[62] kbd_report[62]
1 1
.names KEYBOARD.report[63] kbd_report[63]
1 1
.end