You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

3601 lines
245 KiB

# Generated by Yosys 0.5+ (git sha1 f13e387, gcc 5.3.1-8ubuntu2 -O2 -fstack-protector-strong -fPIC -Os)
.model top
.inputs CLK SCL SDA COM_RX KBD_COLUMNS[0] KBD_COLUMNS[1] KBD_COLUMNS[2] KBD_COLUMNS[3] KBD_ROWS[0] KBD_ROWS[1] KBD_ROWS[2] KBD_ROWS[3]
.outputs LED1 LED2 LED3 LED4 LED5 SDA INTERRUPT COM_TX COM_DCD COM_DSR COM_RTS KBD_ROWS[0] KBD_ROWS[1] KBD_ROWS[2] KBD_ROWS[3]
.names $false
.names $true
1
.names $undef
.gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$false I3=$false O=$auto$rtlil.cc:1692:NotGate$60252
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1011
.gate SB_LUT4 I0=$abc$60421$n532 I1=$abc$60421$n533 I2=$abc$60421$n534_1 I3=$abc$60421$n535 O=$auto$rtlil.cc:1692:NotGate$60414
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=KEYBOARD.row_time[13] I1=KEYBOARD.row_time[4] I2=KEYBOARD.row_time[8] I3=KEYBOARD.row_time[12] O=$abc$60421$n532
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000010
.gate SB_LUT4 I0=KEYBOARD.row_time[7] I1=KEYBOARD.row_time[11] I2=KEYBOARD.row_time[5] I3=KEYBOARD.row_time[6] O=$abc$60421$n533
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[3] I2=KEYBOARD.row_time[1] I3=KEYBOARD.row_time[2] O=$abc$60421$n534_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=KEYBOARD.row_time[14] I1=KEYBOARD.row_time[15] I2=KEYBOARD.row_time[9] I3=KEYBOARD.row_time[10] O=$abc$60421$n535
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[0] I1=I2C_OUTPUT_TYPE[1] I2=$false I3=$false O=$auto$rtlil.cc:1692:NotGate$60416
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0010
.gate SB_LUT4 I0=rststate[3] I1=rststate[2] I2=rststate[1] I3=rststate[0] O=RESET
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=KEYBOARD.row_counter[1] I2=$false I3=$false O=$techmap$auto$alumacc.cc:470:replace_alu$22900.$xor$/usr/bin/../share/yosys/techmap.v:263$28021_Y[1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0110
.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[2] I1=I2C_OUTPUT_TYPE[0] I2=I2C_OUTPUT_TYPE[1] I3=$false O=$auto$simplemap.cc:256:simplemap_eqne$23468
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10111111
.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$60414 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=$false O=$auto$simplemap.cc:309:simplemap_lut$44816
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01111000
.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$60414 I1=KEYBOARD.row_counter[0] I2=$false I3=$false O=$auto$simplemap.cc:250:simplemap_eqne$33874[2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0110
.gate SB_LUT4 I0=$abc$60421$n543_1 I1=$abc$60421$n560 I2=$false I3=$false O=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[7]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1110
.gate SB_LUT4 I0=$abc$60421$n544_1 I1=$auto$simplemap.cc:309:simplemap_lut$44816 I2=$auto$simplemap.cc:250:simplemap_eqne$33874[2] I3=$abc$60421$n555_1 O=$abc$60421$n543_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$abc$60421$n545 I1=$abc$60421$n550 I2=$auto$simplemap.cc:250:simplemap_eqne$33831[0] I3=KEYBOARD.COLS_SHADOW[1] O=$abc$60421$n544_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0101001110101100
.gate SB_LUT4 I0=$abc$60421$n548_1 I1=$abc$60421$n547 I2=$abc$60421$n546 I3=$abc$60421$n549 O=$abc$60421$n545
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000001101
.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$60414 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=KEYBOARD.last_data[1] O=$abc$60421$n546
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000100000000
.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$60414 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=KEYBOARD.last_data[5] O=$abc$60421$n547
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000010000111
.gate SB_LUT4 I0=KEYBOARD.row_counter[1] I1=KEYBOARD.last_data[13] I2=$auto$rtlil.cc:1692:NotGate$60414 I3=KEYBOARD.row_counter[0] O=$abc$60421$n548_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000110111010000
.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$60414 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=KEYBOARD.last_data[9] O=$abc$60421$n549
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001100000000000
.gate SB_LUT4 I0=$abc$60421$n552_1 I1=$abc$60421$n551 I2=$abc$60421$n553 I3=$abc$60421$n554 O=$abc$60421$n550
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000001101
.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$60414 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=KEYBOARD.last_data[4] O=$abc$60421$n551
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000010000111
.gate SB_LUT4 I0=KEYBOARD.row_counter[1] I1=KEYBOARD.last_data[12] I2=$auto$rtlil.cc:1692:NotGate$60414 I3=KEYBOARD.row_counter[0] O=$abc$60421$n552_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000110111010000
.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$60414 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=KEYBOARD.last_data[8] O=$abc$60421$n553
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001100000000000
.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$60414 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=KEYBOARD.last_data[0] O=$abc$60421$n554
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000100000000
.gate SB_LUT4 I0=$abc$60421$n556_1 I1=$abc$60421$n558 I2=$abc$60421$n559 I3=$false O=$abc$60421$n555_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$60421$n535 I1=$abc$60421$n557 I2=$false I3=$false O=$abc$60421$n556_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=KEYBOARD.row_time[11] I1=KEYBOARD.row_time[13] I2=KEYBOARD.row_time[8] I3=KEYBOARD.row_time[12] O=$abc$60421$n557
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=KEYBOARD.row_time[7] I1=KEYBOARD.row_time[4] I2=KEYBOARD.row_time[5] I3=KEYBOARD.row_time[6] O=$abc$60421$n558
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[3] I2=KEYBOARD.row_time[1] I3=KEYBOARD.row_time[2] O=$abc$60421$n559
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000010000
.gate SB_LUT4 I0=$abc$60421$n561 I1=$abc$60421$n572_1 I2=$abc$60421$n574 I3=KEYBOARD.COLS_SHADOW[3] O=$abc$60421$n560
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100000010000000
.gate SB_LUT4 I0=$abc$60421$n567_1 I1=$abc$60421$n562 I2=$auto$simplemap.cc:127:simplemap_reduce$33860[0] I3=$false O=$abc$60421$n561
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=$abc$60421$n564 I1=$abc$60421$n563 I2=$abc$60421$n565 I3=$abc$60421$n566 O=$abc$60421$n562
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000001101
.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$60414 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=KEYBOARD.last_data[15] O=$abc$60421$n563
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000001111000
.gate SB_LUT4 I0=KEYBOARD.row_counter[1] I1=KEYBOARD.last_data[7] I2=$auto$rtlil.cc:1692:NotGate$60414 I3=KEYBOARD.row_counter[0] O=$abc$60421$n564
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000111011100000
.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$60414 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=KEYBOARD.last_data[11] O=$abc$60421$n565
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001100000000000
.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$60414 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=KEYBOARD.last_data[3] O=$abc$60421$n566
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000100000000
.gate SB_LUT4 I0=$abc$60421$n569 I1=$abc$60421$n568 I2=$abc$60421$n570 I3=$abc$60421$n571 O=$abc$60421$n567_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000001101
.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$60414 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=KEYBOARD.last_data[14] O=$abc$60421$n568
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000001111000
.gate SB_LUT4 I0=KEYBOARD.row_counter[1] I1=KEYBOARD.last_data[6] I2=$auto$rtlil.cc:1692:NotGate$60414 I3=KEYBOARD.row_counter[0] O=$abc$60421$n569
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000111011100000
.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$60414 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=KEYBOARD.last_data[10] O=$abc$60421$n570
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001100000000000
.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$60414 I1=KEYBOARD.row_counter[0] I2=KEYBOARD.row_counter[1] I3=KEYBOARD.last_data[2] O=$abc$60421$n571
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000100000000
.gate SB_LUT4 I0=$abc$60421$n573 I1=$abc$60421$n555_1 I2=$false I3=$false O=$abc$60421$n572_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$33874[2] I1=KEYBOARD.row_counter[1] I2=$false I3=$false O=$abc$60421$n573
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$60421$n575 I1=$abc$60421$n558 I2=KEYBOARD.row_time[0] I3=KEYBOARD.row_time[1] O=$abc$60421$n574
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000100000000000
.gate SB_LUT4 I0=$abc$60421$n556_1 I1=KEYBOARD.row_time[3] I2=KEYBOARD.row_time[2] I3=$false O=$abc$60421$n575
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00100000
.gate SB_LUT4 I0=$abc$60421$n583 I1=$abc$60421$n578 I2=$abc$60421$n577 I3=$abc$60421$n560 O=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[6]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111111111110010
.gate SB_LUT4 I0=$abc$60421$n544_1 I1=$auto$simplemap.cc:309:simplemap_lut$44816 I2=$abc$60421$n555_1 I3=$false O=$abc$60421$n577
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$60421$n580 I1=$abc$60421$n582 I2=$abc$60421$n581 I3=$abc$60421$n579 O=$abc$60421$n578
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1011101100001011
.gate SB_LUT4 I0=$abc$60421$n562 I1=$abc$60421$n567_1 I2=$auto$simplemap.cc:127:simplemap_reduce$33820[0] I3=KEYBOARD.COLS_SHADOW[2] O=$abc$60421$n579
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0101001110101100
.gate SB_LUT4 I0=$abc$60421$n550 I1=KEYBOARD.COLS_SHADOW[0] I2=$false I3=$false O=$abc$60421$n580
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0110
.gate SB_LUT4 I0=$abc$60421$n575 I1=$abc$60421$n558 I2=KEYBOARD.row_time[0] I3=KEYBOARD.row_time[1] O=$abc$60421$n581
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000001000
.gate SB_LUT4 I0=$abc$60421$n556_1 I1=$abc$60421$n534_1 I2=$abc$60421$n558 I3=$false O=$abc$60421$n582
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$33874[2] I1=KEYBOARD.row_counter[1] I2=$false I3=$false O=$abc$60421$n583
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0010
.gate SB_LUT4 I0=$abc$60421$n590 I1=$abc$60421$n585 I2=$abc$60421$n589 I3=$abc$60421$n588_1 O=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[4]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111111111110100
.gate SB_LUT4 I0=$abc$60421$n544_1 I1=$abc$60421$n586 I2=$false I3=$false O=$abc$60421$n585
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$60421$n587_1 I1=$abc$60421$n555_1 I2=$false I3=$false O=$abc$60421$n586
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$auto$simplemap.cc:309:simplemap_lut$44816 I1=$auto$simplemap.cc:250:simplemap_eqne$33874[2] I2=$false I3=$false O=$abc$60421$n587_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1001
.gate SB_LUT4 I0=$abc$60421$n579 I1=$abc$60421$n573 I2=$abc$60421$n581 I3=$false O=$abc$60421$n588_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$60421$n590 I1=$abc$60421$n550 I2=$abc$60421$n582 I3=KEYBOARD.COLS_SHADOW[0] O=$abc$60421$n589
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100000000010000
.gate SB_LUT4 I0=$auto$simplemap.cc:309:simplemap_lut$44816 I1=$auto$simplemap.cc:250:simplemap_eqne$33874[2] I2=$false I3=$false O=$abc$60421$n590
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$60421$n592 I1=$abc$60421$n595 I2=$abc$60421$n596 I3=$false O=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[3]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11111101
.gate SB_LUT4 I0=$abc$60421$n593 I1=$abc$60421$n579 I2=$abc$60421$n594 I3=$abc$60421$n581 O=$abc$60421$n592
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0101010001010101
.gate SB_LUT4 I0=$abc$60421$n550 I1=$abc$60421$n583 I2=$abc$60421$n582 I3=KEYBOARD.COLS_SHADOW[0] O=$abc$60421$n593
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0010000000010000
.gate SB_LUT4 I0=$auto$simplemap.cc:309:simplemap_lut$44816 I1=$auto$simplemap.cc:250:simplemap_eqne$33874[2] I2=$false I3=$false O=$abc$60421$n594
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0010
.gate SB_LUT4 I0=$abc$60421$n544_1 I1=$auto$simplemap.cc:250:simplemap_eqne$33874[2] I2=$abc$60421$n555_1 I3=$false O=$abc$60421$n595
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$60421$n561 I1=$abc$60421$n597 I2=$abc$60421$n574 I3=KEYBOARD.COLS_SHADOW[3] O=$abc$60421$n596
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100000010000000
.gate SB_LUT4 I0=$abc$60421$n573 I1=$abc$60421$n555_1 I2=$false I3=$false O=$abc$60421$n597
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0010
.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$33874[2] I1=$abc$60421$n599 I2=$abc$60421$n601 I3=$abc$60421$n600 O=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111111111110010
.gate SB_LUT4 I0=$abc$60421$n561 I1=$abc$60421$n574 I2=KEYBOARD.COLS_SHADOW[3] I3=$false O=$abc$60421$n599
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10110111
.gate SB_LUT4 I0=$abc$60421$n579 I1=$abc$60421$n581 I2=$auto$simplemap.cc:309:simplemap_lut$44816 I3=$auto$simplemap.cc:250:simplemap_eqne$33874[2] O=$abc$60421$n600
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000001000000
.gate SB_LUT4 I0=$abc$60421$n544_1 I1=$abc$60421$n587_1 I2=$abc$60421$n555_1 I3=$false O=$abc$60421$n601
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$60421$n587_1 I1=$abc$60421$n599 I2=$abc$60421$n604 I3=$abc$60421$n603 O=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111000111111111
.gate SB_LUT4 I0=$abc$60421$n589 I1=$abc$60421$n544_1 I2=$abc$60421$n594 I3=$abc$60421$n555_1 O=$abc$60421$n603
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100010101010101
.gate SB_LUT4 I0=$abc$60421$n579 I1=$abc$60421$n573 I2=$abc$60421$n581 I3=$false O=$abc$60421$n604
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$60421$n606 I1=$abc$60421$n607 I2=$abc$60421$n608 I3=$false O=$auto$alumacc.cc:470:replace_alu$22821.C[1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11101111
.gate SB_LUT4 I0=$abc$60421$n579 I1=$abc$60421$n581 I2=$auto$simplemap.cc:250:simplemap_eqne$33874[2] I3=$false O=$abc$60421$n606
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000100
.gate SB_LUT4 I0=$abc$60421$n561 I1=$abc$60421$n574 I2=$abc$60421$n583 I3=KEYBOARD.COLS_SHADOW[3] O=$abc$60421$n607
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100000010000000
.gate SB_LUT4 I0=$abc$60421$n580 I1=$abc$60421$n609 I2=$abc$60421$n586 I3=$abc$60421$n544_1 O=$abc$60421$n608
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1011101100001011
.gate SB_LUT4 I0=$abc$60421$n594 I1=$abc$60421$n582 I2=$false I3=$false O=$abc$60421$n609
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$60421$n543_1 I1=$abc$60421$n611 I2=$abc$60421$n612 I3=$false O=$auto$alumacc.cc:470:replace_alu$22821.AA[5]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11101111
.gate SB_LUT4 I0=$abc$60421$n579 I1=$abc$60421$n587_1 I2=$abc$60421$n581 I3=$false O=$abc$60421$n611
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$60421$n574 I1=$abc$60421$n561 I2=KEYBOARD.COLS_SHADOW[3] I3=$abc$60421$n593 O=$abc$60421$n612
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000011010111
.gate SB_LUT4 I0=$abc$60421$n614 I1=$abc$60421$n615 I2=$false I3=$false O=$auto$simplemap.cc:250:simplemap_eqne$53051[2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0111
.gate SB_LUT4 I0=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[2] I1=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[1] I2=$auto$alumacc.cc:470:replace_alu$22821.C[1] I3=$false O=$abc$60421$n614
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[6] I1=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[4] I2=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[3] I3=$auto$alumacc.cc:470:replace_alu$22821.AA[5] O=$abc$60421$n615
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$60421$n617 I1=I2C.is_read I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$45135
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$60421$n618 I1=RESET I2=$false I3=$false O=$abc$60421$n617
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=last_wr I1=I2C.wr I2=RESET I3=$false O=$abc$60421$n618
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10110000
.gate SB_LUT4 I0=$abc$60421$n620 I1=$abc$60421$n617 I2=$false I3=$false O=$auto$simplemap.cc:127:simplemap_reduce$45588[1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$60421$n621 I1=$2\INT[0:0] I2=$false I3=$false O=$abc$60421$n620
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$60421$n618 I1=$auto$rtlil.cc:1692:NotGate$60252 I2=$false I3=$false O=$abc$60421$n621
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=$false I3=$false O=$2\INT[0:0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=I2C.i2c_state_machine I1=I2C.i2c_start_latency I2=$false I3=$false O=I2C_TRANS
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$2\INT[0:0] I1=$abc$60421$n627 I2=$abc$60421$n625 I3=$abc$60421$n618 O=$auto$dff2dffe.cc:175:make_patterns_logic$45702
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100111100000000
.gate SB_LUT4 I0=$abc$60421$n621 I1=$0\uart_double_ff[0:0] I2=$false I3=$false O=$abc$60421$n625
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0010
.gate SB_LUT4 I0=I2C_TRANS I1=last_trans I2=$false I3=$false O=$0\uart_double_ff[0:0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0010
.gate SB_LUT4 I0=UART.tx_activity I1=last_uart_active I2=uart_double_ff I3=$false O=$abc$60421$n627
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$60421$n634 I1=I2C.is_read I2=$abc$60421$n620 I3=$abc$60421$n629 O=$auto$dff2dffe.cc:175:make_patterns_logic$45905
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000111100000000
.gate SB_LUT4 I0=$abc$60421$n627 I1=$abc$60421$n633 I2=$abc$60421$n630 I3=$abc$60421$n631 O=$abc$60421$n629
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100111100000000
.gate SB_LUT4 I0=$abc$60421$n625 I1=$2\INT[0:0] I2=$false I3=$false O=$abc$60421$n630
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0010
.gate SB_LUT4 I0=$abc$60421$n617 I1=$abc$60421$n632 I2=$false I3=$false O=$abc$60421$n631
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$0\uart_double_ff[0:0] I1=$auto$rtlil.cc:1692:NotGate$60252 I2=$abc$60421$n618 I3=$false O=$abc$60421$n632
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01001111
.gate SB_LUT4 I0=UART_WR I1=KEYBOARD.isr I2=INT I3=last_isr O=$abc$60421$n633
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000001000000
.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[2] I1=I2C_OUTPUT_TYPE[0] I2=I2C_OUTPUT_TYPE[1] I3=$auto$alumacc.cc:484:replace_alu$22868[7] O=$abc$60421$n634
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000001000001
.gate SB_LUT4 I0=$abc$60421$n636 I1=$abc$60421$n672 I2=$abc$60421$n674_1 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$46096
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000100
.gate SB_LUT4 I0=$2\INT[0:0] I1=$abc$60421$n637 I2=$abc$60421$n625 I3=$false O=$abc$60421$n636
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11010000
.gate SB_LUT4 I0=$abc$60421$n638 I1=$abc$60421$n669_1 I2=$abc$60421$n671_1 I3=I2C.is_read O=$abc$60421$n637
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$60421$n657 I1=$abc$60421$n667_1 I2=$abc$60421$n664 I3=$abc$60421$n639 O=$abc$60421$n638
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1011101100001011
.gate SB_LUT4 I0=$abc$60421$n640 I1=$abc$60421$n649 I2=$abc$60421$n651 I3=$abc$60421$n656 O=$abc$60421$n639
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$abc$60421$n641 I1=$abc$60421$n647 I2=$abc$60421$n648 I3=$false O=$abc$60421$n640
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$60421$n642 I1=$abc$60421$n645 I2=$abc$60421$n646_1 I3=$false O=$abc$60421$n641
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$60421$n643 I1=$abc$60421$n644 I2=$false I3=$false O=$abc$60421$n642
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C_INPUT_DATA[1][4] I1=I2C_INPUT_DATA[1][5] I2=I2C_INPUT_DATA[1][6] I3=I2C_INPUT_DATA[1][7] O=$abc$60421$n643
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=I2C_INPUT_DATA[1][0] I1=I2C_INPUT_DATA[1][1] I2=I2C_INPUT_DATA[1][2] I3=I2C_INPUT_DATA[1][3] O=$abc$60421$n644
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=I2C_INPUT_DATA[0][0] I1=I2C_INPUT_DATA[0][2] I2=I2C_INPUT_DATA[0][3] I3=I2C_INPUT_DATA[0][1] O=$abc$60421$n645
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000001000
.gate SB_LUT4 I0=I2C_INPUT_DATA[0][4] I1=I2C_INPUT_DATA[0][5] I2=I2C_INPUT_DATA[0][6] I3=I2C_INPUT_DATA[0][7] O=$abc$60421$n646_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=I2C_INPUT_DATA[3][4] I1=I2C_INPUT_DATA[3][5] I2=I2C_INPUT_DATA[3][6] I3=I2C_INPUT_DATA[3][7] O=$abc$60421$n647
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=I2C_INPUT_DATA[2][1] I1=I2C_INPUT_DATA[2][0] I2=I2C_INPUT_DATA[2][2] I3=I2C_INPUT_DATA[2][3] O=$abc$60421$n648
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$60421$n650 I1=I2C_INPUT_DATA[2][6] I2=I2C_INPUT_DATA[2][7] I3=$false O=$abc$60421$n649
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000010
.gate SB_LUT4 I0=I2C_INPUT_DATA[3][2] I1=I2C_INPUT_DATA[3][3] I2=$false I3=$false O=$abc$60421$n650
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$60421$n652 I1=$abc$60421$n653 I2=$abc$60421$n654_1 I3=$abc$60421$n655 O=$abc$60421$n651
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=I2C_INPUT_DATA[4][0] I1=I2C_INPUT_DATA[4][5] I2=I2C_INPUT_DATA[4][6] I3=I2C_INPUT_DATA[4][2] O=$abc$60421$n652
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=I2C_INPUT_DATA[5][1] I1=I2C_INPUT_DATA[5][2] I2=I2C_INPUT_DATA[5][4] I3=I2C_INPUT_DATA[5][7] O=$abc$60421$n653
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=I2C_INPUT_DATA[4][3] I1=I2C_INPUT_DATA[4][4] I2=I2C_INPUT_DATA[4][7] I3=I2C_INPUT_DATA[4][1] O=$abc$60421$n654_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=I2C_INPUT_DATA[5][0] I1=I2C_INPUT_DATA[5][3] I2=I2C_INPUT_DATA[5][5] I3=I2C_INPUT_DATA[5][6] O=$abc$60421$n655
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=I2C_INPUT_DATA[2][5] I1=I2C_INPUT_DATA[3][0] I2=I2C_INPUT_DATA[2][4] I3=I2C_INPUT_DATA[3][1] O=$abc$60421$n656
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000100000000000
.gate SB_LUT4 I0=$abc$60421$n658_1 I1=$abc$60421$n642 I2=$abc$60421$n662 I3=$false O=$abc$60421$n657
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$60421$n659_1 I1=$abc$60421$n646_1 I2=$abc$60421$n661 I3=$false O=$abc$60421$n658_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$60421$n650 I1=$abc$60421$n660 I2=I2C_INPUT_DATA[2][2] I3=I2C_INPUT_DATA[2][3] O=$abc$60421$n659_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000001000
.gate SB_LUT4 I0=I2C_INPUT_DATA[0][0] I1=I2C_INPUT_DATA[0][2] I2=I2C_INPUT_DATA[0][3] I3=I2C_INPUT_DATA[0][1] O=$abc$60421$n660
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000100
.gate SB_LUT4 I0=I2C_INPUT_DATA[2][1] I1=I2C_INPUT_DATA[3][0] I2=I2C_INPUT_DATA[3][1] I3=I2C_INPUT_DATA[2][0] O=$abc$60421$n661
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$abc$60421$n647 I1=$abc$60421$n663 I2=$false I3=$false O=$abc$60421$n662
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C_INPUT_DATA[2][5] I1=I2C_INPUT_DATA[2][4] I2=I2C_INPUT_DATA[2][6] I3=I2C_INPUT_DATA[2][7] O=$abc$60421$n663
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$60421$n665_1 I1=$abc$60421$n666 I2=$false I3=$false O=$abc$60421$n664
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C_INPUT_LEN[4] I1=I2C_INPUT_LEN[5] I2=I2C_INPUT_LEN[6] I3=I2C_INPUT_LEN[7] O=$abc$60421$n665_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=I2C_INPUT_LEN[3] I2=I2C_INPUT_LEN[0] I3=I2C_INPUT_LEN[1] O=$abc$60421$n666
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000001000000
.gate SB_LUT4 I0=$abc$60421$n665_1 I1=$abc$60421$n668_1 I2=I2C_INPUT_LEN[0] I3=I2C_INPUT_LEN[1] O=$abc$60421$n667_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000010000000
.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=I2C_INPUT_LEN[3] I2=$false I3=$false O=$abc$60421$n668_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0010
.gate SB_LUT4 I0=$abc$60421$n670 I1=I2C_INPUT_LEN[0] I2=I2C_INPUT_LEN[1] I3=$false O=$abc$60421$n669_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000010
.gate SB_LUT4 I0=$abc$60421$n665_1 I1=I2C_INPUT_LEN[2] I2=I2C_INPUT_LEN[3] I3=$false O=$abc$60421$n670
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000010
.gate SB_LUT4 I0=$abc$60421$n670 I1=I2C_INPUT_LEN[0] I2=I2C_INPUT_LEN[1] I3=$false O=$abc$60421$n671_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00100000
.gate SB_LUT4 I0=RESET I1=I2C.wr I2=last_wr I3=$abc$60421$n673_1 O=$abc$60421$n672
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000011010111
.gate SB_LUT4 I0=$abc$60421$n625 I1=$abc$60421$n671_1 I2=$2\INT[0:0] I3=I2C.is_read O=$abc$60421$n673_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000010000000
.gate SB_LUT4 I0=$abc$60421$n675 I1=$abc$60421$n664 I2=$abc$60421$n667_1 I3=$false O=$abc$60421$n674_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000010
.gate SB_LUT4 I0=$abc$60421$n625 I1=$abc$60421$n676_1 I2=$abc$60421$n671_1 I3=I2C.is_read O=$abc$60421$n675
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000001000
.gate SB_LUT4 I0=$abc$60421$n669_1 I1=$2\INT[0:0] I2=$false I3=$false O=$abc$60421$n676_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$2\INT[0:0] I1=$abc$60421$n627 I2=$abc$60421$n625 I3=$abc$60421$n632 O=$auto$dff2dffe.cc:175:make_patterns_logic$46377
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100111100000000
.gate SB_LUT4 I0=I2C.is_read I1=$abc$60421$n620 I2=$abc$60421$n629 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$48057
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=$abc$60421$n636 I1=$abc$60421$n674_1 I2=$abc$60421$n673_1 I3=$abc$60421$n680 O=$auto$dff2dffe.cc:175:make_patterns_logic$48550
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$abc$60421$n669_1 I1=I2C.is_read I2=$abc$60421$n620 I3=$abc$60421$n631 O=$abc$60421$n680
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001111100000000
.gate SB_LUT4 I0=RESET I1=$auto$rtlil.cc:1692:NotGate$60252 I2=$auto$dff2dffe.cc:175:make_patterns_logic$45702 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$48902
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11010000
.gate SB_LUT4 I0=$abc$60421$n683 I1=$abc$60421$n691 I2=$techmap\I2C.$sub$i2c_slave.v:142$207_Y[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$48969
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00001000
.gate SB_LUT4 I0=$abc$60421$n684 I1=$techmap\I2C.$sub$i2c_slave.v:142$207_Y[2] I2=$false I3=$false O=$abc$60421$n683
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0010
.gate SB_LUT4 I0=$abc$60421$n685 I1=$abc$60421$n688 I2=$false I3=$false O=$abc$60421$n684
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$60421$n686 I1=$auto$simplemap.cc:250:simplemap_eqne$28997[3] I2=$auto$simplemap.cc:250:simplemap_eqne$28997[4] I3=$auto$alumacc.cc:484:replace_alu$22893[30] O=$abc$60421$n685
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=I2C.is_read I1=$techmap\I2C.$procmux$12628_Y I2=I2C.i2c_state_machine I3=I2C.i2c_start_latency O=$abc$60421$n686
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010101000101010
.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=I2C.SDA_LAST I3=$false O=$techmap\I2C.$procmux$12628_Y
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11011111
.gate SB_LUT4 I0=$abc$60421$n689 I1=$abc$60421$n690 I2=$auto$simplemap.cc:250:simplemap_eqne$49134[4] I3=$false O=$abc$60421$n688
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00001000
.gate SB_LUT4 I0=I2C.SCL_LAST I1=I2C.FLT_SCL.out I2=$false I3=$false O=$abc$60421$n689
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=I2C.SDA_LAST I3=I2C.i2c_state_machine O=$abc$60421$n690
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111011100100000
.gate SB_LUT4 I0=$auto$alumacc.cc:470:replace_alu$22832.B_buf[1] I1=$techmap$auto$alumacc.cc:470:replace_alu$22891.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$27651_Y[0] I2=$false I3=$false O=$abc$60421$n691
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0110
.gate SB_LUT4 I0=$techmap\I2C.$procmux$12628_Y I1=I2C.i2c_bit_counter[1] I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$22832.B_buf[1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$techmap\I2C.$procmux$12628_Y I1=I2C.i2c_bit_counter[0] I2=$false I3=$false O=$techmap$auto$alumacc.cc:470:replace_alu$22891.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$27651_Y[0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$60421$n683 I1=$abc$60421$n691 I2=$techmap\I2C.$sub$i2c_slave.v:142$207_Y[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$49036
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$60421$n683 I1=$abc$60421$n691 I2=$techmap\I2C.$sub$i2c_slave.v:142$207_Y[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$49103
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000010
.gate SB_LUT4 I0=$abc$60421$n683 I1=$abc$60421$n691 I2=$techmap\I2C.$sub$i2c_slave.v:142$207_Y[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$49170
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00100000
.gate SB_LUT4 I0=$abc$60421$n698 I1=$abc$60421$n691 I2=$techmap\I2C.$sub$i2c_slave.v:142$207_Y[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$49237
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00001000
.gate SB_LUT4 I0=$abc$60421$n684 I1=$techmap\I2C.$sub$i2c_slave.v:142$207_Y[2] I2=$false I3=$false O=$abc$60421$n698
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$60421$n698 I1=$abc$60421$n691 I2=$techmap\I2C.$sub$i2c_slave.v:142$207_Y[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$49304
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$60421$n698 I1=$abc$60421$n691 I2=$techmap\I2C.$sub$i2c_slave.v:142$207_Y[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$49371
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000010
.gate SB_LUT4 I0=$abc$60421$n698 I1=$abc$60421$n691 I2=$techmap\I2C.$sub$i2c_slave.v:142$207_Y[0] I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$49438
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00100000
.gate SB_LUT4 I0=I2C.i2c_state_machine I1=I2C.i2c_start_latency I2=$techmap\I2C.$procmux$12628_Y I3=$false O=$auto$dff2dffe.cc:158:make_patterns_logic$49447
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00101111
.gate SB_LUT4 I0=RESET I1=$abc$60421$n704 I2=UART.tx_activity I3=$false O=$auto$dff2dffe.cc:158:make_patterns_logic$49597
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11111101
.gate SB_LUT4 I0=UART_WR I1=UART.TX_sig_last I2=$false I3=$false O=$abc$60421$n704
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0010
.gate SB_LUT4 I0=UART.tx_activity I1=$abc$60421$n707 I2=RESET I3=$techmap\UART.$procmux$739_Y O=$auto$dff2dffe.cc:175:make_patterns_logic$49554
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1101000000000000
.gate SB_LUT4 I0=$abc$60421$n704 I1=$abc$60421$n707 I2=$abc$60421$n709 I3=UART.tx_activity O=$techmap\UART.$procmux$739_Y
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0011111110101010
.gate SB_LUT4 I0=$abc$60421$n708 I1=UART.tx_clk_counter[0] I2=UART.tx_clk_counter[1] I3=$false O=$abc$60421$n707
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00101000
.gate SB_LUT4 I0=$techmap\UART.$sub$uart.v:30$342_Y[0] I1=$techmap\UART.$sub$uart.v:30$342_Y[2] I2=$techmap\UART.$sub$uart.v:30$342_Y[3] I3=$false O=$abc$60421$n708
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=UART.tx_bit_counter[2] I3=UART.tx_bit_counter[3] O=$abc$60421$n709
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$60414 I1=$auto$dff2dffe.cc:175:make_patterns_logic$50051 I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$50030
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=RESET I1=KBD_FREEZE I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$50051
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0010
.gate SB_LUT4 I0=$abc$60421$n714 I1=$auto$dff2dffe.cc:175:make_patterns_logic$50051 I2=$auto$dff2dffe.cc:175:make_patterns_logic$50579 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$50377
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10110000
.gate SB_LUT4 I0=RESET I1=$auto$simplemap.cc:250:simplemap_eqne$53051[2] I2=KBD_FREEZE I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$50579
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01011101
.gate SB_LUT4 I0=$auto$alumacc.cc:484:replace_alu$22823[7] I1=$auto$alumacc.cc:484:replace_alu$22818[7] I2=$false I3=$false O=$abc$60421$n714
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0010
.gate SB_LUT4 I0=$abc$60421$n757 I1=$auto$simplemap.cc:250:simplemap_eqne$53051[2] I2=$abc$60421$n777 I3=$abc$60421$n716 O=$auto$dff2dffe.cc:175:make_patterns_logic$51066
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1011111100000000
.gate SB_LUT4 I0=$abc$60421$n717 I1=$abc$60421$n756 I2=$false I3=$false O=$abc$60421$n716
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0010
.gate SB_LUT4 I0=$abc$60421$n714 I1=$abc$60421$n743 I2=$abc$60421$n718 I3=$auto$dff2dffe.cc:175:make_patterns_logic$50051 O=$abc$60421$n717
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001010111111111
.gate SB_LUT4 I0=$abc$60421$n719 I1=$abc$60421$n729 I2=$abc$60421$n734 I3=$abc$60421$n739 O=$abc$60421$n718
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$abc$60421$n720 I1=$abc$60421$n721 I2=$abc$60421$n724 I3=$abc$60421$n725 O=$abc$60421$n719
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$60421$n580 I1=$abc$60421$n590 I2=$abc$60421$n582 I3=$false O=$abc$60421$n720
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$60421$n579 I1=$abc$60421$n573 I2=$abc$60421$n722 I3=$false O=$abc$60421$n721
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$60421$n556_1 I1=$abc$60421$n558 I2=$abc$60421$n723 I3=$false O=$abc$60421$n722
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[3] I2=KEYBOARD.row_time[1] I3=KEYBOARD.row_time[2] O=$abc$60421$n723
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$abc$60421$n544_1 I1=$abc$60421$n594 I2=$abc$60421$n555_1 I3=$false O=$abc$60421$n724
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$60421$n726 I1=$abc$60421$n587_1 I2=$abc$60421$n727 I3=$false O=$abc$60421$n725
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$60421$n562 I1=$abc$60421$n567_1 I2=$auto$simplemap.cc:127:simplemap_reduce$33860[0] I3=KEYBOARD.COLS_SHADOW[3] O=$abc$60421$n726
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0101001110101100
.gate SB_LUT4 I0=$abc$60421$n556_1 I1=$abc$60421$n558 I2=$abc$60421$n728 I3=$false O=$abc$60421$n727
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[3] I2=KEYBOARD.row_time[1] I3=KEYBOARD.row_time[2] O=$abc$60421$n728
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001000000000000
.gate SB_LUT4 I0=$abc$60421$n730 I1=$abc$60421$n731 I2=$abc$60421$n732 I3=$abc$60421$n733 O=$abc$60421$n729
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$60421$n580 I1=$abc$60421$n594 I2=$abc$60421$n582 I3=$false O=$abc$60421$n730
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$60421$n579 I1=$auto$simplemap.cc:250:simplemap_eqne$33874[2] I2=$abc$60421$n722 I3=$false O=$abc$60421$n731
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$60421$n544_1 I1=$abc$60421$n587_1 I2=$abc$60421$n555_1 I3=$false O=$abc$60421$n732
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$60421$n726 I1=$abc$60421$n583 I2=$abc$60421$n727 I3=$false O=$abc$60421$n733
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$60421$n735 I1=$abc$60421$n736 I2=$abc$60421$n737 I3=$abc$60421$n738 O=$abc$60421$n734
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$60421$n580 I1=$abc$60421$n583 I2=$abc$60421$n582 I3=$false O=$abc$60421$n735
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$60421$n579 I1=$abc$60421$n594 I2=$abc$60421$n722 I3=$false O=$abc$60421$n736
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$60421$n544_1 I1=$auto$simplemap.cc:250:simplemap_eqne$33874[2] I2=$abc$60421$n555_1 I3=$false O=$abc$60421$n737
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$60421$n726 I1=$abc$60421$n573 I2=$abc$60421$n727 I3=$false O=$abc$60421$n738
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$60421$n740 I1=$abc$60421$n741 I2=$abc$60421$n742 I3=$false O=$abc$60421$n739
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=$abc$60421$n579 I1=$abc$60421$n594 I2=$abc$60421$n722 I3=$false O=$abc$60421$n740
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$60421$n544_1 I1=$abc$60421$n587_1 I2=$abc$60421$n555_1 I3=$false O=$abc$60421$n741
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$60421$n726 I1=$auto$simplemap.cc:250:simplemap_eqne$33874[2] I2=$abc$60421$n727 I3=$false O=$abc$60421$n742
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$60421$n744 I1=$abc$60421$n749 I2=$abc$60421$n752 I3=$false O=$abc$60421$n743
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$60421$n745 I1=$abc$60421$n746 I2=$abc$60421$n747 I3=$abc$60421$n748 O=$abc$60421$n744
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$60421$n580 I1=$abc$60421$n583 I2=$abc$60421$n582 I3=$false O=$abc$60421$n745
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$60421$n579 I1=$abc$60421$n583 I2=$abc$60421$n722 I3=$false O=$abc$60421$n746
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$60421$n726 I1=$abc$60421$n573 I2=$abc$60421$n727 I3=$false O=$abc$60421$n747
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$60421$n544_1 I1=$auto$simplemap.cc:309:simplemap_lut$44816 I2=$abc$60421$n555_1 I3=$false O=$abc$60421$n748
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$60421$n720 I1=$abc$60421$n750 I2=$abc$60421$n751 I3=$false O=$abc$60421$n749
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=$abc$60421$n579 I1=$abc$60421$n573 I2=$abc$60421$n722 I3=$false O=$abc$60421$n750
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$60421$n544_1 I1=$abc$60421$n573 I2=$abc$60421$n555_1 I3=$false O=$abc$60421$n751
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$60421$n735 I1=$abc$60421$n753 I2=$abc$60421$n754 I3=$abc$60421$n755 O=$abc$60421$n752
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$60421$n579 I1=$abc$60421$n587_1 I2=$abc$60421$n722 I3=$false O=$abc$60421$n753
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$60421$n544_1 I1=$abc$60421$n590 I2=$abc$60421$n555_1 I3=$false O=$abc$60421$n754
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$60421$n726 I1=$abc$60421$n727 I2=$false I3=$false O=$abc$60421$n755
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=RESET I1=KBD_FREEZE I2=$false I3=$false O=$abc$60421$n756
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$60421$n758 I1=$abc$60421$n770 I2=$abc$60421$n771 I3=$abc$60421$n776_1 O=$abc$60421$n757
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$53186[5] I1=$abc$60421$n769 I2=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[4] I3=KEYBOARD.report[20] O=$abc$60421$n758
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100000000000100
.gate SB_LUT4 I0=$abc$60421$n768_1 I1=$abc$60421$n764_1 I2=$abc$60421$n760 I3=$false O=$auto$simplemap.cc:250:simplemap_eqne$53186[5]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10101110
.gate SB_LUT4 I0=$abc$60421$n555_1 I1=$abc$60421$n763 I2=$abc$60421$n761 I3=$abc$60421$n722 O=$abc$60421$n760
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000001110
.gate SB_LUT4 I0=$abc$60421$n544_1 I1=KEYBOARD.is_pressed I2=$abc$60421$n762 I3=$abc$60421$n555_1 O=$abc$60421$n761
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111100000000000
.gate SB_LUT4 I0=$abc$60421$n550 I1=$abc$60421$n545 I2=$auto$simplemap.cc:250:simplemap_eqne$33831[0] I3=KEYBOARD.COLS_SHADOW[1] O=$abc$60421$n762
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000110101
.gate SB_LUT4 I0=$abc$60421$n561 I1=$abc$60421$n727 I2=KEYBOARD.COLS_SHADOW[3] I3=KEYBOARD.is_pressed O=$abc$60421$n763
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100000011110111
.gate SB_LUT4 I0=$abc$60421$n765 I1=$abc$60421$n767 I2=$false I3=$false O=$abc$60421$n764_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0001
.gate SB_LUT4 I0=KEYBOARD.is_pressed I1=$abc$60421$n579 I2=$abc$60421$n722 I3=$abc$60421$n766 O=$abc$60421$n765
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000001110000
.gate SB_LUT4 I0=$abc$60421$n567_1 I1=$abc$60421$n562 I2=$auto$simplemap.cc:127:simplemap_reduce$33820[0] I3=KEYBOARD.COLS_SHADOW[2] O=$abc$60421$n766
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000110101
.gate SB_LUT4 I0=$abc$60421$n556_1 I1=$abc$60421$n534_1 I2=$abc$60421$n558 I3=$false O=$abc$60421$n767
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$60421$n550 I1=KEYBOARD.is_pressed I2=KEYBOARD.COLS_SHADOW[0] I3=$abc$60421$n582 O=$abc$60421$n768_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100110100000000
.gate SB_LUT4 I0=$abc$60421$n734 I1=KEYBOARD.report[19] I2=$false I3=$false O=$abc$60421$n769
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0110
.gate SB_LUT4 I0=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[7] I1=$abc$60421$n729 I2=KEYBOARD.report[16] I3=KEYBOARD.report[23] O=$abc$60421$n770
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0010100000010100
.gate SB_LUT4 I0=$abc$60421$n772 I1=$abc$60421$n719 I2=KEYBOARD.report[17] I3=KEYBOARD.report[21] O=$abc$60421$n771
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001010000101000
.gate SB_LUT4 I0=$abc$60421$n543_1 I1=$abc$60421$n773 I2=$abc$60421$n774 I3=$abc$60421$n775_1 O=$abc$60421$n772
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000100
.gate SB_LUT4 I0=$abc$60421$n561 I1=$abc$60421$n727 I2=KEYBOARD.COLS_SHADOW[3] I3=$false O=$abc$60421$n773
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10110111
.gate SB_LUT4 I0=$abc$60421$n580 I1=$abc$60421$n583 I2=$abc$60421$n767 I3=$false O=$abc$60421$n774
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$60421$n579 I1=$abc$60421$n587_1 I2=$abc$60421$n722 I3=$false O=$abc$60421$n775_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[6] I1=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[2] I2=KEYBOARD.report[18] I3=KEYBOARD.report[22] O=$abc$60421$n776_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000001001000001
.gate SB_LUT4 I0=$abc$60421$n778 I1=$auto$simplemap.cc:250:simplemap_eqne$53186[5] I2=$auto$dff2dffe.cc:175:make_patterns_logic$50051 I3=$abc$60421$n714 O=$abc$60421$n777
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000001110000
.gate SB_LUT4 I0=$abc$60421$n779 I1=$abc$60421$n780 I2=$false I3=$false O=$abc$60421$n778
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=KEYBOARD.report[20] I1=KEYBOARD.report[21] I2=KEYBOARD.report[22] I3=KEYBOARD.report[23] O=$abc$60421$n779
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=KEYBOARD.report[16] I1=KEYBOARD.report[17] I2=KEYBOARD.report[18] I3=KEYBOARD.report[19] O=$abc$60421$n780
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$60421$n801 I1=$abc$60421$n791 I2=$abc$60421$n716 I3=$abc$60421$n782 O=$auto$dff2dffe.cc:175:make_patterns_logic$52061
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1101000000000000
.gate SB_LUT4 I0=$abc$60421$n787 I1=$abc$60421$n783 I2=$abc$60421$n785 I3=$false O=$abc$60421$n782
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000111
.gate SB_LUT4 I0=$abc$60421$n615 I1=$abc$60421$n614 I2=$abc$60421$n784 I3=$false O=$abc$60421$n783
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=$abc$60421$n768_1 I1=$abc$60421$n764_1 I2=$abc$60421$n760 I3=$abc$60421$n714 O=$abc$60421$n784
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000010101110
.gate SB_LUT4 I0=$abc$60421$n615 I1=$abc$60421$n614 I2=$abc$60421$n784 I3=$abc$60421$n786 O=$abc$60421$n785
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0111000000000000
.gate SB_LUT4 I0=$auto$dff2dffe.cc:175:make_patterns_logic$50051 I1=$abc$60421$n778 I2=$false I3=$false O=$abc$60421$n786
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$auto$dff2dffe.cc:175:make_patterns_logic$50051 I1=$abc$60421$n778 I2=$abc$60421$n788 I3=$false O=$abc$60421$n787
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000010
.gate SB_LUT4 I0=$abc$60421$n789 I1=$abc$60421$n790 I2=$false I3=$false O=$abc$60421$n788
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=KEYBOARD.report[28] I1=KEYBOARD.report[29] I2=KEYBOARD.report[30] I3=KEYBOARD.report[31] O=$abc$60421$n789
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=KEYBOARD.report[24] I1=KEYBOARD.report[25] I2=KEYBOARD.report[26] I3=KEYBOARD.report[27] O=$abc$60421$n790
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$60421$n792_1 I1=$abc$60421$n793_1 I2=$abc$60421$n796 I3=$abc$60421$n800 O=$abc$60421$n791
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$abc$60421$n719 I1=$abc$60421$n729 I2=KEYBOARD.report[24] I3=KEYBOARD.report[25] O=$abc$60421$n792_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001010000101000
.gate SB_LUT4 I0=$abc$60421$n794 I1=$abc$60421$n734 I2=KEYBOARD.report[26] I3=KEYBOARD.report[27] O=$abc$60421$n793_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001001001001000
.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$33874[2] I1=$abc$60421$n773 I2=$abc$60421$n795 I3=$abc$60421$n601 O=$abc$60421$n794
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000001101
.gate SB_LUT4 I0=$abc$60421$n579 I1=$abc$60421$n594 I2=$abc$60421$n722 I3=$false O=$abc$60421$n795
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$60421$n797 I1=$abc$60421$n772 I2=KEYBOARD.report[28] I3=KEYBOARD.report[29] O=$abc$60421$n796
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001001001001000
.gate SB_LUT4 I0=$abc$60421$n590 I1=$abc$60421$n798 I2=$abc$60421$n585 I3=$abc$60421$n799 O=$abc$60421$n797
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000010101011
.gate SB_LUT4 I0=$abc$60421$n580 I1=$abc$60421$n767 I2=$false I3=$false O=$abc$60421$n798
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$60421$n579 I1=$abc$60421$n573 I2=$abc$60421$n722 I3=$false O=$abc$60421$n799
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[7] I1=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[6] I2=KEYBOARD.report[30] I3=KEYBOARD.report[31] O=$abc$60421$n800
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000001001000001
.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$53186[5] I1=$auto$dff2dffe.cc:175:make_patterns_logic$50051 I2=$abc$60421$n714 I3=$false O=$abc$60421$n801
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000100
.gate SB_LUT4 I0=$abc$60421$n813 I1=$abc$60421$n803 I2=$abc$60421$n807 I3=$abc$60421$n804 O=$auto$dff2dffe.cc:175:make_patterns_logic$53084
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000101100000000
.gate SB_LUT4 I0=$abc$60421$n783 I1=$abc$60421$n787 I2=$false I3=$false O=$abc$60421$n803
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$60421$n717 I1=$abc$60421$n785 I2=$abc$60421$n805 I3=$abc$60421$n756 O=$abc$60421$n804
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000010
.gate SB_LUT4 I0=$abc$60421$n615 I1=$abc$60421$n614 I2=$abc$60421$n784 I3=$abc$60421$n806 O=$abc$60421$n805
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0111000000000000
.gate SB_LUT4 I0=$auto$dff2dffe.cc:175:make_patterns_logic$50051 I1=$abc$60421$n778 I2=$abc$60421$n788 I3=$false O=$abc$60421$n806
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00100000
.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$53051[2] I1=$abc$60421$n801 I2=$abc$60421$n808 I3=$false O=$abc$60421$n807
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00001000
.gate SB_LUT4 I0=$abc$60421$n809 I1=$abc$60421$n810 I2=$abc$60421$n811 I3=$abc$60421$n812 O=$abc$60421$n808
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$abc$60421$n719 I1=$abc$60421$n729 I2=KEYBOARD.report[32] I3=KEYBOARD.report[33] O=$abc$60421$n809
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001010000101000
.gate SB_LUT4 I0=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[2] I1=$abc$60421$n734 I2=KEYBOARD.report[34] I3=KEYBOARD.report[35] O=$abc$60421$n810
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0010000110000100
.gate SB_LUT4 I0=$abc$60421$n797 I1=$abc$60421$n772 I2=KEYBOARD.report[36] I3=KEYBOARD.report[37] O=$abc$60421$n811
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001001001001000
.gate SB_LUT4 I0=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[7] I1=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[6] I2=KEYBOARD.report[38] I3=KEYBOARD.report[39] O=$abc$60421$n812
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000001001000001
.gate SB_LUT4 I0=$abc$60421$n814 I1=$abc$60421$n815 I2=$false I3=$false O=$abc$60421$n813
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=KEYBOARD.report[36] I1=KEYBOARD.report[37] I2=KEYBOARD.report[38] I3=KEYBOARD.report[39] O=$abc$60421$n814
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=KEYBOARD.report[32] I1=KEYBOARD.report[33] I2=KEYBOARD.report[34] I3=KEYBOARD.report[35] O=$abc$60421$n815
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$60421$n823_1 I1=$abc$60421$n803 I2=$abc$60421$n817 I3=$abc$60421$n804 O=$auto$dff2dffe.cc:175:make_patterns_logic$53393
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000101100000000
.gate SB_LUT4 I0=$abc$60421$n801 I1=$abc$60421$n818 I2=$false I3=$false O=$abc$60421$n817
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0010
.gate SB_LUT4 I0=$abc$60421$n819 I1=$abc$60421$n820 I2=$abc$60421$n821 I3=$abc$60421$n822 O=$abc$60421$n818
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$abc$60421$n719 I1=$abc$60421$n729 I2=KEYBOARD.report[40] I3=KEYBOARD.report[41] O=$abc$60421$n819
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001010000101000
.gate SB_LUT4 I0=$abc$60421$n794 I1=$abc$60421$n734 I2=KEYBOARD.report[42] I3=KEYBOARD.report[43] O=$abc$60421$n820
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001001001001000
.gate SB_LUT4 I0=$abc$60421$n797 I1=$abc$60421$n772 I2=KEYBOARD.report[44] I3=KEYBOARD.report[45] O=$abc$60421$n821
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001001001001000
.gate SB_LUT4 I0=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[7] I1=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[6] I2=KEYBOARD.report[46] I3=KEYBOARD.report[47] O=$abc$60421$n822
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000001001000001
.gate SB_LUT4 I0=$abc$60421$n813 I1=$abc$60421$n824 I2=$false I3=$false O=$abc$60421$n823_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$60421$n825 I1=$abc$60421$n826_1 I2=$false I3=$false O=$abc$60421$n824
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=KEYBOARD.report[44] I1=KEYBOARD.report[45] I2=KEYBOARD.report[46] I3=KEYBOARD.report[47] O=$abc$60421$n825
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=KEYBOARD.report[40] I1=KEYBOARD.report[41] I2=KEYBOARD.report[42] I3=KEYBOARD.report[43] O=$abc$60421$n826_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$60421$n829 I1=$abc$60421$n828 I2=$abc$60421$n804 I3=$abc$60421$n835 O=$auto$dff2dffe.cc:175:make_patterns_logic$54691
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1011000000000000
.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$53051[2] I1=$abc$60421$n801 I2=$false I3=$false O=$abc$60421$n828
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$60421$n830 I1=$abc$60421$n833_1 I2=$abc$60421$n834 I3=$false O=$abc$60421$n829
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$60421$n831 I1=$abc$60421$n744 I2=$abc$60421$n832 I3=KEYBOARD.report[54] O=$abc$60421$n830
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0010000010000000
.gate SB_LUT4 I0=$abc$60421$n797 I1=$abc$60421$n772 I2=KEYBOARD.report[52] I3=KEYBOARD.report[53] O=$abc$60421$n831
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001001001001000
.gate SB_LUT4 I0=$abc$60421$n747 I1=$abc$60421$n754 I2=KEYBOARD.report[55] I3=$false O=$abc$60421$n832
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11100001
.gate SB_LUT4 I0=$abc$60421$n719 I1=$abc$60421$n729 I2=KEYBOARD.report[48] I3=KEYBOARD.report[49] O=$abc$60421$n833_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001010000101000
.gate SB_LUT4 I0=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[2] I1=$abc$60421$n734 I2=KEYBOARD.report[50] I3=KEYBOARD.report[51] O=$abc$60421$n834
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0010000110000100
.gate SB_LUT4 I0=$abc$60421$n787 I1=$abc$60421$n813 I2=$abc$60421$n836 I3=$abc$60421$n783 O=$abc$60421$n835
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000011111111111
.gate SB_LUT4 I0=$abc$60421$n787 I1=$abc$60421$n823_1 I2=$abc$60421$n837 I3=$false O=$abc$60421$n836
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11111000
.gate SB_LUT4 I0=$abc$60421$n838 I1=$auto$dff2dffe.cc:175:make_patterns_logic$50051 I2=$abc$60421$n778 I3=$false O=$abc$60421$n837
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00001000
.gate SB_LUT4 I0=$abc$60421$n839 I1=$abc$60421$n788 I2=$abc$60421$n813 I3=$false O=$abc$60421$n838
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000010
.gate SB_LUT4 I0=$abc$60421$n841 I1=$abc$60421$n840 I2=$abc$60421$n824 I3=$false O=$abc$60421$n839
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000111
.gate SB_LUT4 I0=KEYBOARD.report[52] I1=KEYBOARD.report[53] I2=KEYBOARD.report[54] I3=KEYBOARD.report[55] O=$abc$60421$n840
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=KEYBOARD.report[48] I1=KEYBOARD.report[49] I2=KEYBOARD.report[50] I3=KEYBOARD.report[51] O=$abc$60421$n841
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$60421$n848 I1=$auto$simplemap.cc:250:simplemap_eqne$53186[5] I2=$abc$60421$n843_1 I3=RESET O=$auto$dff2dffe.cc:175:make_patterns_logic$56016
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1110000011111111
.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$53051[2] I1=$abc$60421$n844 I2=$abc$60421$n714 I3=KBD_FREEZE O=$abc$60421$n843_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000010
.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$53186[5] I1=$abc$60421$n845 I2=$false I3=$false O=$abc$60421$n844
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0010
.gate SB_LUT4 I0=$abc$60421$n838 I1=$abc$60421$n778 I2=$abc$60421$n846 I3=$abc$60421$n847 O=$abc$60421$n845
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0010000000000000
.gate SB_LUT4 I0=KEYBOARD.report[60] I1=KEYBOARD.report[61] I2=KEYBOARD.report[62] I3=KEYBOARD.report[63] O=$abc$60421$n846
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=KEYBOARD.report[56] I1=KEYBOARD.report[57] I2=KEYBOARD.report[58] I3=KEYBOARD.report[59] O=$abc$60421$n847
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$abc$60421$n849 I1=$abc$60421$n850 I2=$abc$60421$n851_1 I3=$abc$60421$n852 O=$abc$60421$n848
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$abc$60421$n719 I1=$abc$60421$n729 I2=KEYBOARD.report[56] I3=KEYBOARD.report[57] O=$abc$60421$n849
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001010000101000
.gate SB_LUT4 I0=$abc$60421$n794 I1=$abc$60421$n734 I2=KEYBOARD.report[58] I3=KEYBOARD.report[59] O=$abc$60421$n850
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001001001001000
.gate SB_LUT4 I0=$abc$60421$n797 I1=$abc$60421$n772 I2=KEYBOARD.report[60] I3=KEYBOARD.report[61] O=$abc$60421$n851_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001001001001000
.gate SB_LUT4 I0=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[7] I1=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[6] I2=KEYBOARD.report[62] I3=KEYBOARD.report[63] O=$abc$60421$n852
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000001001000001
.gate SB_LUT4 I0=$abc$60421$n859 I1=$abc$60421$n858 I2=$abc$60421$n854 I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$57616
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11100000
.gate SB_LUT4 I0=$abc$60421$n855 I1=$abc$60421$n756 I2=$false I3=$false O=$abc$60421$n854
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0010
.gate SB_LUT4 I0=$abc$60421$n856 I1=$abc$60421$n857_1 I2=$false I3=$false O=$abc$60421$n855
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$60421$n581 I1=$auto$dff2dffe.cc:175:make_patterns_logic$50051 I2=$false I3=$false O=$abc$60421$n856
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$60421$n555_1 I1=$abc$60421$n582 I2=$abc$60421$n722 I3=$auto$dff2dffe.cc:175:make_patterns_logic$50051 O=$abc$60421$n857_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$33831[0] I1=$abc$60421$n582 I2=$abc$60421$n590 I3=$false O=$abc$60421$n858
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11010000
.gate SB_LUT4 I0=$abc$60421$n860 I1=$abc$60421$n861 I2=$false I3=$false O=$abc$60421$n859
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$60421$n555_1 I1=$auto$dff2dffe.cc:175:make_patterns_logic$50051 I2=$false I3=$false O=$abc$60421$n860
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$60421$n582 I1=$auto$dff2dffe.cc:175:make_patterns_logic$50051 I2=$false I3=$false O=$abc$60421$n861
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$60421$n860 I1=$abc$60421$n590 I2=$auto$simplemap.cc:250:simplemap_eqne$33831[0] I3=$abc$60421$n863 O=$auto$dff2dffe.cc:175:make_patterns_logic$57696
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1101010100000000
.gate SB_LUT4 I0=$abc$60421$n855 I1=$abc$60421$n861 I2=$abc$60421$n756 I3=$false O=$abc$60421$n863
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000010
.gate SB_LUT4 I0=$abc$60421$n590 I1=$auto$simplemap.cc:127:simplemap_reduce$33820[0] I2=$abc$60421$n856 I3=$abc$60421$n865 O=$auto$dff2dffe.cc:175:make_patterns_logic$57800
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0010111100000000
.gate SB_LUT4 I0=$abc$60421$n590 I1=$auto$simplemap.cc:127:simplemap_reduce$33860[0] I2=$abc$60421$n868 I3=$abc$60421$n866 O=$abc$60421$n865
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0010111100000000
.gate SB_LUT4 I0=$abc$60421$n727 I1=$abc$60421$n857_1 I2=$abc$60421$n867 I3=$false O=$abc$60421$n866
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10110000
.gate SB_LUT4 I0=$abc$60421$n860 I1=$abc$60421$n861 I2=$abc$60421$n756 I3=$false O=$abc$60421$n867
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=$abc$60421$n574 I1=$auto$dff2dffe.cc:175:make_patterns_logic$50051 I2=$false I3=$false O=$abc$60421$n868
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$60421$n590 I1=$auto$simplemap.cc:127:simplemap_reduce$33820[0] I2=$abc$60421$n856 I3=$abc$60421$n870 O=$auto$dff2dffe.cc:175:make_patterns_logic$57905
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000111100000000
.gate SB_LUT4 I0=$abc$60421$n590 I1=$auto$simplemap.cc:127:simplemap_reduce$33860[0] I2=$abc$60421$n868 I3=$abc$60421$n866 O=$abc$60421$n870
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000111100000000
.gate SB_LUT4 I0=$abc$60421$n872 I1=$abc$60421$n583 I2=$abc$60421$n859 I3=$abc$60421$n854 O=$auto$dff2dffe.cc:175:make_patterns_logic$57988
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111010000000000
.gate SB_LUT4 I0=$abc$60421$n860 I1=$auto$simplemap.cc:250:simplemap_eqne$33831[0] I2=$false I3=$false O=$abc$60421$n872
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$60421$n860 I1=$abc$60421$n583 I2=$auto$simplemap.cc:250:simplemap_eqne$33831[0] I3=$abc$60421$n863 O=$auto$dff2dffe.cc:175:make_patterns_logic$58068
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1101010100000000
.gate SB_LUT4 I0=$abc$60421$n583 I1=$auto$simplemap.cc:127:simplemap_reduce$33820[0] I2=$abc$60421$n856 I3=$abc$60421$n875 O=$auto$dff2dffe.cc:175:make_patterns_logic$58172
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0010111100000000
.gate SB_LUT4 I0=$abc$60421$n583 I1=$auto$simplemap.cc:127:simplemap_reduce$33860[0] I2=$abc$60421$n868 I3=$abc$60421$n866 O=$abc$60421$n875
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0010111100000000
.gate SB_LUT4 I0=$abc$60421$n583 I1=$auto$simplemap.cc:127:simplemap_reduce$33820[0] I2=$abc$60421$n856 I3=$abc$60421$n877 O=$auto$dff2dffe.cc:175:make_patterns_logic$58277
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000111100000000
.gate SB_LUT4 I0=$abc$60421$n583 I1=$auto$simplemap.cc:127:simplemap_reduce$33860[0] I2=$abc$60421$n868 I3=$abc$60421$n866 O=$abc$60421$n877
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000111100000000
.gate SB_LUT4 I0=$abc$60421$n872 I1=$abc$60421$n594 I2=$abc$60421$n859 I3=$abc$60421$n854 O=$auto$dff2dffe.cc:175:make_patterns_logic$58360
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111010000000000
.gate SB_LUT4 I0=$abc$60421$n860 I1=$abc$60421$n594 I2=$auto$simplemap.cc:250:simplemap_eqne$33831[0] I3=$abc$60421$n863 O=$auto$dff2dffe.cc:175:make_patterns_logic$58440
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1101010100000000
.gate SB_LUT4 I0=$abc$60421$n594 I1=$auto$simplemap.cc:127:simplemap_reduce$33820[0] I2=$abc$60421$n856 I3=$abc$60421$n881_1 O=$auto$dff2dffe.cc:175:make_patterns_logic$58544
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0010111100000000
.gate SB_LUT4 I0=$abc$60421$n594 I1=$auto$simplemap.cc:127:simplemap_reduce$33860[0] I2=$abc$60421$n868 I3=$abc$60421$n866 O=$abc$60421$n881_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0010111100000000
.gate SB_LUT4 I0=$abc$60421$n594 I1=$auto$simplemap.cc:127:simplemap_reduce$33820[0] I2=$abc$60421$n856 I3=$abc$60421$n883 O=$auto$dff2dffe.cc:175:make_patterns_logic$58649
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000111100000000
.gate SB_LUT4 I0=$abc$60421$n594 I1=$auto$simplemap.cc:127:simplemap_reduce$33860[0] I2=$abc$60421$n868 I3=$abc$60421$n866 O=$abc$60421$n883
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000111100000000
.gate SB_LUT4 I0=$abc$60421$n872 I1=$abc$60421$n573 I2=$abc$60421$n859 I3=$abc$60421$n854 O=$auto$dff2dffe.cc:175:make_patterns_logic$58732
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111010000000000
.gate SB_LUT4 I0=$abc$60421$n860 I1=$abc$60421$n573 I2=$auto$simplemap.cc:250:simplemap_eqne$33831[0] I3=$abc$60421$n863 O=$auto$dff2dffe.cc:175:make_patterns_logic$58812
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1101010100000000
.gate SB_LUT4 I0=$abc$60421$n573 I1=$auto$simplemap.cc:127:simplemap_reduce$33820[0] I2=$abc$60421$n856 I3=$abc$60421$n887_1 O=$auto$dff2dffe.cc:175:make_patterns_logic$58916
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0010111100000000
.gate SB_LUT4 I0=$abc$60421$n573 I1=$auto$simplemap.cc:127:simplemap_reduce$33860[0] I2=$abc$60421$n868 I3=$abc$60421$n866 O=$abc$60421$n887_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0010111100000000
.gate SB_LUT4 I0=$abc$60421$n573 I1=$auto$simplemap.cc:127:simplemap_reduce$33820[0] I2=$abc$60421$n856 I3=$abc$60421$n889 O=$auto$dff2dffe.cc:175:make_patterns_logic$59021
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000111100000000
.gate SB_LUT4 I0=$abc$60421$n573 I1=$auto$simplemap.cc:127:simplemap_reduce$33860[0] I2=$abc$60421$n868 I3=$abc$60421$n866 O=$abc$60421$n889
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000111100000000
.gate SB_LUT4 I0=RESET I1=$abc$60421$n891 I2=I2C.wr I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$59498
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[0] I1=I2C_OUTPUT_TYPE[1] I2=I2C_HID_DESC.last_rd_request I3=$false O=$abc$60421$n891
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000110
.gate SB_LUT4 I0=$techmap\I2C.FLT_SDA.$procmux$979_Y[0] I1=$abc$60421$n895 I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$59776
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$60421$n894_1 I1=$auto$wreduce.cc:310:run$22796[0] I2=$false I3=$false O=$techmap\I2C.FLT_SDA.$procmux$979_Y[0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1110
.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=I2C.FLT_SDA.counter[2] I3=$false O=$abc$60421$n894_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=$techmap\I2C.FLT_SDA.$procmux$979_Y[1] I1=$auto$wreduce.cc:310:run$22796[2] I2=I2C.FLT_SDA.out I3=I2C.SDAF O=$abc$60421$n895
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000100010000
.gate SB_LUT4 I0=I2C.FLT_SDA.counter[0] I1=I2C.FLT_SDA.counter[1] I2=$false I3=$false O=$techmap\I2C.FLT_SDA.$procmux$979_Y[1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1001
.gate SB_LUT4 I0=$abc$60421$n894_1 I1=I2C.FLT_SDA.out I2=I2C.SDAF I3=$false O=$auto$dff2dffe.cc:158:make_patterns_logic$59791
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01111101
.gate SB_LUT4 I0=$techmap\I2C.FLT_SCL.$procmux$979_Y[2] I1=$abc$60421$n901_1 I2=$false I3=$false O=$auto$dff2dffe.cc:175:make_patterns_logic$59836
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$60421$n900 I1=$auto$wreduce.cc:310:run$22795[2] I2=$false I3=$false O=$techmap\I2C.FLT_SCL.$procmux$979_Y[2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1110
.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=I2C.FLT_SCL.counter[2] I3=$false O=$abc$60421$n900
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=$techmap\I2C.FLT_SCL.$procmux$979_Y[1] I1=$auto$wreduce.cc:310:run$22795[0] I2=I2C.FLT_SCL.out I3=I2C.SCLF O=$abc$60421$n901_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000100010000
.gate SB_LUT4 I0=I2C.FLT_SCL.counter[0] I1=I2C.FLT_SCL.counter[1] I2=$false I3=$false O=$techmap\I2C.FLT_SCL.$procmux$979_Y[1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1001
.gate SB_LUT4 I0=$abc$60421$n900 I1=I2C.FLT_SCL.out I2=I2C.SCLF I3=$false O=$auto$dff2dffe.cc:158:make_patterns_logic$59851
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01111101
.gate SB_LUT4 I0=$techmap\I2C.$procmux$12628_Y I1=I2C.byte_counter[0] I2=$false I3=$false O=$auto$alumacc.cc:484:replace_alu$22890[0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$techmap\I2C.$procmux$12628_Y I1=I2C.byte_counter[1] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22889[1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$techmap\I2C.$procmux$12628_Y I1=I2C.byte_counter[2] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22889[2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$techmap\I2C.$procmux$12628_Y I1=I2C.byte_counter[3] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22889[3]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$techmap\I2C.$procmux$12628_Y I1=I2C.byte_counter[4] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22889[4]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$techmap\I2C.$procmux$12628_Y I1=I2C.byte_counter[5] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22889[5]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$techmap\I2C.$procmux$12628_Y I1=I2C.byte_counter[6] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22889[6]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$techmap\I2C.$procmux$12628_Y I1=I2C.byte_counter[7] I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22889[7]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$60421$n913 I1=$abc$60421$n919 I2=$false I3=$false O=$procmux$16791_Y[0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$60421$n917 I1=$abc$60421$n918 I2=$abc$60421$n914 I3=$sub$top.v:74$27_Y[0] O=$abc$60421$n913
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001000111110000
.gate SB_LUT4 I0=$abc$60421$n916 I1=$abc$60421$n915_1 I2=I2C.byte_counter[1] I3=$false O=$abc$60421$n914
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.report[16] I1=KEYBOARD.report[48] I2=I2C.byte_counter[2] I3=$false O=$abc$60421$n915_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=KEYBOARD.report[0] I1=KEYBOARD.report[32] I2=I2C.byte_counter[2] I3=$false O=$abc$60421$n916
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=KEYBOARD.report[24] I1=KEYBOARD.report[56] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$60421$n917
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010000011000000
.gate SB_LUT4 I0=KEYBOARD.report[8] I1=KEYBOARD.report[40] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$60421$n918
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000101000001100
.gate SB_LUT4 I0=$abc$60421$n920 I1=$abc$60421$n923 I2=$auto$alumacc.cc:484:replace_alu$22861[7] I3=$auto$alumacc.cc:484:replace_alu$22839[7] O=$abc$60421$n919
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000010000
.gate SB_LUT4 I0=$abc$60421$n921 I1=$abc$60421$n922_1 I2=$false I3=$false O=$abc$60421$n920
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C.byte_counter[4] I1=I2C.byte_counter[5] I2=I2C.byte_counter[6] I3=I2C.byte_counter[7] O=$abc$60421$n921
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[3] I2=I2C.byte_counter[2] I3=I2C.byte_counter[0] O=$abc$60421$n922_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000010
.gate SB_LUT4 I0=$abc$60421$n921 I1=$abc$60421$n924 I2=$false I3=$false O=$abc$60421$n923
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[3] I2=I2C.byte_counter[2] I3=I2C.byte_counter[0] O=$abc$60421$n924
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000001000000000
.gate SB_LUT4 I0=$abc$60421$n920 I1=$abc$60421$n926 I2=$auto$alumacc.cc:484:replace_alu$22839[7] I3=$auto$alumacc.cc:484:replace_alu$22861[7] O=$procmux$16791_Y[1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010111000000000
.gate SB_LUT4 I0=$abc$60421$n930 I1=$abc$60421$n927 I2=$abc$60421$n923 I3=$sub$top.v:74$27_Y[0] O=$abc$60421$n926
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000101000001100
.gate SB_LUT4 I0=$abc$60421$n929_1 I1=$abc$60421$n928 I2=I2C.byte_counter[2] I3=$false O=$abc$60421$n927
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.report[1] I1=KEYBOARD.report[17] I2=I2C.byte_counter[1] I3=$false O=$abc$60421$n928
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.report[33] I1=KEYBOARD.report[49] I2=I2C.byte_counter[1] I3=$false O=$abc$60421$n929_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$60421$n932 I1=$abc$60421$n931 I2=I2C.byte_counter[2] I3=$false O=$abc$60421$n930
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.report[9] I1=KEYBOARD.report[25] I2=I2C.byte_counter[1] I3=$false O=$abc$60421$n931
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.report[41] I1=KEYBOARD.report[57] I2=I2C.byte_counter[1] I3=$false O=$abc$60421$n932
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$60421$n919 I1=$abc$60421$n934 I2=$false I3=$false O=$procmux$16791_Y[2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0010
.gate SB_LUT4 I0=$abc$60421$n938 I1=$abc$60421$n939 I2=$abc$60421$n935 I3=$sub$top.v:74$27_Y[0] O=$abc$60421$n934
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001000111110000
.gate SB_LUT4 I0=$abc$60421$n937 I1=$abc$60421$n936_1 I2=I2C.byte_counter[1] I3=$false O=$abc$60421$n935
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.report[18] I1=KEYBOARD.report[50] I2=I2C.byte_counter[2] I3=$false O=$abc$60421$n936_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=KEYBOARD.report[2] I1=KEYBOARD.report[34] I2=I2C.byte_counter[2] I3=$false O=$abc$60421$n937
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=KEYBOARD.report[26] I1=KEYBOARD.report[58] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$60421$n938
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010000011000000
.gate SB_LUT4 I0=KEYBOARD.report[10] I1=KEYBOARD.report[42] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$60421$n939
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000101000001100
.gate SB_LUT4 I0=$abc$60421$n920 I1=$abc$60421$n941 I2=$auto$alumacc.cc:484:replace_alu$22839[7] I3=$auto$alumacc.cc:484:replace_alu$22861[7] O=$procmux$16791_Y[3]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010111000000000
.gate SB_LUT4 I0=$abc$60421$n945 I1=$abc$60421$n942 I2=$abc$60421$n923 I3=$sub$top.v:74$27_Y[0] O=$abc$60421$n941
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000101000001100
.gate SB_LUT4 I0=$abc$60421$n944 I1=$abc$60421$n943 I2=I2C.byte_counter[2] I3=$false O=$abc$60421$n942
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.report[3] I1=KEYBOARD.report[19] I2=I2C.byte_counter[1] I3=$false O=$abc$60421$n943
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.report[35] I1=KEYBOARD.report[51] I2=I2C.byte_counter[1] I3=$false O=$abc$60421$n944
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$60421$n947 I1=$abc$60421$n946 I2=I2C.byte_counter[2] I3=$false O=$abc$60421$n945
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.report[11] I1=KEYBOARD.report[27] I2=I2C.byte_counter[1] I3=$false O=$abc$60421$n946
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.report[43] I1=KEYBOARD.report[59] I2=I2C.byte_counter[1] I3=$false O=$abc$60421$n947
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$60421$n919 I1=$abc$60421$n949 I2=$false I3=$false O=$procmux$16791_Y[4]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0010
.gate SB_LUT4 I0=$abc$60421$n953_1 I1=$abc$60421$n954 I2=$abc$60421$n950 I3=$sub$top.v:74$27_Y[0] O=$abc$60421$n949
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001000111110000
.gate SB_LUT4 I0=$abc$60421$n952 I1=$abc$60421$n951 I2=I2C.byte_counter[1] I3=$false O=$abc$60421$n950
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.report[20] I1=KEYBOARD.report[52] I2=I2C.byte_counter[2] I3=$false O=$abc$60421$n951
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=KEYBOARD.report[4] I1=KEYBOARD.report[36] I2=I2C.byte_counter[2] I3=$false O=$abc$60421$n952
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=KEYBOARD.report[28] I1=KEYBOARD.report[60] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$60421$n953_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010000011000000
.gate SB_LUT4 I0=KEYBOARD.report[12] I1=KEYBOARD.report[44] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$60421$n954
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000101000001100
.gate SB_LUT4 I0=$abc$60421$n919 I1=$abc$60421$n956_1 I2=$false I3=$false O=$procmux$16791_Y[5]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0010
.gate SB_LUT4 I0=$abc$60421$n960 I1=$abc$60421$n961 I2=$abc$60421$n957 I3=$sub$top.v:74$27_Y[0] O=$abc$60421$n956_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001000111110000
.gate SB_LUT4 I0=$abc$60421$n959 I1=$abc$60421$n958 I2=I2C.byte_counter[1] I3=$false O=$abc$60421$n957
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.report[21] I1=KEYBOARD.report[53] I2=I2C.byte_counter[2] I3=$false O=$abc$60421$n958
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=KEYBOARD.report[5] I1=KEYBOARD.report[37] I2=I2C.byte_counter[2] I3=$false O=$abc$60421$n959
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=KEYBOARD.report[29] I1=KEYBOARD.report[61] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$60421$n960
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010000011000000
.gate SB_LUT4 I0=KEYBOARD.report[13] I1=KEYBOARD.report[45] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$60421$n961
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000101000001100
.gate SB_LUT4 I0=$abc$60421$n919 I1=$abc$60421$n963 I2=$false I3=$false O=$procmux$16791_Y[6]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0010
.gate SB_LUT4 I0=$abc$60421$n967 I1=$abc$60421$n968_1 I2=$abc$60421$n964 I3=$sub$top.v:74$27_Y[0] O=$abc$60421$n963
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001000111110000
.gate SB_LUT4 I0=$abc$60421$n966 I1=$abc$60421$n965 I2=I2C.byte_counter[1] I3=$false O=$abc$60421$n964
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.report[22] I1=KEYBOARD.report[54] I2=I2C.byte_counter[2] I3=$false O=$abc$60421$n965
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=KEYBOARD.report[6] I1=KEYBOARD.report[38] I2=I2C.byte_counter[2] I3=$false O=$abc$60421$n966
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=KEYBOARD.report[30] I1=KEYBOARD.report[62] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$60421$n967
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010000011000000
.gate SB_LUT4 I0=KEYBOARD.report[14] I1=KEYBOARD.report[46] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$60421$n968_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000101000001100
.gate SB_LUT4 I0=$abc$60421$n919 I1=$abc$60421$n970 I2=$false I3=$false O=$procmux$16791_Y[7]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0010
.gate SB_LUT4 I0=$abc$60421$n974 I1=$abc$60421$n975 I2=$abc$60421$n971_1 I3=$sub$top.v:74$27_Y[0] O=$abc$60421$n970
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001000111110000
.gate SB_LUT4 I0=$abc$60421$n973 I1=$abc$60421$n972 I2=I2C.byte_counter[1] I3=$false O=$abc$60421$n971_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.report[23] I1=KEYBOARD.report[55] I2=I2C.byte_counter[2] I3=$false O=$abc$60421$n972
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=KEYBOARD.report[7] I1=KEYBOARD.report[39] I2=I2C.byte_counter[2] I3=$false O=$abc$60421$n973
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=KEYBOARD.report[31] I1=KEYBOARD.report[63] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$60421$n974
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010000011000000
.gate SB_LUT4 I0=KEYBOARD.report[15] I1=KEYBOARD.report[47] I2=I2C.byte_counter[1] I3=I2C.byte_counter[2] O=$abc$60421$n975
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000101000001100
.gate SB_LUT4 I0=$abc$60421$n977_1 I1=$add$top.v:47$12_Y[0] I2=$false I3=$false O=$0\rststate[3:0][0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$60421$n978 I1=$abc$60421$n982 I2=$abc$60421$n645 I3=$false O=$abc$60421$n977_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$60421$n979 I1=$abc$60421$n621 I2=$abc$60421$n662 I3=$abc$60421$n981_1 O=$abc$60421$n978
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$abc$60421$n980 I1=$abc$60421$n665_1 I2=$abc$60421$n648 I3=$false O=$abc$60421$n979
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$2\INT[0:0] I1=I2C_INPUT_DATA[3][0] I2=I2C_INPUT_DATA[3][1] I3=I2C.is_read O=$abc$60421$n980
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000001000
.gate SB_LUT4 I0=$abc$60421$n650 I1=$abc$60421$n668_1 I2=I2C_INPUT_LEN[0] I3=I2C_INPUT_LEN[1] O=$abc$60421$n981_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000001000
.gate SB_LUT4 I0=$abc$60421$n642 I1=$abc$60421$n646_1 I2=$false I3=$false O=$abc$60421$n982
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$60421$n977_1 I1=$add$top.v:47$12_Y[1] I2=$false I3=$false O=$0\rststate[3:0][1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$60421$n977_1 I1=$add$top.v:47$12_Y[2] I2=$false I3=$false O=$0\rststate[3:0][2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$60421$n977_1 I1=$add$top.v:47$12_Y[3] I2=$false I3=$false O=$0\rststate[3:0][3]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=I2C_INPUT_DATA[8][0] I1=I2C_INPUT_DATA[4][0] I2=$abc$60421$n667_1 I3=$false O=$procmux$18231_Y[0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=I2C_INPUT_DATA[8][1] I1=I2C_INPUT_DATA[4][1] I2=$abc$60421$n667_1 I3=$false O=$procmux$18231_Y[1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=I2C_INPUT_DATA[8][2] I1=I2C_INPUT_DATA[4][2] I2=$abc$60421$n667_1 I3=$false O=$procmux$18231_Y[2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$sub$top.v:60$17_Y[0] I3=$false O=$2\I2C_INPUT_LEN[7:0][0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00100000
.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[0] I2=I2C.wr I3=last_wr O=$2\I2C_INPUT_LEN[7:0][1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000010010000
.gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$sub$top.v:60$17_Y[2] I3=$false O=$2\I2C_INPUT_LEN[7:0][2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00100000
.gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$sub$top.v:60$17_Y[3] I3=$false O=$2\I2C_INPUT_LEN[7:0][3]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00100000
.gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$sub$top.v:60$17_Y[4] I3=$false O=$2\I2C_INPUT_LEN[7:0][4]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00100000
.gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$sub$top.v:60$17_Y[5] I3=$false O=$2\I2C_INPUT_LEN[7:0][5]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00100000
.gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$sub$top.v:60$17_Y[6] I3=$false O=$2\I2C_INPUT_LEN[7:0][6]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00100000
.gate SB_LUT4 I0=I2C.wr I1=last_wr I2=$sub$top.v:60$17_Y[7] I3=$false O=$2\I2C_INPUT_LEN[7:0][7]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00100000
.gate SB_LUT4 I0=I2C.received_byte[0] I1=$abc$60421$n998 I2=I2C.is_read I3=$false O=$procmux$19212_Y[0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=I2C_TX_REPORT[0] I1=I2C_HID_DESC.VAL[0] I2=I2C_OUT_DESC_MASK[0] I3=$false O=$abc$60421$n998
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=I2C.received_byte[1] I1=$abc$60421$n1000 I2=I2C.is_read I3=$false O=$procmux$19212_Y[1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=I2C_TX_REPORT[1] I1=I2C_HID_DESC.VAL[1] I2=I2C_OUT_DESC_MASK[1] I3=$false O=$abc$60421$n1000
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=I2C.received_byte[2] I1=$abc$60421$n1002 I2=I2C.is_read I3=$false O=$procmux$19212_Y[2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=I2C_TX_REPORT[2] I1=I2C_HID_DESC.VAL[2] I2=I2C_OUT_DESC_MASK[2] I3=$false O=$abc$60421$n1002
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=I2C.received_byte[3] I1=$abc$60421$n1004 I2=I2C.is_read I3=$false O=$procmux$19212_Y[3]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=I2C_TX_REPORT[3] I1=I2C_HID_DESC.VAL[3] I2=I2C_OUT_DESC_MASK[3] I3=$false O=$abc$60421$n1004
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=I2C.received_byte[4] I1=$abc$60421$n1006 I2=I2C.is_read I3=$false O=$procmux$19212_Y[4]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=I2C_TX_REPORT[4] I1=I2C_HID_DESC.VAL[4] I2=I2C_OUT_DESC_MASK[4] I3=$false O=$abc$60421$n1006
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=I2C.received_byte[5] I1=$abc$60421$n1008_1 I2=I2C.is_read I3=$false O=$procmux$19212_Y[5]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=I2C_TX_REPORT[5] I1=I2C_HID_DESC.VAL[5] I2=I2C_OUT_DESC_MASK[5] I3=$false O=$abc$60421$n1008_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=I2C.received_byte[6] I1=$abc$60421$n1010 I2=I2C.is_read I3=$false O=$procmux$19212_Y[6]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=I2C_TX_REPORT[6] I1=I2C_HID_DESC.VAL[6] I2=I2C_OUT_DESC_MASK[6] I3=$false O=$abc$60421$n1010
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=I2C.received_byte[7] I1=$abc$60421$n1012 I2=I2C.is_read I3=$false O=$procmux$19212_Y[7]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=I2C_TX_REPORT[7] I1=I2C_HID_DESC.VAL[7] I2=I2C_OUT_DESC_MASK[7] I3=$false O=$abc$60421$n1012
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$0\uart_double_ff[0:0] I1=$auto$rtlil.cc:1692:NotGate$60252 I2=$abc$60421$n627 I3=$false O=$2\UART_WR[0:0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11111011
.gate SB_LUT4 I0=$abc$60421$n1015 I1=$2\INT[0:0] I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1011
.gate SB_LUT4 I0=$abc$60421$n1020_1 I1=$abc$60421$n1016 I2=I2C_OUTPUT_TYPE[0] I3=$abc$60421$n671_1 O=$abc$60421$n1015
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0101010111111100
.gate SB_LUT4 I0=$abc$60421$n640 I1=$abc$60421$n1017 I2=$abc$60421$n1019 I3=I2C_INPUT_DATA[3][0] O=$abc$60421$n1016
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000010000000
.gate SB_LUT4 I0=$abc$60421$n651 I1=$abc$60421$n1018 I2=$false I3=$false O=$abc$60421$n1017
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$60421$n665_1 I1=$abc$60421$n668_1 I2=I2C_INPUT_LEN[0] I3=I2C_INPUT_LEN[1] O=$abc$60421$n1018
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000100000000000
.gate SB_LUT4 I0=$abc$60421$n649 I1=I2C_INPUT_DATA[2][5] I2=I2C_INPUT_DATA[2][4] I3=I2C_INPUT_DATA[3][1] O=$abc$60421$n1019
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0010000000000000
.gate SB_LUT4 I0=$abc$60421$n1024 I1=$abc$60421$n1021 I2=I2C_OUTPUT_TYPE[0] I3=$abc$60421$n1023 O=$abc$60421$n1020_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000010101011
.gate SB_LUT4 I0=$abc$60421$n982 I1=$abc$60421$n1022 I2=I2C_INPUT_DATA[0][0] I3=I2C_INPUT_DATA[0][1] O=$abc$60421$n1021
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=I2C_INPUT_DATA[0][2] I1=I2C_INPUT_DATA[0][3] I2=$false I3=$false O=$abc$60421$n1022
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$60421$n982 I1=$abc$60421$n1022 I2=I2C_INPUT_DATA[0][0] I3=I2C_INPUT_DATA[0][1] O=$abc$60421$n1023
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000010000000
.gate SB_LUT4 I0=$abc$60421$n982 I1=$abc$60421$n1022 I2=I2C_INPUT_DATA[0][0] I3=I2C_INPUT_DATA[0][1] O=$abc$60421$n1024
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000100000000000
.gate SB_LUT4 I0=$abc$60421$n1026 I1=$2\INT[0:0] I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0111
.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=$abc$60421$n671_1 I2=$abc$60421$n1027 I3=$abc$60421$n1016 O=$abc$60421$n1026
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000001101
.gate SB_LUT4 I0=I2C_OUTPUT_TYPE[1] I1=$abc$60421$n1028 I2=$abc$60421$n671_1 I3=$abc$60421$n1023 O=$abc$60421$n1027
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000010110000
.gate SB_LUT4 I0=$abc$60421$n1021 I1=$abc$60421$n1024 I2=$false I3=$false O=$abc$60421$n1028
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$60421$n1030 I1=$2\INT[0:0] I2=$false I3=$false O=$2\I2C_OUTPUT_TYPE[2:0][2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$60421$n671_1 I1=$abc$60421$n1031 I2=$abc$60421$n1016 I3=I2C_OUTPUT_TYPE[2] O=$abc$60421$n1030
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000110100000000
.gate SB_LUT4 I0=$abc$60421$n1028 I1=$abc$60421$n1023 I2=$false I3=$false O=$abc$60421$n1031
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0010
.gate SB_LUT4 I0=$abc$60421$n1026 I1=$abc$60421$n1030 I2=$abc$60421$n1015 I3=$2\INT[0:0] O=$2\I2C_OUT_DESC_MASK[7:0][7]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0010000100000000
.gate SB_LUT4 I0=$techmap\I2C.$procmux$12628_Y I1=I2C.i2c_bit_counter[2] I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$22832.B_buf[2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$techmap\I2C.$procmux$12628_Y I1=I2C.i2c_bit_counter[3] I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$22832.BB[3]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0010
.gate SB_LUT4 I0=$abc$60421$n1047 I1=$abc$60421$n1044 I2=$abc$60421$n1036 I3=$abc$60421$n1043 O=$techmap\I2C.$procmux$13499_Y
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111111111111000
.gate SB_LUT4 I0=$abc$60421$n1042 I1=$abc$60421$n1041 I2=$abc$60421$n690 I3=$abc$60421$n1037 O=$abc$60421$n1036
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010101010001010
.gate SB_LUT4 I0=$abc$60421$n1040 I1=$abc$60421$n1038 I2=$abc$60421$n686 I3=$auto$simplemap.cc:250:simplemap_eqne$49134[4] O=$abc$60421$n1037
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0011000001010000
.gate SB_LUT4 I0=$abc$60421$n1039 I1=$abc$60421$n689 I2=$false I3=$false O=$abc$60421$n1038
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$techmap\I2C.$procmux$12628_Y I1=I2C.is_ack I2=$false I3=$false O=$abc$60421$n1039
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C.SCL_LAST I1=I2C.FLT_SCL.out I2=$false I3=$false O=$abc$60421$n1040
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0010
.gate SB_LUT4 I0=$abc$60421$n1039 I1=$abc$60421$n1040 I2=$auto$simplemap.cc:250:simplemap_eqne$49134[4] I3=$abc$60421$n686 O=$abc$60421$n1041
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000001111111
.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=I2C.SDA_LAST I3=I2C.wr O=$abc$60421$n1042
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1101011100000000
.gate SB_LUT4 I0=I2C.FLT_SDA.out I1=$abc$60421$n1046 I2=$abc$60421$n1044 I3=$abc$60421$n1045 O=$abc$60421$n1043
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1101000000000000
.gate SB_LUT4 I0=$abc$60421$n1038 I1=$auto$simplemap.cc:250:simplemap_eqne$49134[4] I2=$false I3=$false O=$abc$60421$n1044
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$60421$n686 I1=$abc$60421$n690 I2=$false I3=$false O=$abc$60421$n1045
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C.FLT_SCL.out I1=I2C.FLT_SDA.out I2=I2C.SDA_LAST I3=I2C.SDA_DIR O=$abc$60421$n1046
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1101011100000000
.gate SB_LUT4 I0=$abc$60421$n686 I1=$abc$60421$n690 I2=$auto$alumacc.cc:484:replace_alu$22885[7] I3=$false O=$abc$60421$n1047
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000100
.gate SB_LUT4 I0=I2C.is_ack I1=$abc$60421$n1049 I2=$abc$60421$n690 I3=$false O=$techmap\I2C.$procmux$13453_Y
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=$abc$60421$n1058 I1=$abc$60421$n1050 I2=$abc$60421$n686 I3=$false O=$abc$60421$n1049
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10101100
.gate SB_LUT4 I0=$abc$60421$n1040 I1=$abc$60421$n1039 I2=$abc$60421$n1051 I3=$auto$simplemap.cc:250:simplemap_eqne$49134[4] O=$abc$60421$n1050
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000101100110011
.gate SB_LUT4 I0=$abc$60421$n1056 I1=$abc$60421$n1052 I2=$abc$60421$n1055 I3=$false O=$abc$60421$n1051
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11100000
.gate SB_LUT4 I0=$abc$60421$n921 I1=$abc$60421$n1053_1 I2=$techmap\I2C.$procmux$12628_Y I3=$false O=$abc$60421$n1052
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=$abc$60421$n1054 I1=I2C.byte_counter[3] I2=I2C.byte_counter[2] I3=$false O=$abc$60421$n1053_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000010
.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[0] I2=$false I3=$false O=$abc$60421$n1054
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$60421$n1039 I1=$abc$60421$n1040 I2=$false I3=$false O=$abc$60421$n1055
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$60421$n1057 I1=I2C.received_byte[3] I2=I2C.received_byte[5] I3=I2C.received_byte[6] O=$abc$60421$n1056
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=I2C.received_byte[1] I1=I2C.received_byte[2] I2=I2C.received_byte[4] I3=I2C.received_byte[7] O=$abc$60421$n1057
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000001
.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$49134[4] I1=$abc$60421$n1039 I2=$abc$60421$n1040 I3=$false O=$abc$60421$n1058
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=$auto$alumacc.cc:484:replace_alu$22890[0] I1=$abc$60421$n1062 I2=$techmap\I2C.$add$i2c_slave.v:156$223_Y[0] I3=$abc$60421$n1060 O=$techmap\I2C.$procmux$13407_Y[0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010101011111100
.gate SB_LUT4 I0=$abc$60421$n1061 I1=$abc$60421$n690 I2=$auto$simplemap.cc:250:simplemap_eqne$49134[4] I3=$false O=$abc$60421$n1060
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10111111
.gate SB_LUT4 I0=$abc$60421$n1055 I1=$abc$60421$n1038 I2=$abc$60421$n686 I3=$false O=$abc$60421$n1061
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00110101
.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$22889[6] I1=$abc$60421$n1063 I2=$false I3=$false O=$abc$60421$n1062
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$60421$n1064 I1=I2C.byte_counter[4] I2=I2C.byte_counter[5] I3=I2C.byte_counter[7] O=$abc$60421$n1063
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[3] I2=I2C.byte_counter[2] I3=I2C.byte_counter[0] O=$abc$60421$n1064
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$abc$60421$n1060 I1=$abc$60421$n1062 I2=$auto$alumacc.cc:484:replace_alu$22890[0] I3=$auto$alumacc.cc:483:replace_alu$22889[1] O=$techmap\I2C.$procmux$13407_Y[1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1110111101010100
.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$22889[2] I1=$abc$60421$n1062 I2=$techmap\I2C.$add$i2c_slave.v:156$223_Y[2] I3=$abc$60421$n1060 O=$techmap\I2C.$procmux$13407_Y[2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010101011111100
.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$22889[3] I1=$abc$60421$n1062 I2=$techmap\I2C.$add$i2c_slave.v:156$223_Y[3] I3=$abc$60421$n1060 O=$techmap\I2C.$procmux$13407_Y[3]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010101011111100
.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$22889[4] I1=$abc$60421$n1062 I2=$techmap\I2C.$add$i2c_slave.v:156$223_Y[4] I3=$abc$60421$n1060 O=$techmap\I2C.$procmux$13407_Y[4]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010101011111100
.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$22889[5] I1=$abc$60421$n1062 I2=$techmap\I2C.$add$i2c_slave.v:156$223_Y[5] I3=$abc$60421$n1060 O=$techmap\I2C.$procmux$13407_Y[5]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010101011111100
.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$22889[6] I1=$abc$60421$n1062 I2=$techmap\I2C.$add$i2c_slave.v:156$223_Y[6] I3=$abc$60421$n1060 O=$techmap\I2C.$procmux$13407_Y[6]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010101011111100
.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$22889[7] I1=$abc$60421$n1062 I2=$techmap\I2C.$add$i2c_slave.v:156$223_Y[7] I3=$abc$60421$n1060 O=$techmap\I2C.$procmux$13407_Y[7]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010101011111100
.gate SB_LUT4 I0=$abc$60421$n1074 I1=$techmap\I2C.$sub$i2c_slave.v:142$207_Y[0] I2=$techmap$auto$alumacc.cc:470:replace_alu$22891.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$27651_Y[0] I3=$abc$60421$n1073 O=$techmap\I2C.$procmux$13315_Y[0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111100010001000
.gate SB_LUT4 I0=$abc$60421$n688 I1=$abc$60421$n1041 I2=$abc$60421$n690 I3=$abc$60421$n1037 O=$abc$60421$n1073
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111111101000101
.gate SB_LUT4 I0=$abc$60421$n1075_1 I1=$abc$60421$n690 I2=$auto$simplemap.cc:250:simplemap_eqne$49134[4] I3=$false O=$abc$60421$n1074
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000100
.gate SB_LUT4 I0=$abc$60421$n686 I1=I2C.SCL_LAST I2=I2C.FLT_SCL.out I3=$false O=$abc$60421$n1075_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11100111
.gate SB_LUT4 I0=$abc$60421$n1074 I1=$abc$60421$n691 I2=$abc$60421$n1073 I3=$auto$alumacc.cc:470:replace_alu$22832.B_buf[1] O=$techmap\I2C.$procmux$13315_Y[1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111001000100010
.gate SB_LUT4 I0=$abc$60421$n1074 I1=$techmap\I2C.$sub$i2c_slave.v:142$207_Y[2] I2=$auto$alumacc.cc:470:replace_alu$22832.B_buf[2] I3=$abc$60421$n1073 O=$techmap\I2C.$procmux$13315_Y[2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111100010001000
.gate SB_LUT4 I0=$abc$60421$n1074 I1=$auto$simplemap.cc:250:simplemap_eqne$28997[3] I2=$auto$alumacc.cc:470:replace_alu$22832.BB[3] I3=$abc$60421$n1073 O=$techmap\I2C.$procmux$13315_Y[3]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000110111011101
.gate SB_LUT4 I0=$abc$60421$n686 I1=$abc$60421$n1052 I2=$abc$60421$n1080 I3=$false O=$techmap\I2C.$procmux$13269_Y
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10111010
.gate SB_LUT4 I0=$abc$60421$n1055 I1=$abc$60421$n690 I2=$auto$simplemap.cc:250:simplemap_eqne$49134[4] I3=I2C.received_byte[0] O=$abc$60421$n1080
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$abc$60421$n1083 I1=$abc$60421$n1082 I2=$abc$60421$n686 I3=$abc$60421$n690 O=$techmap\I2C.$procmux$13223_Y
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0101001100000000
.gate SB_LUT4 I0=$abc$60421$n1051 I1=$abc$60421$n1055 I2=$auto$simplemap.cc:250:simplemap_eqne$49134[4] I3=$false O=$abc$60421$n1082
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=$abc$60421$n1044 I1=$abc$60421$n1046 I2=I2C.FLT_SDA.out I3=$false O=$abc$60421$n1083
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00100000
.gate SB_LUT4 I0=$abc$60421$n1046 I1=$abc$60421$n1085 I2=$abc$60421$n690 I3=$false O=$techmap\I2C.$procmux$13177_Y
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00111010
.gate SB_LUT4 I0=$abc$60421$n1094 I1=$abc$60421$n1086 I2=$abc$60421$n686 I3=$false O=$abc$60421$n1085
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$60421$n1055 I1=$abc$60421$n1046 I2=$abc$60421$n1087 I3=$auto$simplemap.cc:250:simplemap_eqne$49134[4] O=$abc$60421$n1086
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1011101111110000
.gate SB_LUT4 I0=$abc$60421$n1046 I1=$abc$60421$n1091 I2=$abc$60421$n1088 I3=$abc$60421$n1040 O=$abc$60421$n1087
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111110001010101
.gate SB_LUT4 I0=$abc$60421$n1090 I1=$abc$60421$n1089_1 I2=$abc$60421$n691 I3=$techmap\I2C.$sub$i2c_slave.v:142$207_Y[0] O=$abc$60421$n1088
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000110101
.gate SB_LUT4 I0=$abc$60421$n1006 I1=$abc$60421$n998 I2=$techmap\I2C.$sub$i2c_slave.v:142$207_Y[2] I3=$false O=$abc$60421$n1089_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=$abc$60421$n1010 I1=$abc$60421$n1002 I2=$techmap\I2C.$sub$i2c_slave.v:142$207_Y[2] I3=$false O=$abc$60421$n1090
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=$abc$60421$n1093 I1=$abc$60421$n1092 I2=$abc$60421$n691 I3=$techmap\I2C.$sub$i2c_slave.v:142$207_Y[0] O=$abc$60421$n1091
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0011010100000000
.gate SB_LUT4 I0=$abc$60421$n1008_1 I1=$abc$60421$n1000 I2=$techmap\I2C.$sub$i2c_slave.v:142$207_Y[2] I3=$false O=$abc$60421$n1092
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=$abc$60421$n1012 I1=$abc$60421$n1004 I2=$techmap\I2C.$sub$i2c_slave.v:142$207_Y[2] I3=$false O=$abc$60421$n1093
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=$abc$60421$n1040 I1=$abc$60421$n1051 I2=$abc$60421$n1046 I3=$auto$simplemap.cc:250:simplemap_eqne$49134[4] O=$abc$60421$n1094
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0010001100001111
.gate SB_LUT4 I0=$abc$60421$n1101 I1=$abc$60421$n1096 I2=$auto$alumacc.cc:484:replace_alu$22813[3] I3=$techmap\UART.$sub$uart.v:38$347_Y[0] O=$techmap\UART.$procmux$878_Y
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111110011111010
.gate SB_LUT4 I0=$abc$60421$n1100 I1=$abc$60421$n1099 I2=$abc$60421$n1097 I3=$false O=$abc$60421$n1096
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=$auto$alumacc.cc:470:replace_alu$22811.BB[1] I1=$auto$alumacc.cc:470:replace_alu$22811.B_buf[0] I2=$false I3=$false O=$abc$60421$n1097
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1001
.gate SB_LUT4 I0=UART.tx_bit_counter[0] I1=UART.tx_bit_counter[1] I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$22811.BB[1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0110
.gate SB_LUT4 I0=UART_TX_DATA[5] I1=UART_TX_DATA[1] I2=$techmap\UART.$sub$uart.v:38$347_Y[2] I3=$false O=$abc$60421$n1099
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=UART_TX_DATA[7] I1=UART_TX_DATA[3] I2=$techmap\UART.$sub$uart.v:38$347_Y[2] I3=$false O=$abc$60421$n1100
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=$abc$60421$n1103 I1=$abc$60421$n1102 I2=$abc$60421$n1097 I3=$false O=$abc$60421$n1101
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=UART_TX_DATA[4] I1=UART_TX_DATA[0] I2=$techmap\UART.$sub$uart.v:38$347_Y[2] I3=$false O=$abc$60421$n1102
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=UART_TX_DATA[6] I1=UART_TX_DATA[2] I2=$techmap\UART.$sub$uart.v:38$347_Y[2] I3=$false O=$abc$60421$n1103
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=$abc$60421$n707 I1=UART.tx_activity I2=$techmap\UART.$sub$uart.v:30$342_Y[0] I3=$false O=$techmap\UART.$procmux$725_Y[0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11111011
.gate SB_LUT4 I0=UART.tx_activity I1=UART.tx_clk_counter[0] I2=UART.tx_clk_counter[1] I3=$false O=$techmap\UART.$procmux$725_Y[1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000010
.gate SB_LUT4 I0=$abc$60421$n707 I1=UART.tx_activity I2=$techmap\UART.$sub$uart.v:30$342_Y[2] I3=$false O=$techmap\UART.$procmux$725_Y[2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11111011
.gate SB_LUT4 I0=$abc$60421$n707 I1=UART.tx_activity I2=$techmap\UART.$sub$uart.v:30$342_Y[3] I3=$false O=$techmap\UART.$procmux$725_Y[3]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11111011
.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$53186[5] I1=$auto$alumacc.cc:470:replace_alu$22821.C[1] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$4894_Y[0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$53186[5] I1=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[1] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$4894_Y[1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$53186[5] I1=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[2] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$4894_Y[2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$53186[5] I1=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[3] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$4894_Y[3]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$53186[5] I1=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[4] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$4894_Y[4]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$53186[5] I1=$auto$alumacc.cc:470:replace_alu$22821.AA[5] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$4894_Y[5]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$53186[5] I1=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[6] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$4894_Y[6]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$53186[5] I1=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[7] I2=$false I3=$false O=$techmap\KEYBOARD.$procmux$4894_Y[7]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=KEYBOARD.report[0] I1=$auto$simplemap.cc:250:simplemap_eqne$53186[5] I2=$abc$60421$n614 I3=$false O=$techmap\KEYBOARD.$procmux$3961_Y[0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.report[1] I1=$auto$simplemap.cc:250:simplemap_eqne$53186[5] I2=$abc$60421$n1118_1 I3=$false O=$techmap\KEYBOARD.$procmux$3961_Y[1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$60421$n719 I1=$abc$60421$n729 I2=$abc$60421$n739 I3=$false O=$abc$60421$n1118_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00100000
.gate SB_LUT4 I0=KEYBOARD.report[2] I1=$auto$simplemap.cc:250:simplemap_eqne$53186[5] I2=$abc$60421$n1120 I3=$false O=$techmap\KEYBOARD.$procmux$3961_Y[2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$60421$n719 I1=$abc$60421$n729 I2=$abc$60421$n739 I3=$false O=$abc$60421$n1120
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01000000
.gate SB_LUT4 I0=KEYBOARD.report[3] I1=$auto$simplemap.cc:250:simplemap_eqne$53186[5] I2=$abc$60421$n1122 I3=$false O=$techmap\KEYBOARD.$procmux$3961_Y[3]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$60421$n719 I1=$abc$60421$n729 I2=$abc$60421$n739 I3=$false O=$abc$60421$n1122
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$auto$simplemap.cc:250:simplemap_eqne$53186[5] I1=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[3] I2=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[2] I3=KEYBOARD.report[4] O=$techmap\KEYBOARD.$procmux$3961_Y[4]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1011111110000000
.gate SB_LUT4 I0=KEYBOARD.report[5] I1=$auto$simplemap.cc:250:simplemap_eqne$53186[5] I2=$abc$60421$n795 I3=$false O=$techmap\KEYBOARD.$procmux$3961_Y[5]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.report[6] I1=$auto$simplemap.cc:250:simplemap_eqne$53186[5] I2=$abc$60421$n724 I3=$false O=$techmap\KEYBOARD.$procmux$3961_Y[6]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.report[7] I1=$auto$simplemap.cc:250:simplemap_eqne$53186[5] I2=$abc$60421$n607 I3=$false O=$techmap\KEYBOARD.$procmux$3961_Y[7]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[3] I1=KEYBOARD.COLS_SHADOW[2] I2=$abc$60421$n722 I3=$false O=$techmap\KEYBOARD.$procmux$3661_Y
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=KEYBOARD.COLS_SHADOW[1] I1=KEYBOARD.COLS_SHADOW[0] I2=$abc$60421$n582 I3=$false O=$techmap\KEYBOARD.$procmux$3193_Y
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11001010
.gate SB_LUT4 I0=$abc$60421$n1168_1 I1=$abc$60421$n1130 I2=$abc$60421$n1177 I3=$false O=$techmap\I2C_HID_DESC.$procmux$468_Y[0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000111
.gate SB_LUT4 I0=$abc$60421$n1131 I1=$abc$60421$n1149 I2=$abc$60421$n1159 I3=$abc$60421$n1163 O=$abc$60421$n1130
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$abc$60421$n1132 I1=$abc$60421$n1141 I2=$abc$60421$n923 I3=$abc$60421$n1148 O=$abc$60421$n1131
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000001000
.gate SB_LUT4 I0=$abc$60421$n1133 I1=$abc$60421$n1137 I2=$false I3=$false O=$abc$60421$n1132
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=$abc$60421$n1134 I1=$abc$60421$n1136 I2=$false I3=$false O=$abc$60421$n1133
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$60421$n1135_1 I1=I2C.byte_counter[5] I2=$false I3=$false O=$abc$60421$n1134
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0010
.gate SB_LUT4 I0=I2C.byte_counter[4] I1=I2C.byte_counter[6] I2=I2C.byte_counter[7] I3=$false O=$abc$60421$n1135_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000010
.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[3] I2=I2C.byte_counter[2] I3=I2C.byte_counter[0] O=$abc$60421$n1136
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000100000
.gate SB_LUT4 I0=$abc$60421$n1139 I1=$abc$60421$n1140 I2=$abc$60421$n1138 I3=$abc$60421$n1134 O=$abc$60421$n1137
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=$abc$60421$n1054 I1=I2C.byte_counter[3] I2=I2C.byte_counter[2] I3=$false O=$abc$60421$n1138
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$60421$n1135_1 I1=I2C.byte_counter[5] I2=$false I3=$false O=$abc$60421$n1139
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[3] I2=I2C.byte_counter[2] I3=I2C.byte_counter[0] O=$abc$60421$n1140
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000010000000
.gate SB_LUT4 I0=$abc$60421$n1146 I1=$abc$60421$n1142 I2=$auto$rtlil.cc:1692:NotGate$60416 I3=$abc$60421$n1145 O=$abc$60421$n1141
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000001101
.gate SB_LUT4 I0=$abc$60421$n1143 I1=$abc$60421$n1144 I2=$false I3=$false O=$abc$60421$n1142
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0100
.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[3] I2=I2C.byte_counter[2] I3=I2C.byte_counter[0] O=$abc$60421$n1143
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000100000000
.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[3] I2=I2C.byte_counter[2] I3=I2C.byte_counter[0] O=$abc$60421$n1144
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1110011111111111
.gate SB_LUT4 I0=$abc$60421$n1147_1 I1=$abc$60421$n1064 I2=$abc$60421$n1146 I3=$false O=$abc$60421$n1145
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11100000
.gate SB_LUT4 I0=I2C.byte_counter[4] I1=I2C.byte_counter[5] I2=I2C.byte_counter[6] I3=I2C.byte_counter[7] O=$abc$60421$n1146
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000100
.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[3] I2=I2C.byte_counter[2] I3=I2C.byte_counter[0] O=$abc$60421$n1147_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0100000000000000
.gate SB_LUT4 I0=$abc$60421$n921 I1=$abc$60421$n1147_1 I2=$false I3=$false O=$abc$60421$n1148
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$60421$n1158 I1=$abc$60421$n1134 I2=$abc$60421$n1150 I3=$abc$60421$n1154 O=$abc$60421$n1149
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0111000000000000
.gate SB_LUT4 I0=$abc$60421$n1152 I1=$abc$60421$n1146 I2=$abc$60421$n1151 I3=$abc$60421$n1153 O=$abc$60421$n1150
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0111000001110111
.gate SB_LUT4 I0=$abc$60421$n1139 I1=$abc$60421$n921 I2=$false I3=$false O=$abc$60421$n1151
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$60421$n1054 I1=I2C.byte_counter[3] I2=I2C.byte_counter[2] I3=$false O=$abc$60421$n1152
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00100000
.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[3] I2=I2C.byte_counter[2] I3=I2C.byte_counter[0] O=$abc$60421$n1153
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000001000
.gate SB_LUT4 I0=$abc$60421$n1157 I1=$abc$60421$n1134 I2=$abc$60421$n1155 I3=$false O=$abc$60421$n1154
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00001011
.gate SB_LUT4 I0=I2C.byte_counter[0] I1=I2C.byte_counter[1] I2=$abc$60421$n1156 I3=$false O=$abc$60421$n1155
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11010000
.gate SB_LUT4 I0=$abc$60421$n921 I1=I2C.byte_counter[3] I2=I2C.byte_counter[2] I3=$false O=$abc$60421$n1156
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00100000
.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[3] I2=I2C.byte_counter[2] I3=I2C.byte_counter[0] O=$abc$60421$n1157
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1011110011111111
.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[3] I2=I2C.byte_counter[2] I3=I2C.byte_counter[0] O=$abc$60421$n1158
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000010000000000
.gate SB_LUT4 I0=$abc$60421$n1160 I1=$abc$60421$n1161_1 I2=$false I3=$false O=$abc$60421$n1159
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0010
.gate SB_LUT4 I0=$abc$60421$n1146 I1=$abc$60421$n1136 I2=$abc$60421$n1151 I3=$abc$60421$n1138 O=$abc$60421$n1160
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0111000001110111
.gate SB_LUT4 I0=$abc$60421$n1147_1 I1=$abc$60421$n1162 I2=$abc$60421$n1139 I3=$false O=$abc$60421$n1161_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11100000
.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[3] I2=I2C.byte_counter[2] I3=I2C.byte_counter[0] O=$abc$60421$n1162
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0010000000000000
.gate SB_LUT4 I0=$abc$60421$n1146 I1=$abc$60421$n1167 I2=$abc$60421$n1140 I3=$abc$60421$n1164 O=$abc$60421$n1163
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0101011100000000
.gate SB_LUT4 I0=$abc$60421$n1165 I1=$abc$60421$n1166 I2=$false I3=$false O=$abc$60421$n1164
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$60421$n1146 I1=$abc$60421$n1153 I2=$abc$60421$n1053_1 I3=$abc$60421$n1139 O=$abc$60421$n1165
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=$abc$60421$n1152 I1=$abc$60421$n1167 I2=$abc$60421$n1140 I3=$abc$60421$n1134 O=$abc$60421$n1166
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000111111111
.gate SB_LUT4 I0=$abc$60421$n1054 I1=I2C.byte_counter[3] I2=I2C.byte_counter[2] I3=$false O=$abc$60421$n1167
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00001000
.gate SB_LUT4 I0=$abc$60421$n1169 I1=$abc$60421$n1173 I2=$abc$60421$n1174 I3=$abc$60421$n1175 O=$abc$60421$n1168_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$abc$60421$n1152 I1=$abc$60421$n1139 I2=$abc$60421$n1172 I3=$abc$60421$n1170 O=$abc$60421$n1169
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000011100000000
.gate SB_LUT4 I0=$abc$60421$n1146 I1=$abc$60421$n1138 I2=$abc$60421$n1053_1 I3=$abc$60421$n1171 O=$abc$60421$n1170
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000001010111
.gate SB_LUT4 I0=$abc$60421$n1153 I1=I2C.byte_counter[5] I2=$abc$60421$n922_1 I3=$abc$60421$n1135_1 O=$abc$60421$n1171
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111001000000000
.gate SB_LUT4 I0=$abc$60421$n921 I1=$abc$60421$n1140 I2=$false I3=$false O=$abc$60421$n1172
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$60421$n920 I1=$abc$60421$n1167 I2=$abc$60421$n1158 I3=$abc$60421$n1151 O=$abc$60421$n1173
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111111100000001
.gate SB_LUT4 I0=$abc$60421$n1139 I1=$abc$60421$n1136 I2=$abc$60421$n1053_1 I3=$abc$60421$n1134 O=$abc$60421$n1174
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=$abc$60421$n1176 I1=$abc$60421$n1146 I2=$abc$60421$n1064 I3=$abc$60421$n1134 O=$abc$60421$n1175
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000101110111011
.gate SB_LUT4 I0=$abc$60421$n922_1 I1=$abc$60421$n1162 I2=$false I3=$false O=$abc$60421$n1176
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0001
.gate SB_LUT4 I0=$abc$60421$n1182_1 I1=$abc$60421$n1134 I2=$abc$60421$n1178 I3=$abc$60421$n1181 O=$abc$60421$n1177
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1011000000000000
.gate SB_LUT4 I0=$abc$60421$n1179 I1=$abc$60421$n1180 I2=$auto$rtlil.cc:1692:NotGate$60416 I3=$false O=$abc$60421$n1178
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00010000
.gate SB_LUT4 I0=$abc$60421$n1156 I1=I2C.byte_counter[1] I2=I2C.byte_counter[0] I3=$false O=$abc$60421$n1179
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00100000
.gate SB_LUT4 I0=$abc$60421$n921 I1=$abc$60421$n1136 I2=$false I3=$false O=$abc$60421$n1180
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$60421$n921 I1=$abc$60421$n1153 I2=$abc$60421$n1053_1 I3=$abc$60421$n1134 O=$abc$60421$n1181
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[2] I2=I2C.byte_counter[0] I3=I2C.byte_counter[3] O=$abc$60421$n1182_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1100111011110101
.gate SB_LUT4 I0=$abc$60421$n1186 I1=$abc$60421$n1184 I2=$abc$60421$n1181 I3=$abc$60421$n1190 O=$techmap\I2C_HID_DESC.$procmux$468_Y[1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0001010101010101
.gate SB_LUT4 I0=$abc$60421$n1152 I1=$abc$60421$n1134 I2=$abc$60421$n1185 I3=$false O=$abc$60421$n1184
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=$abc$60421$n1133 I1=$abc$60421$n920 I2=$abc$60421$n1180 I3=$false O=$abc$60421$n1185
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000001
.gate SB_LUT4 I0=$abc$60421$n1187 I1=$abc$60421$n1188 I2=$abc$60421$n1189 I3=$abc$60421$n1148 O=$abc$60421$n1186
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000010000000
.gate SB_LUT4 I0=$abc$60421$n1143 I1=$abc$60421$n1139 I2=$abc$60421$n1179 I3=$false O=$abc$60421$n1187
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000111
.gate SB_LUT4 I0=$abc$60421$n1158 I1=$abc$60421$n1151 I2=$auto$rtlil.cc:1692:NotGate$60416 I3=$abc$60421$n1145 O=$abc$60421$n1188
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000001101
.gate SB_LUT4 I0=$abc$60421$n1146 I1=$abc$60421$n1158 I2=$abc$60421$n1162 I3=$abc$60421$n1134 O=$abc$60421$n1189
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000011101110111
.gate SB_LUT4 I0=$abc$60421$n921 I1=$abc$60421$n1167 I2=$abc$60421$n1138 I3=$auto$rtlil.cc:1692:NotGate$60416 O=$abc$60421$n1190
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0101011100000000
.gate SB_LUT4 I0=$abc$60421$n1194_1 I1=$abc$60421$n1184 I2=$abc$60421$n1192 I3=$false O=$techmap\I2C_HID_DESC.$procmux$468_Y[2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000111
.gate SB_LUT4 I0=$abc$60421$n1168_1 I1=$abc$60421$n1193 I2=$abc$60421$n1164 I3=$false O=$abc$60421$n1192
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$60421$n1187 I1=$abc$60421$n1161_1 I2=$abc$60421$n1148 I3=$auto$rtlil.cc:1692:NotGate$60416 O=$abc$60421$n1193
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000000010
.gate SB_LUT4 I0=$abc$60421$n1176 I1=$abc$60421$n1134 I2=$abc$60421$n1172 I3=$auto$rtlil.cc:1692:NotGate$60416 O=$abc$60421$n1194_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000101100000000
.gate SB_LUT4 I0=$abc$60421$n1185 I1=$abc$60421$n1200 I2=$abc$60421$n1196 I3=$auto$rtlil.cc:1692:NotGate$60416 O=$techmap\I2C_HID_DESC.$procmux$468_Y[3]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1101110100001111
.gate SB_LUT4 I0=$abc$60421$n1197 I1=$abc$60421$n1150 I2=$abc$60421$n1160 I3=$false O=$abc$60421$n1196
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$60421$n921 I1=$abc$60421$n1152 I2=$abc$60421$n1198 I3=$false O=$abc$60421$n1197
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=$abc$60421$n1144 I1=$abc$60421$n1134 I2=$abc$60421$n1199 I3=$false O=$abc$60421$n1198
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00001011
.gate SB_LUT4 I0=$abc$60421$n1146 I1=$abc$60421$n1139 I2=$abc$60421$n924 I3=$false O=$abc$60421$n1199
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11100000
.gate SB_LUT4 I0=$abc$60421$n1138 I1=$abc$60421$n921 I2=$false I3=$false O=$abc$60421$n1200
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$60421$n1185 I1=$abc$60421$n1202 I2=$auto$rtlil.cc:1692:NotGate$60416 I3=$false O=$techmap\I2C_HID_DESC.$procmux$468_Y[4]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01010011
.gate SB_LUT4 I0=$abc$60421$n1163 I1=$abc$60421$n1169 I2=$abc$60421$n1150 I3=$false O=$abc$60421$n1202
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$auto$rtlil.cc:1692:NotGate$60416 I1=$abc$60421$n1205_1 I2=$abc$60421$n1204 I3=$abc$60421$n1180 O=$techmap\I2C_HID_DESC.$procmux$468_Y[5]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1111111100010101
.gate SB_LUT4 I0=$abc$60421$n1159 I1=$abc$60421$n1170 I2=$abc$60421$n1174 I3=$false O=$abc$60421$n1204
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$60421$n1147_1 I1=$abc$60421$n1206 I2=$abc$60421$n921 I3=$false O=$abc$60421$n1205_1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00011111
.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[3] I2=I2C.byte_counter[2] I3=I2C.byte_counter[0] O=$abc$60421$n1206
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000100000000000
.gate SB_LUT4 I0=$abc$60421$n1133 I1=$abc$60421$n1208 I2=$abc$60421$n1180 I3=$auto$rtlil.cc:1692:NotGate$60416 O=$techmap\I2C_HID_DESC.$procmux$468_Y[7]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1010101011110011
.gate SB_LUT4 I0=$abc$60421$n1163 I1=$abc$60421$n1132 I2=$abc$60421$n1209 I3=$false O=$abc$60421$n1208
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$60421$n1210 I1=$abc$60421$n1053_1 I2=$abc$60421$n1205_1 I3=$false O=$abc$60421$n1209
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 01110000
.gate SB_LUT4 I0=I2C.byte_counter[4] I1=I2C.byte_counter[5] I2=I2C.byte_counter[6] I3=I2C.byte_counter[7] O=$abc$60421$n1210
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000010000
.gate SB_LUT4 I0=$abc$60421$n894_1 I1=$auto$wreduce.cc:310:run$22796[2] I2=$false I3=$false O=$techmap\I2C.FLT_SDA.$procmux$979_Y[2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1110
.gate SB_LUT4 I0=$abc$60421$n900 I1=$auto$wreduce.cc:310:run$22795[0] I2=$false I3=$false O=$techmap\I2C.FLT_SCL.$procmux$979_Y[0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1110
.gate SB_LUT4 I0=$abc$60421$n1170 I1=$abc$60421$n1161_1 I2=$abc$60421$n1209 I3=$false O=$techmap$techmap\I2C_HID_DESC.$procmux$364.$ternary$/usr/bin/../share/yosys/techmap.v:445$40329_Y[6]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 11011111
.gate SB_LUT4 I0=KEYBOARD.row_time[0] I1=KEYBOARD.row_time[1] I2=$false I3=$false O=$auto$simplemap.cc:309:simplemap_lut$44580[3]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0110
.gate SB_LUT4 I0=$abc$60421$n1216 I1=$abc$60421$n1217 I2=I2C.byte_counter[2] I3=$0$memwr$\I2C_INPUT_DATA$top.v:63$1_ADDR[3:0]$8[3] O=$memory\I2C_INPUT_DATA$wren[8][0][0]$y$23350
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000100000000000
.gate SB_LUT4 I0=$abc$60421$n617 I1=$abc$60421$n1200 I2=I2C.is_read I3=$auto$alumacc.cc:484:replace_alu$22850[7] O=$abc$60421$n1216
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000001000000000
.gate SB_LUT4 I0=I2C.byte_counter[1] I1=$0$memwr$\I2C_INPUT_DATA$top.v:63$1_ADDR[3:0]$8[0] I2=$false I3=$false O=$abc$60421$n1217
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 0010
.gate SB_LUT4 I0=$abc$60421$n1219 I1=I2C.byte_counter[1] I2=$0$memwr$\I2C_INPUT_DATA$top.v:63$1_ADDR[3:0]$8[0] I3=$false O=$auto$dff2dffe.cc:158:make_patterns_logic$44949
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 10000000
.gate SB_LUT4 I0=$abc$60421$n1216 I1=I2C.byte_counter[1] I2=I2C.byte_counter[2] I3=$0$memwr$\I2C_INPUT_DATA$top.v:63$1_ADDR[3:0]$8[3] O=$abc$60421$n1219
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000010000010
.gate SB_LUT4 I0=$abc$60421$n1219 I1=$abc$60421$n1217 I2=$false I3=$false O=$memory\I2C_INPUT_DATA$wren[4][0][0]$y$23322
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:43"
.param LUT_INIT 1000
.gate SB_LUT4 I0=$abc$60421$n1216 I1=$abc$60421$n1222 I2=I2C.byte_counter[1] I3=$0$memwr$\I2C_INPUT_DATA$top.v:63$1_ADDR[3:0]$8[0] O=$auto$simplemap.cc:250:simplemap_eqne$49500
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000100000000000
.gate SB_LUT4 I0=I2C.byte_counter[1] I1=I2C.byte_counter[2] I2=$0$memwr$\I2C_INPUT_DATA$top.v:63$1_ADDR[3:0]$8[3] I3=$false O=$abc$60421$n1222
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:47"
.param LUT_INIT 00000110
.gate SB_LUT4 I0=$abc$60421$n1216 I1=$abc$60421$n1222 I2=I2C.byte_counter[1] I3=$0$memwr$\I2C_INPUT_DATA$top.v:63$1_ADDR[3:0]$8[0] O=$auto$dff2dffe.cc:158:make_patterns_logic$49819
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000001000
.gate SB_LUT4 I0=$abc$60421$n1216 I1=$abc$60421$n1222 I2=I2C.byte_counter[1] I3=$0$memwr$\I2C_INPUT_DATA$top.v:63$1_ADDR[3:0]$8[0] O=$auto$dff2dffe.cc:158:make_patterns_logic$44967
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 1000000000000000
.gate SB_LUT4 I0=$abc$60421$n1216 I1=$abc$60421$n1217 I2=I2C.byte_counter[2] I3=$0$memwr$\I2C_INPUT_DATA$top.v:63$1_ADDR[3:0]$8[3] O=$memory\I2C_INPUT_DATA$wren[0][0][0]$y$23284
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:51"
.param LUT_INIT 0000000000001000
.gate SB_LUT4 I0=UART.tx_activity I1=$false I2=$false I3=$false O=$eq$top.v:152$130_Y
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=RESET I1=$false I2=$false I3=$false O=$0\KBD_FREEZE[0:0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$techmap$auto$alumacc.cc:470:replace_alu$22900.$xor$/usr/bin/../share/yosys/techmap.v:263$28021_Y[1] I1=$false I2=$false I3=$false O=$auto$rtlil.cc:1692:NotGate$60420
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.byte_counter[2] I1=$false I2=$false I3=$false O=$sub$top.v:74$27_Y[2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.byte_counter[1] I1=$false I2=$false I3=$false O=$0$memwr$\I2C_INPUT_DATA$top.v:63$1_ADDR[3:0]$8[1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.byte_counter[0] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22849[0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.byte_counter[7] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22849[7]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.byte_counter[6] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22849[6]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.byte_counter[5] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22849[5]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.byte_counter[4] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22849[4]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C.byte_counter[3] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$22837.BB[3]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$auto$alumacc.cc:470:replace_alu$22832.BB[3] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$22832.B_buf[3]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=KEYBOARD.row_counter[0] I1=$false I2=$false I3=$false O=$techmap$auto$alumacc.cc:470:replace_alu$22900.$xor$/usr/bin/../share/yosys/techmap.v:262$28020_Y[0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$techmap$auto$alumacc.cc:470:replace_alu$22891.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$27651_Y[0] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$22832.C[1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$auto$alumacc.cc:470:replace_alu$22811.BB[1] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$22811.B_buf[1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$auto$alumacc.cc:470:replace_alu$22811.B_buf[0] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$22811.C[1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$auto$alumacc.cc:470:replace_alu$22832.B_buf[1] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$22832.BB[1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$auto$alumacc.cc:470:replace_alu$22832.B_buf[2] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$22832.BB[2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C_INPUT_LEN[1] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22867[1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C_INPUT_LEN[2] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22867[2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C_INPUT_LEN[3] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22867[3]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C_INPUT_LEN[4] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22867[4]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C_INPUT_LEN[5] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22867[5]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C_INPUT_LEN[6] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22867[6]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=I2C_INPUT_LEN[7] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22867[7]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$22889[2] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22884[2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$22889[3] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22884[3]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$22889[4] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22884[4]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$22889[6] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22884[6]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$22889[7] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22884[7]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$22889[1] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:484:replace_alu$22885[1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$auto$alumacc.cc:483:replace_alu$22889[5] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:483:replace_alu$22884[5]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$auto$alumacc.cc:470:replace_alu$22811.B_buf[2] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$22811.BB[2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=$auto$alumacc.cc:470:replace_alu$22811.B_buf[3] I1=$false I2=$false I3=$false O=$auto$alumacc.cc:470:replace_alu$22811.BB[3]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_LUT4 I0=INT I1=$false I2=$false I3=$false O=LED1
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:39"
.param LUT_INIT 01
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$22811.C[1] CO=$auto$alumacc.cc:470:replace_alu$22811.C[2] I0=$false I1=$auto$alumacc.cc:470:replace_alu$22811.BB[1]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$22811.C[2] CO=$auto$alumacc.cc:470:replace_alu$22811.C[3] I0=$false I1=$auto$alumacc.cc:470:replace_alu$22811.BB[2]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$22811.C[3] CO=$auto$alumacc.cc:484:replace_alu$22813[3] I0=$false I1=$auto$alumacc.cc:470:replace_alu$22811.BB[3]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[3] CO=$auto$alumacc.cc:470:replace_alu$22816.C[5] I0=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[4] I1=$true
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$22816.C[5] CO=$auto$alumacc.cc:470:replace_alu$22816.C[6] I0=$auto$alumacc.cc:470:replace_alu$22821.AA[5] I1=$false
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$22816.C[6] CO=$auto$alumacc.cc:470:replace_alu$22816.C[7] I0=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[6] I1=$false
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$22816.C[7] CO=$auto$alumacc.cc:484:replace_alu$22818[7] I0=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[7] I1=$false
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$22821.C[1] CO=$auto$alumacc.cc:470:replace_alu$22821.C[2] I0=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[1] I1=$false
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$22821.C[2] CO=$auto$alumacc.cc:470:replace_alu$22821.C[3] I0=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[2] I1=$false
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$22821.C[3] CO=$auto$alumacc.cc:470:replace_alu$22821.C[4] I0=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[3] I1=$false
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$22821.C[4] CO=$auto$alumacc.cc:470:replace_alu$22821.C[5] I0=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[4] I1=$false
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$22821.C[5] CO=$auto$alumacc.cc:470:replace_alu$22821.C[6] I0=$auto$alumacc.cc:470:replace_alu$22821.AA[5] I1=$true
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$22821.C[6] CO=$auto$alumacc.cc:470:replace_alu$22821.C[7] I0=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[6] I1=$false
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$22821.C[7] CO=$auto$alumacc.cc:484:replace_alu$22823[7] I0=$techmap$auto$alumacc.cc:470:replace_alu$22821.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$32673_Y[7] I1=$false
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$22832.C[1] CO=$auto$alumacc.cc:470:replace_alu$22832.C[2] I0=$false I1=$auto$alumacc.cc:470:replace_alu$22832.BB[1]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$22832.C[2] CO=$auto$alumacc.cc:470:replace_alu$22832.C[3] I0=$false I1=$auto$alumacc.cc:470:replace_alu$22832.BB[2]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$22832.C[3] CO=$auto$simplemap.cc:250:simplemap_eqne$49134[4] I0=$false I1=$auto$alumacc.cc:470:replace_alu$22832.BB[3]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:483:replace_alu$22849[0] CO=$auto$alumacc.cc:470:replace_alu$22837.C[2] I0=$true I1=$0$memwr$\I2C_INPUT_DATA$top.v:63$1_ADDR[3:0]$8[1]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$22837.C[2] CO=$auto$alumacc.cc:470:replace_alu$22837.C[3] I0=$false I1=$sub$top.v:74$27_Y[2]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$22837.C[3] CO=$auto$alumacc.cc:470:replace_alu$22837.C[4] I0=$false I1=$auto$alumacc.cc:470:replace_alu$22837.BB[3]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$22837.C[4] CO=$auto$alumacc.cc:470:replace_alu$22837.C[5] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22849[4]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$22837.C[5] CO=$auto$alumacc.cc:470:replace_alu$22837.C[6] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22849[5]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$22837.C[6] CO=$auto$alumacc.cc:470:replace_alu$22837.C[7] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22849[6]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$22837.C[7] CO=$auto$alumacc.cc:484:replace_alu$22839[7] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22849[7]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:483:replace_alu$22849[0] CO=$auto$alumacc.cc:484:replace_alu$22850[1] I0=$false I1=$0$memwr$\I2C_INPUT_DATA$top.v:63$1_ADDR[3:0]$8[1]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22850[1] CO=$auto$alumacc.cc:484:replace_alu$22850[2] I0=$true I1=$sub$top.v:74$27_Y[2]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22850[2] CO=$auto$alumacc.cc:484:replace_alu$22850[3] I0=$true I1=$auto$alumacc.cc:470:replace_alu$22837.BB[3]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22850[3] CO=$auto$alumacc.cc:484:replace_alu$22850[4] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22849[4]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22850[4] CO=$auto$alumacc.cc:484:replace_alu$22850[5] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22849[5]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22850[5] CO=$auto$alumacc.cc:484:replace_alu$22850[6] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22849[6]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22850[6] CO=$auto$alumacc.cc:484:replace_alu$22850[7] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22849[7]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$sub$top.v:74$27_Y[2] CO=$auto$alumacc.cc:484:replace_alu$22861[3] I0=$true I1=$auto$alumacc.cc:470:replace_alu$22837.BB[3]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22861[3] CO=$auto$alumacc.cc:484:replace_alu$22861[4] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22849[4]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22861[4] CO=$auto$alumacc.cc:484:replace_alu$22861[5] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22849[5]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22861[5] CO=$auto$alumacc.cc:484:replace_alu$22861[6] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22849[6]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22861[6] CO=$auto$alumacc.cc:484:replace_alu$22861[7] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22849[7]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:483:replace_alu$22867[1] CO=$auto$alumacc.cc:484:replace_alu$22868[2] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22867[2]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22868[2] CO=$auto$alumacc.cc:484:replace_alu$22868[3] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22867[3]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22868[3] CO=$auto$alumacc.cc:484:replace_alu$22868[4] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22867[4]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22868[4] CO=$auto$alumacc.cc:484:replace_alu$22868[5] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22867[5]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22868[5] CO=$auto$alumacc.cc:484:replace_alu$22868[6] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22867[6]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22868[6] CO=$auto$alumacc.cc:484:replace_alu$22868[7] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22867[7]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$0\KBD_FREEZE[0:0] I2=rststate[0] I3=$false O=$add$top.v:47$12_Y[0]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$false CO=$auto$alumacc.cc:484:replace_alu$22873[0] I0=$0\KBD_FREEZE[0:0] I1=rststate[0]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=rststate[1] I3=$auto$alumacc.cc:484:replace_alu$22873[0] O=$add$top.v:47$12_Y[1]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22873[0] CO=$auto$alumacc.cc:484:replace_alu$22873[1] I0=$false I1=rststate[1]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=rststate[2] I3=$auto$alumacc.cc:484:replace_alu$22873[1] O=$add$top.v:47$12_Y[2]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22873[1] CO=$auto$alumacc.cc:484:replace_alu$22873[2] I0=$false I1=rststate[2]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=rststate[3] I3=$auto$alumacc.cc:484:replace_alu$22873[2] O=$add$top.v:47$12_Y[3]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=I2C.byte_counter[0] I2=$false I3=$true O=$sub$top.v:60$17_Y[0]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=I2C.byte_counter[0] CO=$auto$alumacc.cc:484:replace_alu$22876[1] I0=I2C.byte_counter[1] I1=$true
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.byte_counter[2] I2=$true I3=$auto$alumacc.cc:484:replace_alu$22876[1] O=$sub$top.v:60$17_Y[2]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22876[1] CO=$auto$alumacc.cc:484:replace_alu$22876[2] I0=I2C.byte_counter[2] I1=$true
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.byte_counter[3] I2=$true I3=$auto$alumacc.cc:484:replace_alu$22876[2] O=$sub$top.v:60$17_Y[3]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22876[2] CO=$auto$alumacc.cc:484:replace_alu$22876[3] I0=I2C.byte_counter[3] I1=$true
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.byte_counter[4] I2=$true I3=$auto$alumacc.cc:484:replace_alu$22876[3] O=$sub$top.v:60$17_Y[4]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22876[3] CO=$auto$alumacc.cc:484:replace_alu$22876[4] I0=I2C.byte_counter[4] I1=$true
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.byte_counter[5] I2=$true I3=$auto$alumacc.cc:484:replace_alu$22876[4] O=$sub$top.v:60$17_Y[5]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22876[4] CO=$auto$alumacc.cc:484:replace_alu$22876[5] I0=I2C.byte_counter[5] I1=$true
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.byte_counter[6] I2=$true I3=$auto$alumacc.cc:484:replace_alu$22876[5] O=$sub$top.v:60$17_Y[6]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22876[5] CO=$auto$alumacc.cc:484:replace_alu$22876[6] I0=I2C.byte_counter[6] I1=$true
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.byte_counter[7] I2=$true I3=$auto$alumacc.cc:484:replace_alu$22876[6] O=$sub$top.v:60$17_Y[7]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=I2C.byte_counter[0] I2=$true I3=$true O=$0$memwr$\I2C_INPUT_DATA$top.v:63$1_ADDR[3:0]$8[0]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=I2C.byte_counter[1] CO=$auto$alumacc.cc:484:replace_alu$22879[2] I0=I2C.byte_counter[2] I1=$true
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.byte_counter[3] I2=$true I3=$auto$alumacc.cc:484:replace_alu$22879[2] O=$0$memwr$\I2C_INPUT_DATA$top.v:63$1_ADDR[3:0]$8[3]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=I2C.byte_counter[0] I2=$true I3=$true O=$sub$top.v:74$27_Y[0]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22885[1] CO=$auto$alumacc.cc:484:replace_alu$22885[2] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22884[2]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22885[2] CO=$auto$alumacc.cc:484:replace_alu$22885[3] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22884[3]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22885[3] CO=$auto$alumacc.cc:484:replace_alu$22885[4] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22884[4]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22885[4] CO=$auto$alumacc.cc:484:replace_alu$22885[5] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22884[5]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22885[5] CO=$auto$alumacc.cc:484:replace_alu$22885[6] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22884[6]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22885[6] CO=$auto$alumacc.cc:484:replace_alu$22885[7] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22884[7]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$true I2=$auto$alumacc.cc:484:replace_alu$22890[0] I3=$false O=$techmap\I2C.$add$i2c_slave.v:156$223_Y[0]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22890[0] CO=$auto$alumacc.cc:484:replace_alu$22890[1] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22889[1]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$22889[2] I3=$auto$alumacc.cc:484:replace_alu$22890[1] O=$techmap\I2C.$add$i2c_slave.v:156$223_Y[2]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22890[1] CO=$auto$alumacc.cc:484:replace_alu$22890[2] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22889[2]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$22889[3] I3=$auto$alumacc.cc:484:replace_alu$22890[2] O=$techmap\I2C.$add$i2c_slave.v:156$223_Y[3]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22890[2] CO=$auto$alumacc.cc:484:replace_alu$22890[3] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22889[3]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$22889[4] I3=$auto$alumacc.cc:484:replace_alu$22890[3] O=$techmap\I2C.$add$i2c_slave.v:156$223_Y[4]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22890[3] CO=$auto$alumacc.cc:484:replace_alu$22890[4] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22889[4]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$22889[5] I3=$auto$alumacc.cc:484:replace_alu$22890[4] O=$techmap\I2C.$add$i2c_slave.v:156$223_Y[5]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22890[4] CO=$auto$alumacc.cc:484:replace_alu$22890[5] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22889[5]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$22889[6] I3=$auto$alumacc.cc:484:replace_alu$22890[5] O=$techmap\I2C.$add$i2c_slave.v:156$223_Y[6]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22890[5] CO=$auto$alumacc.cc:484:replace_alu$22890[6] I0=$false I1=$auto$alumacc.cc:483:replace_alu$22889[6]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:483:replace_alu$22889[7] I3=$auto$alumacc.cc:484:replace_alu$22890[6] O=$techmap\I2C.$add$i2c_slave.v:156$223_Y[7]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$techmap$auto$alumacc.cc:470:replace_alu$22891.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$27651_Y[0] I2=$false I3=$true O=$techmap\I2C.$sub$i2c_slave.v:142$207_Y[0]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$techmap$auto$alumacc.cc:470:replace_alu$22891.$xor$/usr/bin/../share/yosys/ice40/arith_map.v:68$27651_Y[0] CO=$auto$alumacc.cc:484:replace_alu$22893[1] I0=$auto$alumacc.cc:470:replace_alu$22832.B_buf[1] I1=$true
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$auto$alumacc.cc:470:replace_alu$22832.B_buf[2] I2=$true I3=$auto$alumacc.cc:484:replace_alu$22893[1] O=$techmap\I2C.$sub$i2c_slave.v:142$207_Y[2]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22893[1] CO=$auto$alumacc.cc:484:replace_alu$22893[2] I0=$auto$alumacc.cc:470:replace_alu$22832.B_buf[2] I1=$true
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$auto$alumacc.cc:470:replace_alu$22832.B_buf[3] I2=$true I3=$auto$alumacc.cc:484:replace_alu$22893[2] O=$auto$simplemap.cc:250:simplemap_eqne$28997[3]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22893[2] CO=$auto$alumacc.cc:484:replace_alu$22893[30] I0=$auto$alumacc.cc:470:replace_alu$22832.B_buf[3] I1=$true
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$true I3=$auto$alumacc.cc:484:replace_alu$22893[30] O=$auto$simplemap.cc:250:simplemap_eqne$28997[4]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[0] I2=$false I3=$true O=$auto$wreduce.cc:310:run$22795[0]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=I2C.FLT_SCL.counter[0] CO=$auto$alumacc.cc:484:replace_alu$22896[1] I0=I2C.FLT_SCL.counter[1] I1=$true
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.FLT_SCL.counter[2] I2=$true I3=$auto$alumacc.cc:484:replace_alu$22896[1] O=$auto$wreduce.cc:310:run$22795[2]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[0] I2=$false I3=$true O=$auto$wreduce.cc:310:run$22796[0]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=I2C.FLT_SDA.counter[0] CO=$auto$alumacc.cc:484:replace_alu$22899[1] I0=I2C.FLT_SDA.counter[1] I1=$true
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=I2C.FLT_SDA.counter[2] I2=$true I3=$auto$alumacc.cc:484:replace_alu$22899[1] O=$auto$wreduce.cc:310:run$22796[2]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$true I2=KEYBOARD.row_time[0] I3=$false O=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[0]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[10] I3=$auto$alumacc.cc:484:replace_alu$22905[9] O=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[10]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22905[9] CO=$auto$alumacc.cc:484:replace_alu$22905[10] I0=$false I1=KEYBOARD.row_time[10]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[11] I3=$auto$alumacc.cc:484:replace_alu$22905[10] O=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[11]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22905[10] CO=$auto$alumacc.cc:484:replace_alu$22905[11] I0=$false I1=KEYBOARD.row_time[11]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[12] I3=$auto$alumacc.cc:484:replace_alu$22905[11] O=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[12]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22905[11] CO=$auto$alumacc.cc:484:replace_alu$22905[12] I0=$false I1=KEYBOARD.row_time[12]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[13] I3=$auto$alumacc.cc:484:replace_alu$22905[12] O=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[13]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22905[12] CO=$auto$alumacc.cc:484:replace_alu$22905[13] I0=$false I1=KEYBOARD.row_time[13]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[14] I3=$auto$alumacc.cc:484:replace_alu$22905[13] O=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[14]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22905[13] CO=$auto$alumacc.cc:484:replace_alu$22905[14] I0=$false I1=KEYBOARD.row_time[14]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[15] I3=$auto$alumacc.cc:484:replace_alu$22905[14] O=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[15]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=KEYBOARD.row_time[0] CO=$auto$alumacc.cc:484:replace_alu$22905[1] I0=$false I1=KEYBOARD.row_time[1]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[2] I3=$auto$alumacc.cc:484:replace_alu$22905[1] O=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[2]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22905[1] CO=$auto$alumacc.cc:484:replace_alu$22905[2] I0=$false I1=KEYBOARD.row_time[2]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[3] I3=$auto$alumacc.cc:484:replace_alu$22905[2] O=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[3]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22905[2] CO=$auto$alumacc.cc:484:replace_alu$22905[3] I0=$false I1=KEYBOARD.row_time[3]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[4] I3=$auto$alumacc.cc:484:replace_alu$22905[3] O=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[4]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22905[3] CO=$auto$alumacc.cc:484:replace_alu$22905[4] I0=$false I1=KEYBOARD.row_time[4]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[5] I3=$auto$alumacc.cc:484:replace_alu$22905[4] O=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[5]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22905[4] CO=$auto$alumacc.cc:484:replace_alu$22905[5] I0=$false I1=KEYBOARD.row_time[5]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[6] I3=$auto$alumacc.cc:484:replace_alu$22905[5] O=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[6]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22905[5] CO=$auto$alumacc.cc:484:replace_alu$22905[6] I0=$false I1=KEYBOARD.row_time[6]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[7] I3=$auto$alumacc.cc:484:replace_alu$22905[6] O=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[7]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22905[6] CO=$auto$alumacc.cc:484:replace_alu$22905[7] I0=$false I1=KEYBOARD.row_time[7]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[8] I3=$auto$alumacc.cc:484:replace_alu$22905[7] O=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[8]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22905[7] CO=$auto$alumacc.cc:484:replace_alu$22905[8] I0=$false I1=KEYBOARD.row_time[8]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=KEYBOARD.row_time[9] I3=$auto$alumacc.cc:484:replace_alu$22905[8] O=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[9]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22905[8] CO=$auto$alumacc.cc:484:replace_alu$22905[9] I0=$false I1=KEYBOARD.row_time[9]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$false I3=$false O=$auto$simplemap.cc:127:simplemap_reduce$33820[0]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$true I2=$false I3=$false O=$auto$simplemap.cc:250:simplemap_eqne$33831[0]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$true I2=$false I3=$false O=$auto$simplemap.cc:127:simplemap_reduce$33860[0]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[0] I2=$false I3=$true O=$techmap\UART.$sub$uart.v:30$342_Y[0]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=UART.tx_clk_counter[0] CO=$auto$alumacc.cc:484:replace_alu$22917[1] I0=UART.tx_clk_counter[1] I1=$true
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[2] I2=$true I3=$auto$alumacc.cc:484:replace_alu$22917[1] O=$techmap\UART.$sub$uart.v:30$342_Y[2]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22917[1] CO=$auto$alumacc.cc:484:replace_alu$22917[2] I0=UART.tx_clk_counter[2] I1=$true
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=UART.tx_clk_counter[3] I2=$true I3=$auto$alumacc.cc:484:replace_alu$22917[2] O=$techmap\UART.$sub$uart.v:30$342_Y[3]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[0] I2=$false I3=$true O=$auto$alumacc.cc:470:replace_alu$22811.B_buf[0]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=UART.tx_bit_counter[0] CO=$auto$alumacc.cc:484:replace_alu$22920[1] I0=UART.tx_bit_counter[1] I1=$true
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[2] I2=$true I3=$auto$alumacc.cc:484:replace_alu$22920[1] O=$auto$alumacc.cc:470:replace_alu$22811.B_buf[2]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:484:replace_alu$22920[1] CO=$auto$alumacc.cc:484:replace_alu$22920[2] I0=UART.tx_bit_counter[2] I1=$true
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=UART.tx_bit_counter[3] I2=$true I3=$auto$alumacc.cc:484:replace_alu$22920[2] O=$auto$alumacc.cc:470:replace_alu$22811.B_buf[3]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:470:replace_alu$22811.C[1] I3=$true O=$techmap\UART.$sub$uart.v:38$347_Y[0]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_CARRY CI=$auto$alumacc.cc:470:replace_alu$22811.C[1] CO=$auto$alumacc.cc:484:replace_alu$22923[1] I0=$false I1=$auto$alumacc.cc:470:replace_alu$22811.BB[1]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:47"
.gate SB_LUT4 I0=$false I1=$false I2=$auto$alumacc.cc:470:replace_alu$22811.BB[2] I3=$auto$alumacc.cc:484:replace_alu$22923[1] O=$techmap\UART.$sub$uart.v:38$347_Y[2]
.attr src "/usr/bin/../share/yosys/ice40/arith_map.v:53"
.param LUT_INIT 0110100110010110
.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$auto$dff2dffe.cc:158:make_patterns_logic$44949 Q=I2C_INPUT_DATA[5][0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$auto$dff2dffe.cc:158:make_patterns_logic$44949 Q=I2C_INPUT_DATA[5][1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$auto$dff2dffe.cc:158:make_patterns_logic$44949 Q=I2C_INPUT_DATA[5][2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[3] E=$auto$dff2dffe.cc:158:make_patterns_logic$44949 Q=I2C_INPUT_DATA[5][3]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[4] E=$auto$dff2dffe.cc:158:make_patterns_logic$44949 Q=I2C_INPUT_DATA[5][4]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[5] E=$auto$dff2dffe.cc:158:make_patterns_logic$44949 Q=I2C_INPUT_DATA[5][5]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[6] E=$auto$dff2dffe.cc:158:make_patterns_logic$44949 Q=I2C_INPUT_DATA[5][6]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[7] E=$auto$dff2dffe.cc:158:make_patterns_logic$44949 Q=I2C_INPUT_DATA[5][7]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$auto$dff2dffe.cc:158:make_patterns_logic$44967 Q=I2C_INPUT_DATA[1][0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$auto$dff2dffe.cc:158:make_patterns_logic$44967 Q=I2C_INPUT_DATA[1][1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$auto$dff2dffe.cc:158:make_patterns_logic$44967 Q=I2C_INPUT_DATA[1][2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[3] E=$auto$dff2dffe.cc:158:make_patterns_logic$44967 Q=I2C_INPUT_DATA[1][3]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[4] E=$auto$dff2dffe.cc:158:make_patterns_logic$44967 Q=I2C_INPUT_DATA[1][4]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[5] E=$auto$dff2dffe.cc:158:make_patterns_logic$44967 Q=I2C_INPUT_DATA[1][5]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[6] E=$auto$dff2dffe.cc:158:make_patterns_logic$44967 Q=I2C_INPUT_DATA[1][6]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[7] E=$auto$dff2dffe.cc:158:make_patterns_logic$44967 Q=I2C_INPUT_DATA[1][7]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$procmux$16791_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$45135 Q=I2C_TX_REPORT[0] R=$auto$simplemap.cc:256:simplemap_eqne$23468
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$procmux$16791_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$45135 Q=I2C_TX_REPORT[1] R=$auto$simplemap.cc:256:simplemap_eqne$23468
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$procmux$16791_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$45135 Q=I2C_TX_REPORT[2] R=$auto$simplemap.cc:256:simplemap_eqne$23468
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$procmux$16791_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$45135 Q=I2C_TX_REPORT[3] R=$auto$simplemap.cc:256:simplemap_eqne$23468
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$procmux$16791_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$45135 Q=I2C_TX_REPORT[4] R=$auto$simplemap.cc:256:simplemap_eqne$23468
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$procmux$16791_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$45135 Q=I2C_TX_REPORT[5] R=$auto$simplemap.cc:256:simplemap_eqne$23468
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$procmux$16791_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$45135 Q=I2C_TX_REPORT[6] R=$auto$simplemap.cc:256:simplemap_eqne$23468
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$procmux$16791_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$45135 Q=I2C_TX_REPORT[7] R=$auto$simplemap.cc:256:simplemap_eqne$23468
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\UART_WR[0:0] E=$auto$simplemap.cc:127:simplemap_reduce$45588[1] Q=UART_WR R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$procmux$19212_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$45702 Q=UART_TX_DATA[0] S=$auto$rtlil.cc:1692:NotGate$60252
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$procmux$19212_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$45702 Q=UART_TX_DATA[1] S=$auto$rtlil.cc:1692:NotGate$60252
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$procmux$19212_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$45702 Q=UART_TX_DATA[2] S=$auto$rtlil.cc:1692:NotGate$60252
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$procmux$19212_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$45702 Q=UART_TX_DATA[3] S=$auto$rtlil.cc:1692:NotGate$60252
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$procmux$19212_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$45702 Q=UART_TX_DATA[4] S=$auto$rtlil.cc:1692:NotGate$60252
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$procmux$19212_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$45702 Q=UART_TX_DATA[5] S=$auto$rtlil.cc:1692:NotGate$60252
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$procmux$19212_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$45702 Q=UART_TX_DATA[6] S=$auto$rtlil.cc:1692:NotGate$60252
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$procmux$19212_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$45702 Q=UART_TX_DATA[7] S=$auto$rtlil.cc:1692:NotGate$60252
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\INT[0:0] E=$auto$dff2dffe.cc:175:make_patterns_logic$45905 Q=INT R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\KBD_FREEZE[0:0] E=$auto$dff2dffe.cc:175:make_patterns_logic$46096 Q=KBD_FREEZE
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][0] E=$auto$dff2dffe.cc:175:make_patterns_logic$46377 Q=I2C_INPUT_LEN[0] R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][1] E=$auto$dff2dffe.cc:175:make_patterns_logic$46377 Q=I2C_INPUT_LEN[1] R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][2] E=$auto$dff2dffe.cc:175:make_patterns_logic$46377 Q=I2C_INPUT_LEN[2] R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][3] E=$auto$dff2dffe.cc:175:make_patterns_logic$46377 Q=I2C_INPUT_LEN[3] R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][4] E=$auto$dff2dffe.cc:175:make_patterns_logic$46377 Q=I2C_INPUT_LEN[4] R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][5] E=$auto$dff2dffe.cc:175:make_patterns_logic$46377 Q=I2C_INPUT_LEN[5] R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][6] E=$auto$dff2dffe.cc:175:make_patterns_logic$46377 Q=I2C_INPUT_LEN[6] R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_INPUT_LEN[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$46377 Q=I2C_INPUT_LEN[7] R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][0] E=$auto$dff2dffe.cc:175:make_patterns_logic$48057 Q=I2C_OUTPUT_TYPE[0] R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][1] E=$auto$dff2dffe.cc:175:make_patterns_logic$48057 Q=I2C_OUTPUT_TYPE[1] R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUTPUT_TYPE[2:0][2] E=$auto$dff2dffe.cc:175:make_patterns_logic$48057 Q=I2C_OUTPUT_TYPE[2] R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$48057 Q=I2C_OUT_DESC_MASK[0] R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$48057 Q=I2C_OUT_DESC_MASK[1] R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$48057 Q=I2C_OUT_DESC_MASK[2] R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$48057 Q=I2C_OUT_DESC_MASK[3] R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$48057 Q=I2C_OUT_DESC_MASK[4] R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$48057 Q=I2C_OUT_DESC_MASK[5] R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$48057 Q=I2C_OUT_DESC_MASK[6] R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$2\I2C_OUT_DESC_MASK[7:0][7] E=$auto$dff2dffe.cc:175:make_patterns_logic$48057 Q=I2C_OUT_DESC_MASK[7] R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$procmux$18231_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$48550 Q=LED2 R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$procmux$18231_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$48550 Q=LED3 R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$procmux$18231_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$48550 Q=LED4 R=$0\KBD_FREEZE[0:0]
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.wr E=RESET Q=last_wr
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C_TRANS E=RESET Q=last_trans
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=UART.tx_activity E=RESET Q=last_uart_active
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=KEYBOARD.isr E=RESET Q=last_isr
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$0\uart_double_ff[0:0] E=$auto$dff2dffe.cc:175:make_patterns_logic$48902 Q=uart_double_ff
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFF C=CLK D=$0\rststate[3:0][0] Q=rststate[0]
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$0\rststate[3:0][1] Q=rststate[1]
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$0\rststate[3:0][2] Q=rststate[2]
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$0\rststate[3:0][3] Q=rststate[3]
.attr src "top.v:46|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFFN C=CLK D=SCL Q=I2C.SCLF
.attr src "i2c_slave.v:47|/usr/bin/../share/yosys/ice40/cells_map.v:1"
.gate SB_DFFN C=CLK D=I2C.SDA_IN Q=I2C.SDAF
.attr src "i2c_slave.v:47|/usr/bin/../share/yosys/ice40/cells_map.v:1"
.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$13499_Y Q=I2C.wr
.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$13453_Y Q=I2C.is_ack
.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$13407_Y[0] Q=I2C.byte_counter[0]
.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$13407_Y[1] Q=I2C.byte_counter[1]
.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$13407_Y[2] Q=I2C.byte_counter[2]
.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$13407_Y[3] Q=I2C.byte_counter[3]
.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$13407_Y[4] Q=I2C.byte_counter[4]
.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$13407_Y[5] Q=I2C.byte_counter[5]
.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$13407_Y[6] Q=I2C.byte_counter[6]
.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$13407_Y[7] Q=I2C.byte_counter[7]
.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$48969 Q=I2C.received_byte[0]
.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$49036 Q=I2C.received_byte[1]
.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$49103 Q=I2C.received_byte[2]
.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$49170 Q=I2C.received_byte[3]
.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$49237 Q=I2C.received_byte[4]
.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$49304 Q=I2C.received_byte[5]
.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$49371 Q=I2C.received_byte[6]
.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.FLT_SDA.out E=$auto$dff2dffe.cc:175:make_patterns_logic$49438 Q=I2C.received_byte[7]
.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$13315_Y[0] Q=I2C.i2c_bit_counter[0]
.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$13315_Y[1] Q=I2C.i2c_bit_counter[1]
.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$13315_Y[2] Q=I2C.i2c_bit_counter[2]
.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$13315_Y[3] Q=I2C.i2c_bit_counter[3]
.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$13269_Y Q=I2C.is_read
.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFFE C=CLK D=$techmap\I2C.$procmux$12628_Y E=$auto$dff2dffe.cc:158:make_patterns_logic$49447 Q=I2C.i2c_start_latency
.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFF C=CLK D=I2C.FLT_SDA.out Q=I2C.SDA_LAST
.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=I2C.FLT_SCL.out Q=I2C.SCL_LAST
.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFF C=CLK D=$techmap\I2C.$procmux$13177_Y Q=I2C.SDA_DIR
.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$auto$simplemap.cc:250:simplemap_eqne$49500 Q=I2C_INPUT_DATA[3][0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$auto$simplemap.cc:250:simplemap_eqne$49500 Q=I2C_INPUT_DATA[3][1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$auto$simplemap.cc:250:simplemap_eqne$49500 Q=I2C_INPUT_DATA[3][2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[3] E=$auto$simplemap.cc:250:simplemap_eqne$49500 Q=I2C_INPUT_DATA[3][3]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[4] E=$auto$simplemap.cc:250:simplemap_eqne$49500 Q=I2C_INPUT_DATA[3][4]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[5] E=$auto$simplemap.cc:250:simplemap_eqne$49500 Q=I2C_INPUT_DATA[3][5]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[6] E=$auto$simplemap.cc:250:simplemap_eqne$49500 Q=I2C_INPUT_DATA[3][6]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[7] E=$auto$simplemap.cc:250:simplemap_eqne$49500 Q=I2C_INPUT_DATA[3][7]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$techmap\UART.$procmux$739_Y E=RESET Q=UART.tx_activity
.attr src "uart.v:23|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\UART.$procmux$878_Y E=$auto$dff2dffe.cc:175:make_patterns_logic$49554 Q=UART.tx_line R=$eq$top.v:152$130_Y
.attr src "uart.v:23|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\UART.$procmux$725_Y[0] E=$auto$dff2dffe.cc:158:make_patterns_logic$49597 Q=UART.tx_clk_counter[0] R=$0\KBD_FREEZE[0:0]
.attr src "uart.v:23|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\UART.$procmux$725_Y[1] E=$auto$dff2dffe.cc:158:make_patterns_logic$49597 Q=UART.tx_clk_counter[1] R=$0\KBD_FREEZE[0:0]
.attr src "uart.v:23|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\UART.$procmux$725_Y[2] E=$auto$dff2dffe.cc:158:make_patterns_logic$49597 Q=UART.tx_clk_counter[2] R=$0\KBD_FREEZE[0:0]
.attr src "uart.v:23|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\UART.$procmux$725_Y[3] E=$auto$dff2dffe.cc:158:make_patterns_logic$49597 Q=UART.tx_clk_counter[3] R=$0\KBD_FREEZE[0:0]
.attr src "uart.v:23|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$auto$alumacc.cc:470:replace_alu$22811.B_buf[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$49554 Q=UART.tx_bit_counter[0] S=$eq$top.v:152$130_Y
.attr src "uart.v:23|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$auto$alumacc.cc:470:replace_alu$22811.B_buf[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$49554 Q=UART.tx_bit_counter[1] R=$eq$top.v:152$130_Y
.attr src "uart.v:23|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$auto$alumacc.cc:470:replace_alu$22811.B_buf[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$49554 Q=UART.tx_bit_counter[2] R=$eq$top.v:152$130_Y
.attr src "uart.v:23|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$auto$alumacc.cc:470:replace_alu$22811.B_buf[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$49554 Q=UART.tx_bit_counter[3] S=$eq$top.v:152$130_Y
.attr src "uart.v:23|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=UART_WR E=RESET Q=UART.TX_sig_last
.attr src "uart.v:23|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$auto$dff2dffe.cc:158:make_patterns_logic$49819 Q=I2C_INPUT_DATA[2][0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$auto$dff2dffe.cc:158:make_patterns_logic$49819 Q=I2C_INPUT_DATA[2][1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$auto$dff2dffe.cc:158:make_patterns_logic$49819 Q=I2C_INPUT_DATA[2][2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[3] E=$auto$dff2dffe.cc:158:make_patterns_logic$49819 Q=I2C_INPUT_DATA[2][3]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[4] E=$auto$dff2dffe.cc:158:make_patterns_logic$49819 Q=I2C_INPUT_DATA[2][4]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[5] E=$auto$dff2dffe.cc:158:make_patterns_logic$49819 Q=I2C_INPUT_DATA[2][5]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[6] E=$auto$dff2dffe.cc:158:make_patterns_logic$49819 Q=I2C_INPUT_DATA[2][6]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[7] E=$auto$dff2dffe.cc:158:make_patterns_logic$49819 Q=I2C_INPUT_DATA[2][7]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$memory\I2C_INPUT_DATA$wren[8][0][0]$y$23350 Q=I2C_INPUT_DATA[8][0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$memory\I2C_INPUT_DATA$wren[8][0][0]$y$23350 Q=I2C_INPUT_DATA[8][1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$memory\I2C_INPUT_DATA$wren[8][0][0]$y$23350 Q=I2C_INPUT_DATA[8][2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$auto$simplemap.cc:250:simplemap_eqne$53186[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$50051 Q=KEYBOARD.is_pressed
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFN C=CLK D=KBD_COLUMNS[0] Q=KEYBOARD.COLS_SHADOW[0]
.attr src "matrix_kbd.v:28|/usr/bin/../share/yosys/ice40/cells_map.v:1"
.gate SB_DFFN C=CLK D=KBD_COLUMNS[1] Q=KEYBOARD.COLS_SHADOW[1]
.attr src "matrix_kbd.v:28|/usr/bin/../share/yosys/ice40/cells_map.v:1"
.gate SB_DFFN C=CLK D=KBD_COLUMNS[2] Q=KEYBOARD.COLS_SHADOW[2]
.attr src "matrix_kbd.v:28|/usr/bin/../share/yosys/ice40/cells_map.v:1"
.gate SB_DFFN C=CLK D=KBD_COLUMNS[3] Q=KEYBOARD.COLS_SHADOW[3]
.attr src "matrix_kbd.v:28|/usr/bin/../share/yosys/ice40/cells_map.v:1"
.gate SB_DFFESR C=CLK D=KEYBOARD.row_counter[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$50030 Q=KEYBOARD.ROWS_EN[0] R=$techmap$auto$alumacc.cc:470:replace_alu$22900.$xor$/usr/bin/../share/yosys/techmap.v:263$28021_Y[1]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap$auto$alumacc.cc:470:replace_alu$22900.$xor$/usr/bin/../share/yosys/techmap.v:262$28020_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$50030 Q=KEYBOARD.ROWS_EN[1] R=$techmap$auto$alumacc.cc:470:replace_alu$22900.$xor$/usr/bin/../share/yosys/techmap.v:263$28021_Y[1]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=KEYBOARD.row_counter[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$50030 Q=KEYBOARD.ROWS_EN[2] R=$auto$rtlil.cc:1692:NotGate$60420
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap$auto$alumacc.cc:470:replace_alu$22900.$xor$/usr/bin/../share/yosys/techmap.v:262$28020_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$50030 Q=KEYBOARD.ROWS_EN[3] R=$auto$rtlil.cc:1692:NotGate$60420
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$auto$simplemap.cc:250:simplemap_eqne$53051[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$50051 Q=KEYBOARD.isr
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$3961_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$50377 Q=KEYBOARD.report[0] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$3961_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$50377 Q=KEYBOARD.report[1] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$3961_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$50377 Q=KEYBOARD.report[2] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$3961_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$50377 Q=KEYBOARD.report[3] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$3961_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$50377 Q=KEYBOARD.report[4] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$3961_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$50377 Q=KEYBOARD.report[5] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$3961_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$50377 Q=KEYBOARD.report[6] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$3961_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$50377 Q=KEYBOARD.report[7] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$false E=$auto$dff2dffe.cc:175:make_patterns_logic$50579 Q=KEYBOARD.report[8]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$false E=$auto$dff2dffe.cc:175:make_patterns_logic$50579 Q=KEYBOARD.report[9]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$false E=$auto$dff2dffe.cc:175:make_patterns_logic$50579 Q=KEYBOARD.report[10]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$false E=$auto$dff2dffe.cc:175:make_patterns_logic$50579 Q=KEYBOARD.report[11]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$false E=$auto$dff2dffe.cc:175:make_patterns_logic$50579 Q=KEYBOARD.report[12]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$false E=$auto$dff2dffe.cc:175:make_patterns_logic$50579 Q=KEYBOARD.report[13]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$false E=$auto$dff2dffe.cc:175:make_patterns_logic$50579 Q=KEYBOARD.report[14]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$false E=$auto$dff2dffe.cc:175:make_patterns_logic$50579 Q=KEYBOARD.report[15]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$51066 Q=KEYBOARD.report[16] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$51066 Q=KEYBOARD.report[17] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$51066 Q=KEYBOARD.report[18] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$51066 Q=KEYBOARD.report[19] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$51066 Q=KEYBOARD.report[20] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$51066 Q=KEYBOARD.report[21] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$51066 Q=KEYBOARD.report[22] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$51066 Q=KEYBOARD.report[23] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$52061 Q=KEYBOARD.report[24] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$52061 Q=KEYBOARD.report[25] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$52061 Q=KEYBOARD.report[26] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$52061 Q=KEYBOARD.report[27] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$52061 Q=KEYBOARD.report[28] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$52061 Q=KEYBOARD.report[29] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$52061 Q=KEYBOARD.report[30] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$52061 Q=KEYBOARD.report[31] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$53084 Q=KEYBOARD.report[32] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$53084 Q=KEYBOARD.report[33] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$53084 Q=KEYBOARD.report[34] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$53084 Q=KEYBOARD.report[35] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$53084 Q=KEYBOARD.report[36] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$53084 Q=KEYBOARD.report[37] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$53084 Q=KEYBOARD.report[38] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$53084 Q=KEYBOARD.report[39] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$53393 Q=KEYBOARD.report[40] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$53393 Q=KEYBOARD.report[41] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$53393 Q=KEYBOARD.report[42] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$53393 Q=KEYBOARD.report[43] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$53393 Q=KEYBOARD.report[44] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$53393 Q=KEYBOARD.report[45] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$53393 Q=KEYBOARD.report[46] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$53393 Q=KEYBOARD.report[47] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$54691 Q=KEYBOARD.report[48] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$54691 Q=KEYBOARD.report[49] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$54691 Q=KEYBOARD.report[50] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$54691 Q=KEYBOARD.report[51] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$54691 Q=KEYBOARD.report[52] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$54691 Q=KEYBOARD.report[53] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$54691 Q=KEYBOARD.report[54] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$54691 Q=KEYBOARD.report[55] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$56016 Q=KEYBOARD.report[56] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$56016 Q=KEYBOARD.report[57] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$56016 Q=KEYBOARD.report[58] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$56016 Q=KEYBOARD.report[59] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$56016 Q=KEYBOARD.report[60] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$56016 Q=KEYBOARD.report[61] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$56016 Q=KEYBOARD.report[62] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$procmux$4894_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$56016 Q=KEYBOARD.report[63] R=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$3193_Y E=$auto$dff2dffe.cc:175:make_patterns_logic$57616 Q=KEYBOARD.last_data[0] S=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$57696 Q=KEYBOARD.last_data[1] S=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$3661_Y E=$auto$dff2dffe.cc:175:make_patterns_logic$57800 Q=KEYBOARD.last_data[2] S=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$3661_Y E=$auto$dff2dffe.cc:175:make_patterns_logic$57905 Q=KEYBOARD.last_data[3] S=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$3193_Y E=$auto$dff2dffe.cc:175:make_patterns_logic$57988 Q=KEYBOARD.last_data[4] S=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$58068 Q=KEYBOARD.last_data[5] S=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$3661_Y E=$auto$dff2dffe.cc:175:make_patterns_logic$58172 Q=KEYBOARD.last_data[6] S=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$3661_Y E=$auto$dff2dffe.cc:175:make_patterns_logic$58277 Q=KEYBOARD.last_data[7] S=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$3193_Y E=$auto$dff2dffe.cc:175:make_patterns_logic$58360 Q=KEYBOARD.last_data[8] S=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$58440 Q=KEYBOARD.last_data[9] S=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$3661_Y E=$auto$dff2dffe.cc:175:make_patterns_logic$58544 Q=KEYBOARD.last_data[10] S=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$3661_Y E=$auto$dff2dffe.cc:175:make_patterns_logic$58649 Q=KEYBOARD.last_data[11] S=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$3193_Y E=$auto$dff2dffe.cc:175:make_patterns_logic$58732 Q=KEYBOARD.last_data[12] S=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=KEYBOARD.COLS_SHADOW[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$58812 Q=KEYBOARD.last_data[13] S=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$3661_Y E=$auto$dff2dffe.cc:175:make_patterns_logic$58916 Q=KEYBOARD.last_data[14] S=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESS C=CLK D=$techmap\KEYBOARD.$procmux$3661_Y E=$auto$dff2dffe.cc:175:make_patterns_logic$59021 Q=KEYBOARD.last_data[15] S=$0\KBD_FREEZE[0:0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$auto$simplemap.cc:250:simplemap_eqne$33874[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$50051 Q=KEYBOARD.row_counter[0]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$auto$simplemap.cc:309:simplemap_lut$44816 E=$auto$dff2dffe.cc:175:make_patterns_logic$50051 Q=KEYBOARD.row_counter[1]
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$50051 Q=KEYBOARD.row_time[0] R=$auto$rtlil.cc:1692:NotGate$60414
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$auto$simplemap.cc:309:simplemap_lut$44580[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$50051 Q=KEYBOARD.row_time[1] R=$auto$rtlil.cc:1692:NotGate$60414
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$50051 Q=KEYBOARD.row_time[2] R=$auto$rtlil.cc:1692:NotGate$60414
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$50051 Q=KEYBOARD.row_time[3] R=$auto$rtlil.cc:1692:NotGate$60414
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$50051 Q=KEYBOARD.row_time[4] R=$auto$rtlil.cc:1692:NotGate$60414
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$50051 Q=KEYBOARD.row_time[5] R=$auto$rtlil.cc:1692:NotGate$60414
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$50051 Q=KEYBOARD.row_time[6] R=$auto$rtlil.cc:1692:NotGate$60414
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$50051 Q=KEYBOARD.row_time[7] R=$auto$rtlil.cc:1692:NotGate$60414
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[8] E=$auto$dff2dffe.cc:175:make_patterns_logic$50051 Q=KEYBOARD.row_time[8] R=$auto$rtlil.cc:1692:NotGate$60414
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[9] E=$auto$dff2dffe.cc:175:make_patterns_logic$50051 Q=KEYBOARD.row_time[9] R=$auto$rtlil.cc:1692:NotGate$60414
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[10] E=$auto$dff2dffe.cc:175:make_patterns_logic$50051 Q=KEYBOARD.row_time[10] R=$auto$rtlil.cc:1692:NotGate$60414
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[11] E=$auto$dff2dffe.cc:175:make_patterns_logic$50051 Q=KEYBOARD.row_time[11] R=$auto$rtlil.cc:1692:NotGate$60414
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[12] E=$auto$dff2dffe.cc:175:make_patterns_logic$50051 Q=KEYBOARD.row_time[12] R=$auto$rtlil.cc:1692:NotGate$60414
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[13] E=$auto$dff2dffe.cc:175:make_patterns_logic$50051 Q=KEYBOARD.row_time[13] R=$auto$rtlil.cc:1692:NotGate$60414
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[14] E=$auto$dff2dffe.cc:175:make_patterns_logic$50051 Q=KEYBOARD.row_time[14] R=$auto$rtlil.cc:1692:NotGate$60414
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap\KEYBOARD.$add$matrix_kbd.v:46$240_Y[15] E=$auto$dff2dffe.cc:175:make_patterns_logic$50051 Q=KEYBOARD.row_time[15] R=$auto$rtlil.cc:1692:NotGate$60414
.attr src "matrix_kbd.v:32|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$memory\I2C_INPUT_DATA$wren[4][0][0]$y$23322 Q=I2C_INPUT_DATA[4][0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$memory\I2C_INPUT_DATA$wren[4][0][0]$y$23322 Q=I2C_INPUT_DATA[4][1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$memory\I2C_INPUT_DATA$wren[4][0][0]$y$23322 Q=I2C_INPUT_DATA[4][2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[3] E=$memory\I2C_INPUT_DATA$wren[4][0][0]$y$23322 Q=I2C_INPUT_DATA[4][3]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[4] E=$memory\I2C_INPUT_DATA$wren[4][0][0]$y$23322 Q=I2C_INPUT_DATA[4][4]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[5] E=$memory\I2C_INPUT_DATA$wren[4][0][0]$y$23322 Q=I2C_INPUT_DATA[4][5]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[6] E=$memory\I2C_INPUT_DATA$wren[4][0][0]$y$23322 Q=I2C_INPUT_DATA[4][6]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[7] E=$memory\I2C_INPUT_DATA$wren[4][0][0]$y$23322 Q=I2C_INPUT_DATA[4][7]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[0] E=$memory\I2C_INPUT_DATA$wren[0][0][0]$y$23284 Q=I2C_INPUT_DATA[0][0]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[1] E=$memory\I2C_INPUT_DATA$wren[0][0][0]$y$23284 Q=I2C_INPUT_DATA[0][1]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[2] E=$memory\I2C_INPUT_DATA$wren[0][0][0]$y$23284 Q=I2C_INPUT_DATA[0][2]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[3] E=$memory\I2C_INPUT_DATA$wren[0][0][0]$y$23284 Q=I2C_INPUT_DATA[0][3]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[4] E=$memory\I2C_INPUT_DATA$wren[0][0][0]$y$23284 Q=I2C_INPUT_DATA[0][4]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[5] E=$memory\I2C_INPUT_DATA$wren[0][0][0]$y$23284 Q=I2C_INPUT_DATA[0][5]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[6] E=$memory\I2C_INPUT_DATA$wren[0][0][0]$y$23284 Q=I2C_INPUT_DATA[0][6]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=I2C.received_byte[7] E=$memory\I2C_INPUT_DATA$wren[0][0][0]$y$23284 Q=I2C_INPUT_DATA[0][7]
.attr src "/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$techmap\I2C_HID_DESC.$procmux$468_Y[0] E=$auto$dff2dffe.cc:175:make_patterns_logic$59498 Q=I2C_HID_DESC.VAL[0]
.attr src "descriptors.v:11|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$techmap\I2C_HID_DESC.$procmux$468_Y[1] E=$auto$dff2dffe.cc:175:make_patterns_logic$59498 Q=I2C_HID_DESC.VAL[1]
.attr src "descriptors.v:11|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$techmap\I2C_HID_DESC.$procmux$468_Y[2] E=$auto$dff2dffe.cc:175:make_patterns_logic$59498 Q=I2C_HID_DESC.VAL[2]
.attr src "descriptors.v:11|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$techmap\I2C_HID_DESC.$procmux$468_Y[3] E=$auto$dff2dffe.cc:175:make_patterns_logic$59498 Q=I2C_HID_DESC.VAL[3]
.attr src "descriptors.v:11|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$techmap\I2C_HID_DESC.$procmux$468_Y[4] E=$auto$dff2dffe.cc:175:make_patterns_logic$59498 Q=I2C_HID_DESC.VAL[4]
.attr src "descriptors.v:11|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$techmap\I2C_HID_DESC.$procmux$468_Y[5] E=$auto$dff2dffe.cc:175:make_patterns_logic$59498 Q=I2C_HID_DESC.VAL[5]
.attr src "descriptors.v:11|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFESR C=CLK D=$techmap$techmap\I2C_HID_DESC.$procmux$364.$ternary$/usr/bin/../share/yosys/techmap.v:445$40329_Y[6] E=$auto$dff2dffe.cc:175:make_patterns_logic$59498 Q=I2C_HID_DESC.VAL[6] R=$auto$rtlil.cc:1692:NotGate$60416
.attr src "descriptors.v:11|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFE C=CLK D=$techmap\I2C_HID_DESC.$procmux$468_Y[7] E=$auto$dff2dffe.cc:175:make_patterns_logic$59498 Q=I2C_HID_DESC.VAL[7]
.attr src "descriptors.v:11|/usr/bin/../share/yosys/ice40/cells_map.v:8"
.gate SB_DFFSR C=CLK D=I2C.wr Q=I2C_HID_DESC.last_rd_request R=$0\KBD_FREEZE[0:0]
.attr src "descriptors.v:11|/usr/bin/../share/yosys/ice40/cells_map.v:2"
.gate SB_DFFR C=CLK D=$techmap\I2C.$procmux$13223_Y Q=I2C.i2c_state_machine R=$0\KBD_FREEZE[0:0]
.attr src "i2c_slave.v:52|/usr/bin/../share/yosys/ice40/cells_map.v:12"
.gate SB_DFFES C=CLK D=I2C.SDAF E=$auto$dff2dffe.cc:175:make_patterns_logic$59776 Q=I2C.FLT_SDA.out S=$0\KBD_FREEZE[0:0]
.attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:23"
.gate SB_DFFER C=CLK D=$techmap\I2C.FLT_SDA.$procmux$979_Y[0] E=$auto$dff2dffe.cc:158:make_patterns_logic$59791 Q=I2C.FLT_SDA.counter[0] R=$0\KBD_FREEZE[0:0]
.attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:22"
.gate SB_DFFER C=CLK D=$techmap\I2C.FLT_SDA.$procmux$979_Y[1] E=$auto$dff2dffe.cc:158:make_patterns_logic$59791 Q=I2C.FLT_SDA.counter[1] R=$0\KBD_FREEZE[0:0]
.attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:22"
.gate SB_DFFER C=CLK D=$techmap\I2C.FLT_SDA.$procmux$979_Y[2] E=$auto$dff2dffe.cc:158:make_patterns_logic$59791 Q=I2C.FLT_SDA.counter[2] R=$0\KBD_FREEZE[0:0]
.attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:22"
.gate SB_DFFES C=CLK D=I2C.SCLF E=$auto$dff2dffe.cc:175:make_patterns_logic$59836 Q=I2C.FLT_SCL.out S=$0\KBD_FREEZE[0:0]
.attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:23"
.gate SB_DFFER C=CLK D=$techmap\I2C.FLT_SCL.$procmux$979_Y[0] E=$auto$dff2dffe.cc:158:make_patterns_logic$59851 Q=I2C.FLT_SCL.counter[0] R=$0\KBD_FREEZE[0:0]
.attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:22"
.gate SB_DFFER C=CLK D=$techmap\I2C.FLT_SCL.$procmux$979_Y[1] E=$auto$dff2dffe.cc:158:make_patterns_logic$59851 Q=I2C.FLT_SCL.counter[1] R=$0\KBD_FREEZE[0:0]
.attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:22"
.gate SB_DFFER C=CLK D=$techmap\I2C.FLT_SCL.$procmux$979_Y[2] E=$auto$dff2dffe.cc:158:make_patterns_logic$59851 Q=I2C.FLT_SCL.counter[2] R=$0\KBD_FREEZE[0:0]
.attr src "simple_filter.v:7|/usr/bin/../share/yosys/ice40/cells_map.v:22"
.gate SB_IO D_IN_0=I2C.SDA_IN D_OUT_0=$false OUTPUT_ENABLE=I2C.SDA_DIR PACKAGE_PIN=SDA
.attr src "i2c_slave.v:177"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[0] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[0] PACKAGE_PIN=KBD_ROWS[0]
.attr src "matrix_kbd.v:179"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[1] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[1] PACKAGE_PIN=KBD_ROWS[1]
.attr src "matrix_kbd.v:179"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[2] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[2] PACKAGE_PIN=KBD_ROWS[2]
.attr src "matrix_kbd.v:179"
.param PIN_TYPE 101001
.param PULLUP 0
.gate SB_IO D_IN_0=KEYBOARD.ROWS_IN[3] D_OUT_0=$false OUTPUT_ENABLE=KEYBOARD.ROWS_EN[3] PACKAGE_PIN=KBD_ROWS[3]
.attr src "matrix_kbd.v:179"
.param PIN_TYPE 101001
.param PULLUP 0
.names INT COM_DCD
1 1
.names KBD_FREEZE COM_DSR
1 1
.names I2C.is_read COM_RTS
1 1
.names UART.tx_line COM_TX
1 1
.names CLK I2C.CLK
1 1
.names I2C.byte_counter[0] I2C.COUNTER[0]
1 1
.names I2C.byte_counter[1] I2C.COUNTER[1]
1 1
.names I2C.byte_counter[2] I2C.COUNTER[2]
1 1
.names I2C.byte_counter[3] I2C.COUNTER[3]
1 1
.names I2C.byte_counter[4] I2C.COUNTER[4]
1 1
.names I2C.byte_counter[5] I2C.COUNTER[5]
1 1
.names I2C.byte_counter[6] I2C.COUNTER[6]
1 1
.names I2C.byte_counter[7] I2C.COUNTER[7]
1 1
.names CLK I2C.FLT_SCL.CLK
1 1
.names I2C.SCLF I2C.FLT_SCL.IN
1 1
.names I2C.FLT_SCL.out I2C.FLT_SCL.OUT
1 1
.names RESET I2C.FLT_SCL.RESET
1 1
.names CLK I2C.FLT_SDA.CLK
1 1
.names I2C.SDAF I2C.FLT_SDA.IN
1 1
.names I2C.FLT_SDA.out I2C.FLT_SDA.OUT
1 1
.names RESET I2C.FLT_SDA.RESET
1 1
.names I2C.is_ack I2C.IS_ACK
1 1
.names I2C.is_read I2C.IS_READ
1 1
.names I2C_TRANS I2C.IS_TRANSMISSION
1 1
.names I2C.received_byte[0] I2C.RECEIVED_BYTE[0]
1 1
.names I2C.received_byte[1] I2C.RECEIVED_BYTE[1]
1 1
.names I2C.received_byte[2] I2C.RECEIVED_BYTE[2]
1 1
.names I2C.received_byte[3] I2C.RECEIVED_BYTE[3]
1 1
.names I2C.received_byte[4] I2C.RECEIVED_BYTE[4]
1 1
.names I2C.received_byte[5] I2C.RECEIVED_BYTE[5]
1 1
.names I2C.received_byte[6] I2C.RECEIVED_BYTE[6]
1 1
.names I2C.received_byte[7] I2C.RECEIVED_BYTE[7]
1 1
.names RESET I2C.RESET
1 1
.names SCL I2C.SCL
1 1
.names I2C.FLT_SCL.out I2C.SCLD
1 1
.names SDA I2C.SDA
1 1
.names I2C.FLT_SDA.out I2C.SDAD
1 1
.names I2C.wr I2C.WR
1 1
.names I2C.is_ack I2C_ACK
1 1
.names I2C.byte_counter[0] I2C_COUNTER[0]
1 1
.names I2C.byte_counter[1] I2C_COUNTER[1]
1 1
.names I2C.byte_counter[2] I2C_COUNTER[2]
1 1
.names I2C.byte_counter[3] I2C_COUNTER[3]
1 1
.names I2C.byte_counter[4] I2C_COUNTER[4]
1 1
.names I2C.byte_counter[5] I2C_COUNTER[5]
1 1
.names I2C.byte_counter[6] I2C_COUNTER[6]
1 1
.names I2C.byte_counter[7] I2C_COUNTER[7]
1 1
.names $false I2C_COUNTER[8]
1 1
.names $false I2C_COUNTER[9]
1 1
.names I2C.byte_counter[0] I2C_HID_DESC.ADR[0]
1 1
.names I2C.byte_counter[1] I2C_HID_DESC.ADR[1]
1 1
.names I2C.byte_counter[2] I2C_HID_DESC.ADR[2]
1 1
.names I2C.byte_counter[3] I2C_HID_DESC.ADR[3]
1 1
.names I2C.byte_counter[4] I2C_HID_DESC.ADR[4]
1 1
.names I2C.byte_counter[5] I2C_HID_DESC.ADR[5]
1 1
.names I2C.byte_counter[6] I2C_HID_DESC.ADR[6]
1 1
.names I2C.byte_counter[7] I2C_HID_DESC.ADR[7]
1 1
.names CLK I2C_HID_DESC.CLK
1 1
.names I2C_OUTPUT_TYPE[0] I2C_HID_DESC.DESC_TYPE[0]
1 1
.names I2C_OUTPUT_TYPE[1] I2C_HID_DESC.DESC_TYPE[1]
1 1
.names I2C.wr I2C_HID_DESC.RD_REQUEST
1 1
.names RESET I2C_HID_DESC.RESET
1 1
.names $undef I2C_INPUT_DATA[8][3]
1 1
.names $undef I2C_INPUT_DATA[8][4]
1 1
.names $undef I2C_INPUT_DATA[8][5]
1 1
.names $undef I2C_INPUT_DATA[8][6]
1 1
.names $undef I2C_INPUT_DATA[8][7]
1 1
.names I2C.is_read I2C_READ
1 1
.names I2C.received_byte[0] I2C_RX[0]
1 1
.names I2C.received_byte[1] I2C_RX[1]
1 1
.names I2C.received_byte[2] I2C_RX[2]
1 1
.names I2C.received_byte[3] I2C_RX[3]
1 1
.names I2C.received_byte[4] I2C_RX[4]
1 1
.names I2C.received_byte[5] I2C_RX[5]
1 1
.names I2C.received_byte[6] I2C_RX[6]
1 1
.names I2C.received_byte[7] I2C_RX[7]
1 1
.names I2C_HID_DESC.VAL[0] I2C_TX_DESC[0]
1 1
.names I2C_HID_DESC.VAL[1] I2C_TX_DESC[1]
1 1
.names I2C_HID_DESC.VAL[2] I2C_TX_DESC[2]
1 1
.names I2C_HID_DESC.VAL[3] I2C_TX_DESC[3]
1 1
.names I2C_HID_DESC.VAL[4] I2C_TX_DESC[4]
1 1
.names I2C_HID_DESC.VAL[5] I2C_TX_DESC[5]
1 1
.names I2C_HID_DESC.VAL[6] I2C_TX_DESC[6]
1 1
.names I2C_HID_DESC.VAL[7] I2C_TX_DESC[7]
1 1
.names I2C.wr I2C_WR
1 1
.names INT INTERRUPT
1 1
.names KEYBOARD.isr ISR
1 1
.names LED2 KBD_LED_STATUS[0]
1 1
.names LED3 KBD_LED_STATUS[1]
1 1
.names LED4 KBD_LED_STATUS[2]
1 1
.names $undef KBD_LED_STATUS[3]
1 1
.names $undef KBD_LED_STATUS[4]
1 1
.names $undef KBD_LED_STATUS[5]
1 1
.names $undef KBD_LED_STATUS[6]
1 1
.names $undef KBD_LED_STATUS[7]
1 1
.names CLK KEYBOARD.CLK
1 1
.names KBD_COLUMNS[0] KEYBOARD.COLUMNS[0]
1 1
.names KBD_COLUMNS[1] KEYBOARD.COLUMNS[1]
1 1
.names KBD_COLUMNS[2] KEYBOARD.COLUMNS[2]
1 1
.names KBD_COLUMNS[3] KEYBOARD.COLUMNS[3]
1 1
.names KBD_FREEZE KEYBOARD.FREEZE
1 1
.names KEYBOARD.isr KEYBOARD.INT
1 1
.names RESET KEYBOARD.RESET
1 1
.names KBD_ROWS[0] KEYBOARD.ROWS[0]
1 1
.names KBD_ROWS[1] KEYBOARD.ROWS[1]
1 1
.names KBD_ROWS[2] KEYBOARD.ROWS[2]
1 1
.names KBD_ROWS[3] KEYBOARD.ROWS[3]
1 1
.names KEYBOARD.report[0] KEYBOARD.kbd_report[0]
1 1
.names KEYBOARD.report[1] KEYBOARD.kbd_report[1]
1 1
.names KEYBOARD.report[2] KEYBOARD.kbd_report[2]
1 1
.names KEYBOARD.report[3] KEYBOARD.kbd_report[3]
1 1
.names KEYBOARD.report[4] KEYBOARD.kbd_report[4]
1 1
.names KEYBOARD.report[5] KEYBOARD.kbd_report[5]
1 1
.names KEYBOARD.report[6] KEYBOARD.kbd_report[6]
1 1
.names KEYBOARD.report[7] KEYBOARD.kbd_report[7]
1 1
.names KEYBOARD.report[8] KEYBOARD.kbd_report[8]
1 1
.names KEYBOARD.report[9] KEYBOARD.kbd_report[9]
1 1
.names KEYBOARD.report[10] KEYBOARD.kbd_report[10]
1 1
.names KEYBOARD.report[11] KEYBOARD.kbd_report[11]
1 1
.names KEYBOARD.report[12] KEYBOARD.kbd_report[12]
1 1
.names KEYBOARD.report[13] KEYBOARD.kbd_report[13]
1 1
.names KEYBOARD.report[14] KEYBOARD.kbd_report[14]
1 1
.names KEYBOARD.report[15] KEYBOARD.kbd_report[15]
1 1
.names KEYBOARD.report[16] KEYBOARD.kbd_report[16]
1 1
.names KEYBOARD.report[17] KEYBOARD.kbd_report[17]
1 1
.names KEYBOARD.report[18] KEYBOARD.kbd_report[18]
1 1
.names KEYBOARD.report[19] KEYBOARD.kbd_report[19]
1 1
.names KEYBOARD.report[20] KEYBOARD.kbd_report[20]
1 1
.names KEYBOARD.report[21] KEYBOARD.kbd_report[21]
1 1
.names KEYBOARD.report[22] KEYBOARD.kbd_report[22]
1 1
.names KEYBOARD.report[23] KEYBOARD.kbd_report[23]
1 1
.names KEYBOARD.report[24] KEYBOARD.kbd_report[24]
1 1
.names KEYBOARD.report[25] KEYBOARD.kbd_report[25]
1 1
.names KEYBOARD.report[26] KEYBOARD.kbd_report[26]
1 1
.names KEYBOARD.report[27] KEYBOARD.kbd_report[27]
1 1
.names KEYBOARD.report[28] KEYBOARD.kbd_report[28]
1 1
.names KEYBOARD.report[29] KEYBOARD.kbd_report[29]
1 1
.names KEYBOARD.report[30] KEYBOARD.kbd_report[30]
1 1
.names KEYBOARD.report[31] KEYBOARD.kbd_report[31]
1 1
.names KEYBOARD.report[32] KEYBOARD.kbd_report[32]
1 1
.names KEYBOARD.report[33] KEYBOARD.kbd_report[33]
1 1
.names KEYBOARD.report[34] KEYBOARD.kbd_report[34]
1 1
.names KEYBOARD.report[35] KEYBOARD.kbd_report[35]
1 1
.names KEYBOARD.report[36] KEYBOARD.kbd_report[36]
1 1
.names KEYBOARD.report[37] KEYBOARD.kbd_report[37]
1 1
.names KEYBOARD.report[38] KEYBOARD.kbd_report[38]
1 1
.names KEYBOARD.report[39] KEYBOARD.kbd_report[39]
1 1
.names KEYBOARD.report[40] KEYBOARD.kbd_report[40]
1 1
.names KEYBOARD.report[41] KEYBOARD.kbd_report[41]
1 1
.names KEYBOARD.report[42] KEYBOARD.kbd_report[42]
1 1
.names KEYBOARD.report[43] KEYBOARD.kbd_report[43]
1 1
.names KEYBOARD.report[44] KEYBOARD.kbd_report[44]
1 1
.names KEYBOARD.report[45] KEYBOARD.kbd_report[45]
1 1
.names KEYBOARD.report[46] KEYBOARD.kbd_report[46]
1 1
.names KEYBOARD.report[47] KEYBOARD.kbd_report[47]
1 1
.names KEYBOARD.report[48] KEYBOARD.kbd_report[48]
1 1
.names KEYBOARD.report[49] KEYBOARD.kbd_report[49]
1 1
.names KEYBOARD.report[50] KEYBOARD.kbd_report[50]
1 1
.names KEYBOARD.report[51] KEYBOARD.kbd_report[51]
1 1
.names KEYBOARD.report[52] KEYBOARD.kbd_report[52]
1 1
.names KEYBOARD.report[53] KEYBOARD.kbd_report[53]
1 1
.names KEYBOARD.report[54] KEYBOARD.kbd_report[54]
1 1
.names KEYBOARD.report[55] KEYBOARD.kbd_report[55]
1 1
.names KEYBOARD.report[56] KEYBOARD.kbd_report[56]
1 1
.names KEYBOARD.report[57] KEYBOARD.kbd_report[57]
1 1
.names KEYBOARD.report[58] KEYBOARD.kbd_report[58]
1 1
.names KEYBOARD.report[59] KEYBOARD.kbd_report[59]
1 1
.names KEYBOARD.report[60] KEYBOARD.kbd_report[60]
1 1
.names KEYBOARD.report[61] KEYBOARD.kbd_report[61]
1 1
.names KEYBOARD.report[62] KEYBOARD.kbd_report[62]
1 1
.names KEYBOARD.report[63] KEYBOARD.kbd_report[63]
1 1
.names I2C_TRANS LED5
1 1
.names CLK UART.CLK
1 1
.names RESET UART.RESET
1 1
.names UART.tx_activity UART.TX_ACTIVITY
1 1
.names UART_TX_DATA[0] UART.TX_BYTE[0]
1 1
.names UART_TX_DATA[1] UART.TX_BYTE[1]
1 1
.names UART_TX_DATA[2] UART.TX_BYTE[2]
1 1
.names UART_TX_DATA[3] UART.TX_BYTE[3]
1 1
.names UART_TX_DATA[4] UART.TX_BYTE[4]
1 1
.names UART_TX_DATA[5] UART.TX_BYTE[5]
1 1
.names UART_TX_DATA[6] UART.TX_BYTE[6]
1 1
.names UART_TX_DATA[7] UART.TX_BYTE[7]
1 1
.names UART.tx_line UART.TX_LINE
1 1
.names UART_WR UART.TX_SIGNAL
1 1
.names UART.tx_activity UART_ACTIVE
1 1
.names UART.tx_line UART_TX_LINE
1 1
.names KEYBOARD.report[0] kbd_report[0]
1 1
.names KEYBOARD.report[1] kbd_report[1]
1 1
.names KEYBOARD.report[2] kbd_report[2]
1 1
.names KEYBOARD.report[3] kbd_report[3]
1 1
.names KEYBOARD.report[4] kbd_report[4]
1 1
.names KEYBOARD.report[5] kbd_report[5]
1 1
.names KEYBOARD.report[6] kbd_report[6]
1 1
.names KEYBOARD.report[7] kbd_report[7]
1 1
.names KEYBOARD.report[8] kbd_report[8]
1 1
.names KEYBOARD.report[9] kbd_report[9]
1 1
.names KEYBOARD.report[10] kbd_report[10]
1 1
.names KEYBOARD.report[11] kbd_report[11]
1 1
.names KEYBOARD.report[12] kbd_report[12]
1 1
.names KEYBOARD.report[13] kbd_report[13]
1 1
.names KEYBOARD.report[14] kbd_report[14]
1 1
.names KEYBOARD.report[15] kbd_report[15]
1 1
.names KEYBOARD.report[16] kbd_report[16]
1 1
.names KEYBOARD.report[17] kbd_report[17]
1 1
.names KEYBOARD.report[18] kbd_report[18]
1 1
.names KEYBOARD.report[19] kbd_report[19]
1 1
.names KEYBOARD.report[20] kbd_report[20]
1 1
.names KEYBOARD.report[21] kbd_report[21]
1 1
.names KEYBOARD.report[22] kbd_report[22]
1 1
.names KEYBOARD.report[23] kbd_report[23]
1 1
.names KEYBOARD.report[24] kbd_report[24]
1 1
.names KEYBOARD.report[25] kbd_report[25]
1 1
.names KEYBOARD.report[26] kbd_report[26]
1 1
.names KEYBOARD.report[27] kbd_report[27]
1 1
.names KEYBOARD.report[28] kbd_report[28]
1 1
.names KEYBOARD.report[29] kbd_report[29]
1 1
.names KEYBOARD.report[30] kbd_report[30]
1 1
.names KEYBOARD.report[31] kbd_report[31]
1 1
.names KEYBOARD.report[32] kbd_report[32]
1 1
.names KEYBOARD.report[33] kbd_report[33]
1 1
.names KEYBOARD.report[34] kbd_report[34]
1 1
.names KEYBOARD.report[35] kbd_report[35]
1 1
.names KEYBOARD.report[36] kbd_report[36]
1 1
.names KEYBOARD.report[37] kbd_report[37]
1 1
.names KEYBOARD.report[38] kbd_report[38]
1 1
.names KEYBOARD.report[39] kbd_report[39]
1 1
.names KEYBOARD.report[40] kbd_report[40]
1 1
.names KEYBOARD.report[41] kbd_report[41]
1 1
.names KEYBOARD.report[42] kbd_report[42]
1 1
.names KEYBOARD.report[43] kbd_report[43]
1 1
.names KEYBOARD.report[44] kbd_report[44]
1 1
.names KEYBOARD.report[45] kbd_report[45]
1 1
.names KEYBOARD.report[46] kbd_report[46]
1 1
.names KEYBOARD.report[47] kbd_report[47]
1 1
.names KEYBOARD.report[48] kbd_report[48]
1 1
.names KEYBOARD.report[49] kbd_report[49]
1 1
.names KEYBOARD.report[50] kbd_report[50]
1 1
.names KEYBOARD.report[51] kbd_report[51]
1 1
.names KEYBOARD.report[52] kbd_report[52]
1 1
.names KEYBOARD.report[53] kbd_report[53]
1 1
.names KEYBOARD.report[54] kbd_report[54]
1 1
.names KEYBOARD.report[55] kbd_report[55]
1 1
.names KEYBOARD.report[56] kbd_report[56]
1 1
.names KEYBOARD.report[57] kbd_report[57]
1 1
.names KEYBOARD.report[58] kbd_report[58]
1 1
.names KEYBOARD.report[59] kbd_report[59]
1 1
.names KEYBOARD.report[60] kbd_report[60]
1 1
.names KEYBOARD.report[61] kbd_report[61]
1 1
.names KEYBOARD.report[62] kbd_report[62]
1 1
.names KEYBOARD.report[63] kbd_report[63]
1 1
.end