You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

8706 lines
296 KiB

.comment arachne-pnr 0.1+ (git sha1 40e220b, g++ 4.8.4-2ubuntu1~14.04.3 -O2)
.device 1k
.io_tile 1 0
000011010000000010
000101011000000000
000000000000000000
000000000000000001
000000000000000001
000000000001000000
001100000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000010
000000000001000000
000000000000000000
000000000000000001
000000000000000001
000000000000000000
.io_tile 2 0
000000000000000010
000000000000000000
000000000000000000
000000000000000001
000000111010000001
000000001001000000
001100000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
.io_tile 3 0
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
.io_tile 4 0
000000000000000000
000100000000000000
000000000000000001
000000000000000001
000000000000000000
000000000000000000
001000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000001
000000000000000000
000000000000000000
.io_tile 5 0
100000000000000000
000100000000000000
000000000000100000
000000000000000001
000000000000000000
000000000000000000
001000000000000000
000000000000000000
000000000000000000
010000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000001
000000000000000000
000000000000000000
.io_tile 6 0
000000110000000000
000100000000000000
000000000000000000
000000000000000000
000000000000000100
000000000000000000
001000000000011000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000001100000
000000000000000000
000000000000000000
.io_tile 7 0
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000000000000000100
000000000000001000
000000000000000000
000000000000000000
000010000000000000
000110010000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000001
000000000000000000
000000000000000000
.io_tile 8 0
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000100000000000000
010000000000000000
000000000000000000
000000000000000000
000000000000000001
000000000000000000
000000000000000000
.io_tile 9 0
000000000000000000
100100000000000000
000000000000000000
000000000000000001
000000000000000000
000000000000000000
001000000000000000
000000000000000000
000000000000000000
100000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000001
000000000000000000
000000000000000000
.io_tile 10 0
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000010000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
.io_tile 11 0
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
.io_tile 12 0
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
.io_tile 0 1
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
.logic_tile 1 1
100000000000000000000000001000000000000000000100000000
000000000000000000000000000011000000000010000000000000
111000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
010000000000000011000000000000000000000000000101000000
100000000000000000000000000101000000000010000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000100000000
000000000000000000000000001111000000000010000000000000
000000000000001000000000000000000000000000000000000000
000000000000000101000000000000000000000000000000000000
000000000000000000000110100000000000000000000000000000
000000000000000000000000000000000000000000000000000000
010000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
.logic_tile 2 1
000000000000001011000000000001100000000000001000000000
000000000000000101000011110000000000000000000000001000
000000000001010011000000000000000000000000001000000000
000000000000100000000000000000001011000000000000000000
000000000000000000000011010000001000001100111001000000
000000000000000000000010100000001011110011000000000000
000000000000001000000000000000001001001100111010000000
000000000000000101000000000000001010110011000000000000
000000000000000000000000000000001000001100111000100000
000000000000000000000000000000001000110011000000000000
000000000000000000000010000000001001001100111000100010
000000000000000000000000000000001101110011000000000000
000000000000000000000000000000001000001100111000100010
000000000000000000000000000000001001110011000000000010
000000000000000000000000000000001000001100111001100000
000000000000000000000000000000001000110011000000000000
.ramb_tile 3 1
000000000000000000000000000000000000000000
000000010000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000100000000000000000000000000000000000000
.logic_tile 4 1
000000000000000000000011001101011110000010000000000000
000000000000001011000110100001111000000000000001000000
000000000000000000000000000000000000000000000000000000
000000000000001011000000000000000000000000000000000000
000000000000001000000000010000000001011010010000000000
000000000000001111000010000000001110100101100000000000
000000000000000000000000000111100000000000000001000000
000100000000000000000000001001000000000011000000000001
000000000000000000000110100000000000000000000000000000
000000000000000000000100000000000000000000000000000000
000000000000000000000000000001000000000001010000100000
000000000000000000000000000101101101000010010000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000101100000010000000000000000000000000000
000000000000000000000011110000000000000000000000000000
.logic_tile 5 1
100000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
111000000000000011000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
010000000000000000000011010000000000000000000000000000
100000000000000000000110100000000000000000000000000000
000000000000000000000000001000000000000000000101000000
000000000000000000000000000001000000000010000000100000
000000000000000000000000000000000000000000000100100000
000000000000000000000000001101000000000010000000000000
000000000000000000000000000000000000000000000100100000
000000000000000000000000001101000000000010000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
010000000001010000000000000000000000000000000000000000
000100000000100000000000000000000000000000000000000000
.logic_tile 6 1
100000000000000101000000000000000000000000000100000000
000000000000000000100010111001000000000010000000100000
111000000000100000000000000000000000000000000000000000
000000000001010011000011010000000000000000000000000000
110000000000000000000000011101100001000001010101000000
010000000000001101000010010001001100000010010000000000
000000000000001000000000000000000000000000000100000000
000000000000001111000000000101000000000010000000000000
000000000000000000000000011000000000000000000100000000
000000000000000000000011001001000000000010000001000000
000000000000000000000000010000000000000000000100000000
000000000000000001000010000001000000000010000000000001
000000000000000000000000001101000001000001010100000000
000000000000000000000000001011101100000010010000000010
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
.logic_tile 7 1
000000000000000000000000001000000000000010000000000000
000000000000000000000000000001000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000001000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
110000000000000000000000000000000000000000000000000000
110000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000100000000000000000000000000000000000000000000000000
.logic_tile 8 1
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000010000011000000
000000000000000000000000000000000000000000000000000100
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
.logic_tile 9 1
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000010000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000001000000000000000000000000000000000000000000000000
000000100000000000000000000000000000000000000000000000
000001000000000000000000000000000000000000000000000000
000010000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000010000000000000000000000000000000000000000000000000
.ramb_tile 10 1
000000000000000000000000000000000000000000
000000010000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000001000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
.logic_tile 11 1
000000000000000000000011010001100000000000001000000000
000000000000000000000010000000000000000000000000001000
000000000000000101000000000011000000000000001000000000
000000000000000000100010110000101001000000000000000000
000000000000000111000011000101101000111100001000000000
000000000000000000000000000000101011111100000000000000
000000000000000000000000000101001000000011110000000000
000000000000000000000010110000000000111100000000000101
000000000000000000000000000000000000000000000000000000
000000000000000000000010010000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000001101000000011110000000000
000100000000000000000000000000110000111100000000000100
.logic_tile 12 1
100000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
111000000000000000000000000000000000000000000000000000
000000000000000000000010110000000000000000000000000000
110000000000000000000010101000000000000000000100000010
110000000000000000000100001001000000000010000000000000
000000000000000000000000000000000000000000000000000000
000100000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000100000000
000000000000000000000000001101000000000010001000000100
000000000000001000000000000000000000000000000000000000
000000000000001111000000000000000000000000000000000000
000000000000000000000111000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
110000000000000000000000000000000000000000000000000000
010000000000000000000000000000000000000000000000000000
.io_tile 13 1
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
.io_tile 0 2
000000000000000000
000000000000011000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
.logic_tile 1 2
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
.logic_tile 2 2
000000100000001000000000000000001001001100111001000001
000000000000001111000000000000001010110011000000010000
000000000000000101000010100000001001001100111000000001
000000000000001101100100000000001011110011000000000000
001000000000001111000110000000001000001100111000000001
000000000000000001100000000000001000110011000010000000
000000000000000101100000000000001001001100111000000001
000000000000000000100010110000001001110011000000000000
000000000000000000000000000000001001001100111010000000
000000000000000000000000000000001000110011000000000000
000000000000000000000000000000001001001100111010000000
000000000000000000000000000000001000110011000000000100
000000000000000000000000000000001000001100110011000000
000000000000000000000000000000001010110011000000000000
000000000000000000000000000101000000000001000000000000
000000000000000000000000000101100000000000000000000100
.ramt_tile 3 2
000001000000000000000000000000000000000000
000000100000100000000000000000000000000000
000000000000000000000000000000000000000000
000000001100000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000100000001000000000000000000000000000000
000100000000100000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
.logic_tile 4 2
100100000000000000000000010000000000000000000000000000
000000000000000000000011110000000000000000000000000000
111000001000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
110100000000000000000000000000000000000000000000000000
010000000000000000000000000000000000000000000000000000
000000000001000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000010000000000000000000100000000
000000000000000000000011111001000000000010000010000000
000000000000000000000000000000000000000000000000000000
000000000010000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000010000000000000000000000000000000000
000000100000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
.logic_tile 5 2
100000000000000000000000000000000000000000000100100000
000000000000000000000000000111000000000010000000000000
111000000000000000000011001000000000000000000101000000
000000000000000000000000001001000000000010000000000000
010000000000100000000011000000000000000000000100000000
100000000001000000000000001101000000000010000001000000
000000000000000000000000000000000000000000000000000000
000000000000010000000000000000000000000000000000000000
000000001110000000000000000000000000000000000000000000
000000000000001111000000000000000000000000000000000000
000000000000000000000000001000000000000000000110000000
000000000110000000000000001111000000000010000000000000
000000000000000111100000000000000000000000000101000000
000000000000000000100000000011000000000010000000000001
010010100000000000000000000000000000000000000000000000
000001000000000000000011010000000000000000000000000000
.logic_tile 6 2
000000000100001000000000000101000001000001010000000000
000000000000000011000000001101001000000010010000000001
000000000000001001000000001001000001000001010000000010
000000000000001101000000001101001010000010010000000000
000000000000001000000010111101000001000001010000000001
000000000000001111000011110101101000000010010000000100
000000000000001011100000000000000000000000000000000000
000000000000001101000010010000000000000000000000000000
110000100000001101100000000000000000000000000000000000
110000000000000111000010100000000000000000000000000000
000000001000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000011011000001100000000100000
000000000000000000000000000011001101101100000000000000
000000000000000000000000000011000000000001000000100010
000000000000000000000000000011000000000000000000000000
.logic_tile 7 2
100000000001010000000000000000000000000000000000000000
000000001100000000000000000000000000000000000000000000
111010000000000000000010100011000001000000100100000010
000000000000000000000100000011001001000000000000000000
000010100000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000010000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000001000000000000000000000000000000000000
000000000000001011100000000000000000000000000000000000
000000001110000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
010000000000000000000000000000000000000000000000000000
000100000110000000000000000000000000000000000000000000
.logic_tile 8 2
100000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
111000000110000000000000000000000000000000000100000010
000000000000000000000000000011000000000010000000000010
110000000000000000000000000000000000000000000000000000
010000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000111000000000000000000000000000000000000
000000000000000000100010010000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
.logic_tile 9 2
100000000000000000000000000000000000000000000000000000
000000000000010000000000000000000000000000000000000000
111000000000000000000000000000000000000000000000000000
000000000000001011000000000000000000000000000000000000
110000000000000000000000001000000000000000000100000000
000000000000000000000000000001000000000010000000100000
000001000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000001000000000000000000100000000
000000000000000000000000001111000000000010000001000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
110000000000000111000000010000000000000000000000000000
110000000000000000000010110000000000000000000000000000
.ramt_tile 10 2
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
.logic_tile 11 2
100000000000000000000010100000000000000000000000000000
000000000000000000000100000000000000000000000000000000
111100000000000000000010100000000000000000000000000000
000000000000000000000000000000000000000000000000000000
110000000000000000000010100101000000000001000100100000
010000000000000000000110011101100000000011001000000000
000000000000000011100011101000000000000000000100000001
000000000000001101100000000101000000000010000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000011110000000000000000000000000000
000000000000000000000000000101100000000001000100000000
000000000000000000000000001001100000000011001000000100
000000000000000000000000000001100000000001000100000000
000000000000000000000000001101100000000011001000000000
010000000000000000000000000000000000000000000000000000
110000000000000000000000000000000000000000000000000000
.logic_tile 12 2
000000000000000111000010100001000000000000001000000000
000000000000001101000110100000100000000000000000001000
000000000000001000000000000001000000000000001000000000
000000000000000001000000000000001000000000000000000000
000000000000000111000010110011001000111100001000000000
000000000000001101000110000000101010111100000000000000
000000000000000011100000000101101000000011110000000000
000000001110000101100000000000000000111100000000000100
000000000000000011100011000101001100000100000000000000
000000000000000000100100001101001001000000000000000000
000000000000000000000000001001100000000000000000000000
000000000000000000000000000101000000000001000010000000
000000000000000000000000000011001010000011110000000000
000000000000000000000000000000110000111100000000000100
000000001100000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
.io_tile 13 2
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
.io_tile 0 3
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
.logic_tile 1 3
100000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
111000000000000011000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
010000000000000000000011100000000000000000000000000000
110000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000001000000000000000000101000000
000000000000000000000000000001000000000010000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
.logic_tile 2 3
100000000000000000000010100000000000000000000000000000
000000000000010000000000000000000000000000000000000000
111000000000000101000000000000000000000000000000000000
000000000000000101000000000000000000000000000000000000
010000000000000101000000000000000000000000000000000000
100000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000001000000000000000000100000000
000000000000000000000000000001000000000010000000000000
000000000000000000000000001000000000000000000100000000
000000000000000000000000000001000000000010000000000000
000000000000000000000000000000000000000000000100000000
000000000000000000000000000101000000000010000000000000
010000000000000000000000000000000000000000000100000000
000000000000000000000000001001000000000010000000000000
.ramb_tile 3 3
000000000000000000000000000000000000000000
000000010000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000001100000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000001000000100000000000000000000000000000
000000100001000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000001100000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
.logic_tile 4 3
000000000000000011000111100001011001000010000000000000
000000000000001001000100000101111010000000000000000000
000000000000000111100111100000000000000000000000000000
000000000000000000100100000000000000000000000000000000
000000000000001111100111100111001011000100000000000000
000000000000000001100100000011101100000000000000100000
000000000000000111100000000000000000000000000000000000
000000001100000000100000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000111000000000000000000000000000000000000
000000000000000000000011000001000001000001010000100010
000000000000000111000100000001001101000010010000000000
000000000000000000000000000001111110100000000000000000
000000000000000000000011101101001100000000000000000010
000000000000000000000000000000000000000000000000000000
000000001110000111000000000000000000000000000000000000
.logic_tile 5 3
000000000000000111100110010001100000000000001000000000
000000000000000000100010000000100000000000000000001000
111000000000000001100010100101100000000000001000000000
000000000000000000000100000000001000000000000000000000
110000000000000000000000000011101000000011110000000000
110000000000000000000000000000100000111100000000000000
000000000000001001100010101101100001000010000000000000
000000000000000001000100001001001101000000000000000000
000000000000000000000000001001100000000011000000000000
000000000010000000000000001101000000000000000000000000
000000000000000000000110001000000000000000000100000000
000000000000000000000000000101000000000010000100000000
000000000000000000000011000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
010000000000000000000000000001000000000001000100000000
000000000000000000000000001001100000000011000100000000
.logic_tile 6 3
100001000000010001100111100000000000000000000000000000
000000000000101101100011100000000000000000000000000000
111000000000000001000000000011011101001100000100000000
000000000000000000100000000111111000101101010000000000
110000000000000000000000000111101001101101010100000000
100000000000000000000000001011011010001100000010000000
000000000000010001000000000000000000000000000000000000
000000000000100000100000000000000000000000000000000000
000000000000000101000010101000000000000010000100000000
000000000000000000100011010011000000000000000000000000
000000000000001000000110110001001111000011110100000000
000000000000001011000010100011001101000011100000000000
000000000000001101100000000000000000000000000101000000
000000000000000101000000001001000000000010000000000000
000000000000001101100000000111100000000000110100000000
000000000000000101000000000001001101000001110000000010
.logic_tile 7 3
000000000000001101100110010001000000000000000010000001
000000000000000111000010000101001001000000110000100000
000010000000001101100000001001000000000000000000000010
000001000000000101000000000001100000000001000000000000
000000000000001111100000010101100001000011010000000001
000000000000000111100010000001001111000011110000000100
000000000000001000000110100101000000000001000010000000
000000000110000101000000001101000000000000000000000000
000000000000000000000000000001000000001111000000000001
000000000100000000000000000000100000110000110000000001
000010100000000000000010101001011011011100000000000001
000001000000000000000100001011011010111000000000000000
000000000000000011000000000001000000000001000000000000
000000000000000000100000001001000000000000000000000000
000000000000000000000010100001101111011100100000000010
000000001010000000000100000101001101111100000000000000
.logic_tile 8 3
000000000000000000000110100001000000000000001000000000
000000000000000001000000000000100000000000000000001000
000000000000001000000000010001100001000000001000000000
000000000000000011000010100000101010000000000000000000
000000000000000000000000000011101001111100001000000001
000000000000000000000000000000101000111100000000000000
000000000100001000000000010001001001111100001000000000
000000000000000011000010100000001010111100000000000000
000000000000000000000000000000001000111100000000000001
000000000000000000000000000000000000111100000000000000
000000000000001000000010001000000000000010000000000001
000000000000001011000100001101000000000000000000000000
000000001110000000000000001000000000000010000000000000
000000000000000000000000000011000000000000000000000000
000000000000000000000000000000000000000010000000000000
000000000000000000000000001001000000000000000000000000
.logic_tile 9 3
000000000000000000000011000001100000000000001000000000
000000000000000000000000000000100000000000000000001000
000000000000000001000110110000000000000000001000000000
000000000000010000000010100000001101000000000000000000
000000000000000000000000000000000001000000001000000000
000000000000000111000000000000001001000000000000000000
000000000000000001100000000000000001000000001000000000
000000000000000000000000000000001100000000000000000000
110000000000000000000111000000001000111100000010000000
110000000000000000000000000000000000111100000000000000
000000000000000111100000000011100000000011000010000000
000000000000000000000011011001101010000010000000000000
000000000000000000000000001001100000000000000001000100
000000000000000000000000000101000000000001000000000000
000000000000000000000000000000000000000010000011000000
000000000000000000000000000101000000000000000000000100
.ramb_tile 10 3
000000000000000000000000000000000000000000
000000010000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000010000000000000000000000000000000000000
000001000000000000000000000000000000000000
.logic_tile 11 3
000010100000000000000110000111000000000000000000000000
000001000000000000000000001111000000000011000000000001
000000000000000101000000010000000000000000000000000000
000000000000001101000011010000000000000000000000000000
000000000000000000000010100011000000001111000010000000
000000000000000011000000000000100000110000110000000000
000000000000001001100111011111111001000010000000000000
000000000000000001100111000101011011000000000000000000
000000000000000000000110100001100000000011000000000000
000000000000000000000000001101000000000000000000000000
000000000000000000000000000101100000001111000000000000
000000000011010000000000000000100000110000110000100000
000000000000001000000110101001001011001100000000000000
000000000000000101000000000001101010001000000001100000
000000000000000001100000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
.logic_tile 12 3
100000000000001000000000000000000000000010000100000000
000000000000000101000000000001000000000000000000000000
111000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
010010100000000000000111010000000000000000000000000000
110001001110000000000010100000000000000000000000000000
000000000000000011000000000000000000000000000100000000
000000000000000000000000001101000000000010001000000000
000000000000000000000000000000000000000000000000000000
000000000000010000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
010000000000000000000000000000000000000000000000000000
110000000000000000000000000000000000000000000000000000
.io_tile 13 3
000010000000000000
000110110000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000100000000011000
000000000000000000
000000000000000000
000100000000000000
000000000000000010
000000000001000000
000000000000000000
000000000000000001
000000000000000001
000000000000000000
.io_tile 0 4
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
.logic_tile 1 4
110000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
111000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
010000000000000000000011100000000000000000000000000000
110000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
001000000000000000000000000000000000000000000000000000
000000100000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
001000000000001011000000000000000000000000000000000000
000000000000000000000000000000000000000000000100000000
000000000000000000000000000111000000000010000000000100
.logic_tile 2 4
010000000000000001000000010000000000000000000000000000
000000000000000000000010000000000000000000000000000000
000000000000000001100111111011000000000000010001000100
000000000000000001000011000001101000000000000000000000
000000000000001000000000011101001011100000000000000010
000000000000001111000011000101011001000000000000000000
010000000000000001100111000000000000000000000000000000
011000000000000000000100000000000000000000000000000000
000000000000000111000000000000000000000000000000000000
001000000000000000000011110000000000000000000000000000
000000000000000000000000001101100000000000000000000000
000000000000000000000000001001100000000001000000000000
000000000000000000000000001011000000000000000000100100
001000000000000000000000000001001001000000010010000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
.ramt_tile 3 4
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000001010000000000000000000000000000000
000000001000000000000000000000000000000000
000000000100000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000001010000010000000000000000000000
000000000000100000000000000000000000000000
.logic_tile 4 4
000000000000001000000000000000000000000000000000000000
000000000000001111000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000010000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000100000000000000000000000000000000000000000000000000
000000000000000011100000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000011000000000000000000000000
001000000000000000000000000001000000000001000000000010
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
.logic_tile 5 4
010000000000000111000000000000000000000000000000000000
000000000000000000000010100000000000000000000000000000
111000000000100111100110001001100000000001000001000001
000000000000000000100010010001100000000000000000000001
110000000000000111000010110101100000000001000000000000
010000000000000000000010001101100000000011000000000000
000000000000000001100000010101100000000000000000000000
001000000000010101000010001101000000000001000000000000
000000000000000000000000011001000000000011000000000010
000000000000000000000011001111001011000011110000000000
000000000000000000000110101001001011000100100000000000
000000000000000000000000000101101101000000000000000000
000000000010000000000000000001100000001111000000000000
001000000000000000000000000000100000110000110000000000
010000000000000000000110100000000000000000000100000000
000000000000000000000000001101000000000010000100000000
.logic_tile 6 4
010000000000001101100110001011111101100000000000000000
000000000000000001000000001001101010000000000000000000
111000000000001001000110001111000000000000000000000100
000000000000000001000110010001101011000000010000000000
010000000000000001100110101001011001001001010000000100
110000000000000000100011010001111101001101010000000000
000000000000000001000000001111101101011100000000000000
000000000000000101100010011011101010111000000000000000
010001000000001111000000000001000000000000010000000000
011000100010000011100000000101001000000000110000000000
000010100000000001000110010000000000000000000000000000
000001000010000000100010100000000000000000000000000000
000000000010000101000011100101100000000000100000000000
001000000000000000000000000101101110000000000000000100
010000000000000000000111000000000000000000000100000001
001000000000000000000000000011000000000010001100000000
.logic_tile 7 4
010000000000001101000111110111000000000010000000000000
000000000000000101100111000111100000000000000000000000
000000100000000001100010100101111111000000000000000000
000001000000000000000011000101001001100000000000000000
000000000000001001100000011111101000101100000000000000
000000000000000001000010001111011001001100000000000000
000000000000100001100010101101101011110100010000000000
000000001000001101000011001101101010111000010000000000
000000000000001000000011101001101100000000000000000000
000000000000000001000000001001011100100000000000000010
000000000000001011100000001111100001000010000000000000
000000000000000011000010001111101100000000000000000000
000000000000000011000000010101000001000000010000000010
001000000000000000100011000001101100000000000000000000
000000000000001011100111010101111101010100000000000000
001000000110001001100011000001011011011000000000000000
.logic_tile 8 4
000000000000000000000010100000000000000000000000000000
000000000000000000000010000000000000000000000000000000
000010101010000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000010001001100000011110000000101
000000000000000000000010000000100000111100000000000000
000000000000000000000000010101101000000011110010000000
000000000000000001000011100000110000111100000000000000
000000000000100000000000000000000000000000000000000000
000000001010000000000000000000000000000000000000000000
000000000000000000000000000000000000000010000000000000
001000000000000000000000001011000000000000000000000010
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
.logic_tile 9 4
100010100000000000000000000101001010000111110100000010
000000000000000000000000001101101010000011110000000000
111010000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
110000000001000101000011000000000000000000000000000000
010000000000001111100010110000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000010000000000000000000000000000
000000000000000000000011100000000000000000000000000000
000000000000000000000000000000000000000000000000000000
001000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
001000001100000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
001000000000000000000000000000000000000000000000000000
.ramt_tile 10 4
000010100000000000000000000000000000000000
000001000000000000000000000000000000000000
000000000000100000000000000000000000000000
000000000001000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
.logic_tile 11 4
110000000000000000000010100000000000000000000000000000
000000000000000000000000000000000000000000000000000000
111000000000000000000000000000000000000000000000000000
000000000000001001000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000001010000000000000000000000000000000000000000000
000000000000000000000000001001000000000010000110000000
000000000000000000000011100001101101000011000000000010
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
001000000000000000000000000000000000000000000000000000
010000001110000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
.logic_tile 12 4
000000000000000000000000000101000000000000001000000000
000000000000000000000000000000000000000000000000001000
000000000000000000000110000000000000000000001000000000
000000000000000000000000000000001110000000000000000000
000000000000000000000000000000000001000000001000000000
000000000000010111000000000000001010000000000000000000
000000000000101001100000010000000001000000001000000000
000000000001010011000011010000001001000000000000000000
000000000000000000000000000000001000111100000000100000
000000000000000000000000000000000000111100000000000000
000000000000000000000000001000000000000010000000000000
000000000000000000000000000101000000000000000000000000
000000000100001000000000000000000000000000000000000000
001000000000001001000000000000000000000000000000000000
000000000000000000000000001000000000000010000000000000
000000000000000000000000001101000000000000000000000000
.io_tile 13 4
000000000000000010
000100000000000000
000010000000000000
000010110000000001
000000111010000001
000000000001000000
001100000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000010
000000000011000000
000000000000000000
000000000000000001
000000000000000001
000000000000000000
.io_tile 0 5
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
.logic_tile 1 5
110000000000000000000000000000000000000000000000000000
000000000000000000000010000000000000000000000000000000
111000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
010000000000000000000000001000000000000000000101000000
010000000000000000000000001111000000000010000010000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000100000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
001000000000000000000000000000000000000000000000000000
000000000000000000000011000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
.logic_tile 2 5
000000000000001111000011101101000000000010000000000000
000000000000001101100100000011100000000000000000000000
000010100000000111100000001001000000000000010000000000
000001000000001111000000000001001000000000000000000100
000000000000001111000000000000000000000000000000000000
000000000000000001100011100000000000000000000000000000
000100000000000111100000000001000000000000010000000100
000100001100001111000000001001001000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000001100000000000010000000000
000000001110001001000000000101001000000000000000000100
000000000000000000000000001101100000000000010000000000
001000000000000000000000000101001010000000000010000000
000100000000000000000000000001000000000000010000000000
000000000000000000000000000101001000000000000000100000
.ramb_tile 3 5
000000000000000000000000000000000000000000
000000010000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000100000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
.logic_tile 4 5
000000000000000111100000000001000000000000001000000000
000000000000000000100000000000000000000000000000001000
000000000000000000000000000000000000000000001000000000
000000000000000000000000000000001110000000000000000000
000000000000000000000000000000000001000000001000000000
000000000000000000000000000000001100000000000000000000
000100000000000000000000000000000001000000001000000000
000000000000000000000000000000001100000000000000000000
000000000000001000000000000000000001000000001000000000
000000000000000101000000000000001111000000000000000000
000000000000000101100000000000000001000000001000000000
000000000000000001000000000000001110000000000000000000
000000000000000000000000000000000000000000001000000000
000000000000000001000000000000001100000000000000000000
000000000000000000000110100000001000111100000000000000
000000000000000101000000000000000000111100000000000100
.logic_tile 5 5
000000000000000111000000010000000000000010000000000000
000000000000000000000010001101000000000000000000000000
000001000000001001010000001001100000000001000000000000
000000000000000111100010000001000000000000000000000010
000001000000000101000011100101000001000000000000000000
000000000010001011000000000101001001000000010001000000
000000000000000000000000000000000000000000000000000000
000000001000000111000000000000000000000000000000000000
000000000110000000000000000111100000000000010000000100
000000000000000000000000000111001001000000000000000000
110000000000000000000000000000000000000010000000000000
110000000000000000000000000001000000000000000000000000
000000000000000001100000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000001000000000001000000000000010000000000000
001000000000000101000000000101000000000000000000000000
.logic_tile 6 5
000000000000000001100111100001101000000100000001000000
000000000000000101000110111111111010000000000000000000
000000000000001001100111110000011000000011110000000000
000000000000000111000110000000000000111100000000000000
000000000000000001100110000111101110100001010000000000
000000000000001001000010111101101000110011110000000010
000001001010000001000111100111011010111000000000000000
000000000000000000100100001011001100110000000000000000
000001000000000001100010100001001001010000000000000000
000000100000000000100011101111011011000000000010000000
000000000000000000000000000011100000000010000000000000
000010000000000000000010001101101100000011000000000000
000000000000001101100011100111000000000000010000000000
001000000000000101000010001001101101000000110000000000
000000000000000000000000011001111010000100000000000010
001000000000000000000010100001101010000000000000000000
.logic_tile 7 5
010000000000000001100111101001000000000000000000000000
001000000000000001000110011101100000000001000000000000
000000000000000101000010100011000000000000100000000000
000000000000000000100011001101101110000000000000000000
000000000000000101000111100001100001000010100001000000
001000000000000000000111100001001001000001100000000000
000000000000000000000110000101001010000011100000000000
000100000000001101000011000001101111000011110000100000
000000000000001000000000000001111100101001010000000000
000000000000000011000010111001111011011001010000000000
000000000000000000000110001111000001000010100000100000
000000000000000000000000000101101011000001100000000000
000000000000001000000111000101001110000000000000100000
001000000000000011000011000011111101100000000000000000
000000000000000000000000000011000000000010000000000000
000000000000000000000000001101101110000000000000000000
.logic_tile 8 5
010000000000000000000110000001100001000001010000000001
001000000000010000000011111101101110000010010000000000
111000000100001000000110000000000000000000000000000000
000000000000000001010000000000000000000000000000000000
010001000000000000000010001001000001000001010000000000
011000000000000111000110010001101110000010010000000000
000000000000000001000000000111000000000001100100000000
000000000000000000010000000101001110000010101000000000
000000000000000000000000010101000001000001100100000000
000000000000000000000011111011101100000010101000000000
000000000000000011000000000101100000000001100100000001
000000000000000001000000001111001110000010101000000000
000000000000000001000010000000000000000000000000000000
001000000000000001100110000000000000000000000000000000
010000000000000101100000001111000000000001100100000000
100000000000000000000000000011101110000010101000000000
.logic_tile 9 5
010000000000000111000000000000000000000010000011000000
000000000000001011000010011001000000000000000000000000
000000100100000000000110000001001011001010000000000000
000001000110000000000011011101001001000110000000000000
000000000000011111000000011001011000100100000000000000
000000000000000001100010101001011010101000000000000000
000000000000001000000000000000000000000000000000000000
000001000000001111000000000000000000000000000000000000
000100000000010000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000001001000000000000000000100000
000010000000000000000000000101100000000011000000000000
000010100000000000000000000000000000000000000000000000
001001000000000000000000000000000000000000000000000000
000000000100000000000000000000000000001111000000000000
000000000001000000000000000000001010110000110000000000
.ramb_tile 10 5
000000000000000000000000000000000000000000
000000010000000000000000000000000000000000
000010100000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000100000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000001000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
.logic_tile 11 5
110000000000000111000000000000000000000000000000000000
001000000000000000000000000000000000000000000000000000
111000000000001000000000000000000000000000000000000000
000000000000001111000000000000000000000000000000000000
110000000000000000000000000000000000000000000000000000
001000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000100000001
000000000000000000000000000001000000000010000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
001000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
001000000000000000000000000000000000000000000000000000
010000000000000000000000000000000000000000000000000000
011000000000000000000000000000000000000000000000000000
.logic_tile 12 5
010000000000000011100110000001100000000000001000000000
000000000000000111100000000000100000000000000000001000
111000000000000111100011000000000000000000001000000000
000000000000000001000000000000001000000000000000000000
000000000000010000000000000000001001001100110000000000
000000000000100000000000000000001010110011000001000000
010000000000001101000000000001100001001100110000000000
000000000000000001000000000000001010110011000000000000
000000000000000000000000000001000000000000000100000010
000000000000000000000000000001100000000001000000000000
000000000001000000000000000000000000000000000000000000
001000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
001000000000000000000000000000000000000000000000000000
000001000000000000000000001000000000000000000100000100
000000000000000000000000000001000000000010000001000000
.io_tile 13 5
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000100000000001000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
.io_tile 0 6
000000000000000000
000000000001100000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
.logic_tile 1 6
100001000000000000000000000000000000000000000000000000
000010100000000000000000000000000000000000000000000000
111000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
110000000000000000000000001000000000000000000101000000
010000000000000000000000000011000000000010000010000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000010010000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000011000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
.logic_tile 2 6
000000000100000000000111111000000000000010000010000000
000000000000001001000110000111000000000000000000000000
000000000000000111100000000111000000000000010000000000
000000000000001001000000001011001111000000000000000100
000000000000000000000000010001100000000010000010000000
000000000000000000000010100011001101000000000000000000
000000000000000000000000000001000000000000000000000000
000000000000000000000000000011000000000001000000000000
000000000000001111000110011000000000000010000000100000
000000001110000011100010010001000000000000000000000001
000000100000001000000000000001000000000000000000100000
000001000000000011000000001101101001000000010000000000
000000000000000111000000001000000000000010000000000000
000000000000000000000011100011000000000000000010000000
000100000000000011000011000111100000000000010000000010
000000000000000000100100001011101111000000000000000000
.ramt_tile 3 6
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000001010000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000001000000000000000000000000000000
000000000000100000000000000000000000000000
000000000000100000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
.logic_tile 4 6
000000000000001000000000000101100000000000001000000000
000000001100001001000000000000000000000000000000001000
000000001000000001100000000101000000000000001000000000
000000000000000000100000000000001110000000000000000000
000000000000000111000000000000000001000000001000000000
000000000000000000000000000000001100000000000000000000
000000000000000000000110000000000001000000001000000000
000000000000001011000100000000001000000000000000000000
000000000000000000000000000000000001000000001000000000
000000000000000000000000000000001011000000000000000000
000000000000001000000000000000000001000000001000000000
000000000000000111000000000000001110000000000000000000
000000000000001000000000000000000000000000001000000000
000000000000001111000000000000001000000000000000000000
000000000000001000000000000000001000111100000000000000
000000000000000101000000000000000000111100000000000100
.logic_tile 5 6
000000000000001111100111111001011001000000000000000010
000000001100000001100111110001001110110000000000000000
000000000000000111000111000101000000000000000000000000
000000000000001011100100001011101111000000010000000000
000000000000010001100010011001000000000000000000000000
000000001101110000000110000101101010000000010000000000
000000000000000011100000011001001111000010000000000000
000000000000001011100011000101101011000000000000000001
000011100000000111000111110000000000000010000000000000
000001100000000000100011100111000000000000000000000000
000001000000001000000000001111001100000000000000000000
000000100100000101000000001001111001100000000000000001
001000000000000111000111111011000000000000000000000001
000000000000000000000011100011000000000001000000000010
000000000000010101000000000001000000000001000000000000
000100000000100000100000001101000000000000000000000100
.logic_tile 6 6
100000000000001000000010100001000000000000110100100000
000000001100001001000010100001101100000000100011000000
111000000000001000000010100000000000000000000000000000
000000000000000011000010100000000000000000000000000000
110000000000000000000111001000000000000010000100000000
100000000000000000000110101101000000000000000000000010
000000000000000111100010011001101010111100010110100000
000000000000000000100010101011011110111100000001000000
000001000000001000000010001001111010110011110100000000
000010101110001101000010001011111001010010100000000000
000000000000000000000011111111011010101101010100000000
000000000000000000000011001001101011001100000000000000
000001000000000000000011101001001100110011110100000000
000000000000000001000100001001111101010011110000000000
000000100000000000000000000000000000000000000000000000
000001000000000000000000000000000000000000000000000000
.logic_tile 7 6
000000000000000011100011100001100000000001000000000000
000100000000001111000111100101000000000000000001000010
111010000100101101100011111011111110010000000000100000
000000000001111111000010101001111011000000000000000000
010000000000001011100011010111111011010000000000000000
110000000110001111010110000011011011000000000000000000
000000100000001111000010000001100000000001000000100000
000000000010001111000011100001100000000000000000000000
000000000000001011100000001101000001000001100100000000
000000000000001101000011100101001000000010101000000000
000000000001000000000000000011000001000001100100000000
000000000000000000000011110011101010000010101000000000
000000000000000000000000000001000001000001100100000000
000010000000000000000000001001101000000010101000000010
010000001000001000000011001001000001000001100100000000
100000000000000011000100000111001010000010101000100000
.logic_tile 8 6
000000000000000111100011110000000000000000000000000000
000000000000000001000011100000000000000000000000000000
111000000110001001100000001111101011111001000000000000
000000000010000001000010001101111010111010000000000000
010000001011010001100110000001100000000001010000000000
000000000000100000000010010101001101000010010000100000
000001001110001111100000010101101101101100010000000000
000000000000000011000011101101001010101100100000000000
000000000000001000000000011111111100101100010000000000
000000000000000011000010110011111001101100100000000000
110001000100000000000111001001011001111001000000000000
000010100000001001000011110111001010111010000000000000
000000001110000000000000001001001001110000000000100100
000000000000000101000000001011011001111000000000000000
010010000000000000000010000001100000000010000100000000
000001001010000001000110010111100000000000000000000100
.logic_tile 9 6
000000000001010111000010000011000000000000001000000000
000000000100100001000000000000000000000000000000001000
000000000000000000000000000000000000000000001000000000
000000000110000000000010010000001111000000000000000000
000000000101010000000000000000001000001100111000000000
000000000000100000000000000000001000110011000000000000
000000000000001001100110100000001000001100111000000000
000000000000001111000000000000001000110011000000000000
000000000000000111100111100000001001001100111000000000
000000000110000000000100000000001011110011000000000000
000000000000000000000000000000001000001100111000000000
000000000000000000000000000000001001110011000000000000
000000000000000000000000010000001001001100110000000000
000000000000000000000011100000001001110011000000000000
000000000001000000000000001101100000000000100000000000
000000000000000000000000000101001101000000000000000000
.ramt_tile 10 6
000010100000000000000000000000000000000000
000001000000000000000000000000000000000000
000000000000000000000000000000000000000000
000001000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000100000000000000000000000000000
000000000001010000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000001010000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
.logic_tile 11 6
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000001000001000000000000000000000000000000000000000000
000000100000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000001010000000000000000000000000000000000000000000
000001000000000000000000000000000000000000000000000000
000010100000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000001110000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
.logic_tile 12 6
100000000000001000000000000000000000000000000000000000
000000000000001101000000000000000000000000000000000000
111000000000000000000000001000000000000000000100000000
000000000000000000000000000011000000000010000000000100
110100000001000000000000000000000000000000000000000000
000000000000100000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000001000000000000000000000000000000000000000000000
000000000000000111100011000000000000000000000100000000
000000000000000000100100000001000000000010000000000100
000000000000001011000000000000000000000000000100000000
000000000000001011100011001011000000000010000000000000
000000000000000000000000001000000000000000000100000000
000000000000000000000000001011000000000010000000000000
110001100000000000000000000000000000000000000100000000
010010100000000000000000000011000000000010000000100000
.io_tile 13 6
000000000000000010
000100000000000000
000000000000000000
000000000000000001
000000011010000001
000000001001000000
001110000000000000
000010010000000000
000000000000000000
000100000000000000
000000000010000010
000000000011000000
000000000000000000
000000000000000001
000000000000000001
000000000000000000
.io_tile 0 7
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
.logic_tile 1 7
100000000000000000000000001000000000000000000100000001
000000000000000000000000000001000000000010000011000000
111000000000000000000000000000000000000000000000000000
000000000000001101000000000000000000000000000000000000
110000000000000000000000000000000000000000000000000000
010000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000010000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
.logic_tile 2 7
100000000000000000000000001000000000000000000110000001
000000000000000000000000000011000000000010000000000000
111000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
110000000000000000000000000000000000000000000000000000
010000000010000000000000000000000000000000000000000000
000100000000010000000000000000000000000000000000000000
000000000100100000000000000000000000000000000000000000
000000000000000000000000010000000000000000000000000000
000000000000000000000010110000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000001101000000000000000000000000000000000000
000001000000000000000000000000000000000000000000000000
000000100000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
.ramb_tile 3 7
000000000000000000000000000000000000000000
000000010000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000001010000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000100000000000000000000000000000000
000000000001000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000100000000000000000000000000000
000000000000010000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
.logic_tile 4 7
000000000000000111100110000011100000000000001000000000
000000000000000000100100000000000000000000000000001000
000000000000000101000111010000000000000000001000000000
000000001010000000100111000000001010000000000000000000
000000000000001000000010110101100000000000001000000000
000000000000000111000111110000001011000000000000000000
000000000000001001100000000000000001000000001000000000
000000000000000011000000000000001000000000000000000000
000000000000001000000000000000001000111100000000100000
000000000000001111000000000000000000111100000000000000
000000000000000101000000000011000000000010000000000000
000000000000000000000000000001001011000000000000000001
000100000000000000000000001001000001000000100000000000
000000000000000000000000000101101001000000110000100010
000000000000000000000000001101100000000000000000000000
000000000000000000000000001001001001000000010000000000
.logic_tile 5 7
000010100001000001100010001011111011000011110000000000
000000000000000011000010001111111101000011100000000000
000000000000001101100110100101111100011100000000000000
000001000000000001000010010011001011111100000000000000
000000000000000000000010000001101001111110110000000000
000000000000001011000110010011111000111100110000100000
000000100000001101100000000001011101111100000000000000
000001001100100001000010110011101000011100000000000001
000000000000001001000011000001000001000000010000000000
000000000000000001100000000111001001000000110000000000
000000000000000001100010011011100000000000000000000000
000000000000001001000110001111101101000000010000000000
000000000000000011100111000101011110010000000000000000
000010000000010000000000001111001000000000000000000000
000000000000000000000011001101000001000010000000000000
000000000010000001000011010101101100000000000000000000
.logic_tile 6 7
000000000000001001100000011011100000000000000000000000
000000000000001111000011111111100000000001000011000100
000010000001000101100110011111101101101100000000000000
000001001110000000100011111001101101101100100000000000
000000000000001000000110000101101010010000000000000000
000000000000001111000010010001011111000000000000000000
000000000000000001100000001001100000000000000000000000
000000000001001011000000000001100000000001000000000000
000000100000001001000000000101011010011100000000000000
000001000000000101000000001111101000111100000000000010
000010000001010101000010001011001001001100000000000000
000000000000100000100000000111011100000100000000000000
000000000000000000000110101001001100000011010000000000
000000000000000000000000000101001011000011000000000100
000000000000001000000010100111000000000000000000000000
000000000000010101000100000101100000000001000000000000
.logic_tile 7 7
000000000000001001100010000001000000000001010010000001
000000000000000001000000000111001101000010010000000000
111010100000000001000110000000000000011010010000000000
000000000000000000000110110000001100100101100000000000
011000000000000000000000001001101001000010000001000001
000000000000000000000000001001111011000000000000000001
000000000000000000000010100111100000000001000000000000
000000000000000000000100001011100000000000000000000000
110000000000010111000110110011100001000000000000000000
000000001100100000000010101111101100000000010000000000
000000000000001101100110101001000000000010000000000000
000000000000000101000000000011000000000000000000000100
000000000000010111000110100001100000000010000110100100
000010000000100000000000001111000000000000000000000000
010000000000000000000110100000000000000000000000000000
000000000000000000000000000000000000000000000000000000
.logic_tile 8 7
000000000001000000000010011011101101111100100000000000
000000000000100001000010001001001011111100110000000000
000000000000011000000111011011100001000011000000000000
000000000000000011000110000111001101000010000000000000
000000000000001000000110011011100001000000100000000000
000000000110000001000110011001001101000000110000000000
000000000000000000000110011101000000000010100000000000
000000000000000000000110101101101111000001100000000000
000010100000001001100110101101000000000010100000000000
000000000000000101000000000001101001000001100001000000
000000000000001101100010110001000001000010010010100000
000000000000001011000110101001101001000011000001000000
000000000000000111100000010111111000100000000000100000
000000000000000000100010000001111110000000000000000000
000000000000000000000000000011000000000000010000000000
000000000000000111000010111011001010000000000000000000
.logic_tile 9 7
000000000001010001100010011001101011111100100000000000
000000000000001111000011111101011100111100110000000000
111000000000001001100000000001100001000000100000000000
000000000000001101000010100011101100000000000000000000
010000000000100000000000010011100001000011000000000000
010000001100000000000010000001001111000010000000000000
000000000000000001100011011101111000111100100000000000
000000000000000101000110101101001000111100110000000000
000000000000000111000000000101100001000000100000000000
000000000000000000100000000001001110000000000000000000
000000000000001001000110011111000000000000010000000000
000000000000000001100110001011001111000000000000000000
000000100000000001000000010011100000000000000000000000
000001000000000000000011000001000000000001000000100100
010000000000000001000110010011100001000011000100000010
000000000000000000100110111001101111000010100000000010
.ramb_tile 10 7
000000000000000000000000000000000000001000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000010
000000000000000000000000000000000000000000
110000000000000000000000000000000000000100
110000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000011100000000000000000
000000000000000000000000000000000000000010
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
.logic_tile 11 7
000000000000000011100000001111100000000011000001000000
000010001100001001000000000101001100000010000000000000
111000001110000001100010000000000000000000000000000000
000000000000001001000010000000000000000000000000000000
010000000000000000000010000000000000000000000000000000
110000000001010000000110010000000000000000000000000000
000000000000000101000000001111011000011100000000000000
000000000000000000100000000001011000111000000000000010
000000000000000000000000000000000000000000000000000000
000000000000001001000000000000000000000000000000000000
010000000000000000000000000001000001000000110000000000
100000000000000000000000001101001001000000000000000000
110000000000000001000000001000000000000000000100000000
110000000000000000100000000001000000000010000000000000
010000000000000000000000000000000000000000000000000000
000100000000001001000000000000000000000000000000000000
.logic_tile 12 7
000000000000001001100000010001100001000000001000000000
000000000000001111000010000000001100000000000000000000
111000000000000001000110010000001001001100111000000000
000000000000000000000010000000001011110011000000000000
000000000000001000000110000000001001001100111000000000
000000000000001111000000000000001011110011000000000000
000000000000000001100110010000001001001100110000000000
000000000000000000000010000000001100110011000000000000
010000000000000000000000001001111111100000000000000000
000000000000000000000000000101101001000000000000000000
000000000100001001100000000101000000000000000100000000
000000000000001101000000000101100000000001000000000000
000000000000000000000000000001000000000000000100000000
000000000000000000000000000001000000000001000000000000
000000000000001000000000000001000000000000000100000000
000000000000001101000000000101100000000001000000000000
.io_tile 13 7
000010000000000010
000111110000000000
000000000000000000
000000000000000001
000000000000000010
000000000000010000
001000000000000000
000000000001100000
000000000000000000
000100000000000000
010000000000000000
000000000000000000
000000000000000000
000000000000000001
000000000000000000
000000000000000000
.io_tile 0 8
000000000000000000
000000000001000000
000000000000000000
000000000000000000
000000000000001100
000000000000001000
001100000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
010011010000000000
000000000000000000
000000000000000001
000000000000000000
000000000000000000
.logic_tile 1 8
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
111000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
110000000000000000000011000000000000000000000000000000
110000000000000000000100000000000000000000000000000000
000000000001010000000000000000000000000000000000000000
000000000000100000000000000000000000000000000000000000
000000000000000000000000000000000000000000000100000011
000000000000000000000000001101000000000010001100000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000011000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
010000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
.logic_tile 2 8
100000000000000000000000001000000000000000000101100000
000000000000000000000000000001000000000010000001100000
111000000000001000000000000000000000000000000000000000
000000000000000101000000000000000000000000000000000000
110000000000000000000000000000000000000000000000000000
010000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000011000000000000000000000000000000
000000100000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
001000001110000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
.ramt_tile 3 8
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000010000000000000000000000
.logic_tile 4 8
000001000001010111000011010011001111001111110001000000
000000000000100000000010001101001111000110100000000000
000100000000000001000110011001100000000001000000000000
000100000000000011100011001001000000000000000000000010
001000000100000011100011010001000000000010000000000000
000000000000000001000010011111101100000010010000000000
000000000001001011100010110001001011001101010001000011
000000000000100001100011001101111000000101010000000000
000000000000001111100000011111101000110000000000000000
000000000000001111100011101101111111111000000000000000
000000000000000000000000001011101101001111110010000000
000000000000000000000010100101111001000110100010000001
000000000000000000000010000001011011000000000000000001
000000000000011001000000000101011010100000000000000000
000100000000000000000110010111011000000000000000000000
000000000000000000000010100101111001100000000000000000
.logic_tile 5 8
000000000000001011100111010001100000000000000000000000
000000000000001111100010000001000000000001000000000000
000000000000011101000010110101100001000000000000000000
000000000000100111110110000011001001000000010000000010
000000000000000000000000011101100000000000000000000000
000000000000000011000010001011000000000001000000000000
000000001100100101000010111001111010110000000000000000
000000000001010011100011001011101011010000000000000000
000000000000001011100110001011011001010000000000000011
000000000000000001000000000011011010000000000000000000
000000000001011101100110000101101100000010000000000000
000000000000101011100110101011101001000011000000000000
000000000000000111000000000101011101010000000000000000
000000000000000000000000000111001000000000000000000000
000000000000000000000000000011101001110000000000000000
000000000000000000000000000001011011111000000000000000
.logic_tile 6 8
000000000000000001100000000001100000000000000000000000
000000000000000101000011011001001101000000010000000000
000000000000001101100110101011100000000000000000000000
000000000000100001000010101101100000000001000000000100
000000000000000000000110001101000000000010000000000000
000000000000000101000010101011000000000000000000000000
000000100000000000000010101101100001000000000000000000
000000000000000011000010101001101010000000010000000000
000000000000000111100000011011000000000001000000000000
000000000000000000000011100111000000000000000000000000
000000000000000000000000010001000000000010000000000000
000000000000000000000010000001000000000000000000000000
000010100000001000000000001101001110010111110000000000
000001000001010001000000000001101011100111110000000000
000010000000010111000000001001100000000000000000000000
000001000000100000000000000001100000000001000000000100
.logic_tile 7 8
000000000001000000000111100001100000000000001000000000
000000001110000000000100000000100000000000000000001000
111010000000001001000110100101100000000000001000000000
000000000000001001000010000000001111000000000000000000
010000001110001000000000000011101000000011110000000000
000000000000001001000000000000100000111100000000000000
000000000000000101100110000000000000000000000000000000
000000000000000000000010000000000000000000000000000000
111000000000001111000000000011000000000000000000100000
000000000000001011100000000011000000000001000001000000
110000000000000000000010111101111100000100000010000000
000000001110000000000110001101111001000000000000000000
000000000000000000000000001001100000000010000101000000
000000000000000000000010010001000000000000000000000001
010000000000000000000000000001100000000010000101000000
000000000000000000000000000101100000000000000010000000
.logic_tile 8 8
000001000001000000000110000001100000000000001000000000
000010100000000101000100000000000000000000000000001000
111000000011010101000000000000000001000000001000000000
000001000000100001000000000000001010000000000000000000
010000000000001000000010100000000000000000001000000000
000000000000001001000000000000001011000000000000000000
110000000000000000000000000000000000000000001000000000
000000000000000101000000000000001010000000000000000000
001000000000000000000000000000000000000000001000000000
000000000001010000000000000000001000000000000000000000
000000000000000000000000000000000000000000001000000000
000000000000000000000000000000001001000000000000000000
000000000000000000000000000000001000111100000000000000
000000000000000000000000000000000000111100000000000000
010000100000000000000000000001100000000010000110000000
000010000000100000000000001001000000000000000000100010
.logic_tile 9 8
000000000000000000000000001001100001000010100000000000
000000000000000000000011100011101011000001100000100000
111000000100001001100110001101000001000010100000100000
000000000000000001000100001111101011000001100000000001
010000000000000000000110000101100001000010100000100000
010000000000000000000100001011001000000001100000000000
000000000000001001100110011101100001000010100000100000
000000000000001001100010000111001000000001100000100000
000000000000000000000000011000000000000000000100000000
000000000000000000000011010111000000000010000000000000
000000000000000101000000001000000000000000000100000000
000000000000001001100000000011000000000010000000000000
000000000000000000000000001000000000000000000100000000
000000000000000000000000000111000000000010000000000000
010000000000000101000000001000000000000000000100000000
000000000000001001100010100011000000000010000000000000
.ramt_tile 10 8
000000100000000000000011100000000000000000
000000010000000000000010001001000000000000
101010000000000011000000011000000000000000
101000010000000000000011001111000000000000
000010000000000000000011000000000000000000
000001000110000000000000001101000000000000
000000000000000011100000001000000000000000
000000000000000000100010011101000000000000
000010000000000000000000000000000000000000
000001000000001111000000000011000000000000
000000000001000000000000001000000000000000
000000000000000000000000000001000000000000
000000000000000011100000001000000000000000
000000000000000000000000001001000000000000
010000000000000000000000010000000000000000
110000000000000000000010101001000000000000
.logic_tile 11 8
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
111000001100000001000000000000000000000000000000000000
000000000000000000000010100000000000000000000000000000
011000001000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000010000000000000000000000000000
000000000000000000000011000000000000000000000000000000
110000000000000001000000000101100001000010100000000000
000000000000000000100010111011101001000001100000000100
000000000000000000000000000000000000000000000100000101
000000000000000000000011010011000000000010000000000000
000000000000000000000000001001100000000010000100100000
000000000000000000000000001011000000000000000001000100
010000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
.logic_tile 12 8
000000000000000101000000000001100000000000001000000000
000000000000000000100000000000000000000000000000001000
111000000000000101000000000000000000000000001000000000
000000000000000000100000000000001000000000000000000000
000000000000000000000000000000001001001100111000000000
000000000000001101000000000000001011110011000000100100
000000000000000000000010100000001000001100110000000000
000000000000000000000100000000001010110011000000000100
000000000000001000000000000000000000000000000100100000
000000000000000101000000000011000000000010000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
.io_tile 13 8
000001110000000010
000000000000000000
000000000000000000
000000000000000001
000000000000000101
000000000001001100
001100000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000001010000000000
000000000000000000
000000000000000000
.io_tile 0 9
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000001100
000000000000001100
000100000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000110000000000
.logic_tile 1 9
000000000000000000000000010000000000000000000000000000
000000000000000000000010000000000000000000000000000000
111000000000000001100011110000000000000000000000000000
000000000000001101000010000000000000000000000000000000
010000000000000000000110001111000001000011000000000000
010000000000000000000010110011001011000011110000000000
000000000000000001100011100111100000001111000000000000
000000000000000101000000000000100000110000110000000000
000000000000000000000000001101000001000000100000000010
000000000000000111000000000101101001000000000000000000
000000000000000000000000001101000000000001000000000000
000000000000000001000000001001100000000011000000000000
000000000000000000000000001101100000000000100000000000
000000000000000111000000000001001100000001000000000000
010000000000000000000110001000000000000000000100000000
000000000000000000000000000001000000000010000100000001
.logic_tile 2 9
100000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
111010000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
010000000000100000000011100000000000000000000000000000
110000000001000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000010000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000001000000000001000000000000000000111000011
000000000000001001000000000111000000000010000000000000
.ramb_tile 3 9
000000000000000000000000000000000000000001
000000000000000000000011010000000000000000
000000000000000000000000000000000000000001
000000000000000000000000000000000000000000
010000000000000000000000000000000000000101
010000000000000000000000000000000000000000
001010000000000000000000000000000000010010
000001000000000000000000000000000000000000
000000000000000000000000000000000000000001
000000000000000000000000000000000000100000
000000000000000000000000000000000000001001
000000100000000000000000000000000000000000
000001000000000000000000000000000000001000
000010000000000000000000000000000000000100
000000000000000000000000000000000000001001
000000000000000000000000000000000000000000
.logic_tile 4 9
000010100000000111000111101011111000011100000000000000
000001000000000001000100001001101011111100000000000010
000000000000000001100010010000000000000000000000000000
000000000000000001000010000000000000000000000000000000
000000000000000000000110000000000000000000000000000000
000000001000000000000011000000000000000000000000000000
010100000000000001000000001011000000000011000000000000
010100000000000000100000000011000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000111000000000000000000000000000000000000
000000000000000101100011101011100000000000000000000000
000000000000000000000110101111101001000000010010000000
110000000000000000000000000101000001000000100000000000
110000000000000000010000000001101010000000000000000000
000000000000000000000000010001100001000011100000100000
000000000000000000000010100001001001000011000000000000
.logic_tile 5 9
000000000000000001000000010111111101010000000000000000
000000000000001001000010001111101011000000000000000000
111000000000001011000110000001001100111100000000000000
000000000000000111000110110111101011011100000000000010
010000001110000001100010001111011000010000000000000000
110000000000000000100110001011101101000001000000000000
000100000000000101000111100001001111000100000000000000
000100000000000000000111101111001111000000000000000000
000000100000001111100110011001001101100001000000000000
000000000000000001100111011111001001100010000000000000
000000000000000111000110101101111001011010100100000000
000000000000000101000010011001001011010110100000000010
000000000000001111100111010111100001000010000100000001
000000000000001111010010110101001110000011000000100000
010000000000000001100111000011100001000010110110000100
100000000000000101100110011011001101000000110000000000
.logic_tile 6 9
000000000000001101000000000011100001000011010000000000
000000000000001011000000000001001000000011000000000000
000000000000001000000000000101000001000000100000000000
000000000000000011010000000111101010000000000000000010
000000000000001111100110001011100000000001000000000000
000000000000001011100000001111100000000000000000000000
000000000010001000000010100101100000001111000000000000
000010000110000001000000000000100000110000110000000000
000000000000000011100000000011100000000001000000000000
000000000000000000000000000101100000000000000000000010
000100000010000001100111000101100001000000000000000000
000001000000000000100110000101101010000000010000000000
000110100000001001000000000111101101000100000010000000
000101000100000001000000000111001101000000000010000000
000000000000000000000110000101000000000010000000000000
000000000010000001000000000001000000000000000000000000
.logic_tile 7 9
000000000000001011100111010101111001000001000000000000
000000000000000101100010001001111010000011000000000000
111000000000001111100111010111111000110011110000000000
000000000010000101100110001111101010010010100000000000
010000000000001001100111100111100000000010000000000000
000000000000000101000110101011100000000000000000000000
000000000000001101100011011111111011000011000000000000
000000100000000001000010000111011010000001000000000000
000000000000000000000000010001011001111100100000000000
000000000010000000000010010101101100111100000000000000
000000100000000000000000000101011000001111110000000000
000000000000001101000000001111111011001110100000000000
000000000000000000010000010001000000000000000000000000
000010000000000000000010010001000000000001000000000000
010000000000000001100110011000000000000000000101000000
000000000000001101000010100101000000000010000000000000
.logic_tile 8 9
000000000000000001000000011101001111000000000001000000
000000000000000000000010001001101100100000000000100000
111000000000001101100110000000000000000000000000000000
000000000000001111000010100000000000000000000000000000
010000000000001000000000011001100001000000100000000000
000000000000000101000010001101101101000000000000000000
000100000000000101100110101001011101100000000000000100
000100000000000001000000000111101010000000000000000000
000000000000001000000000000001100000000000000000100001
000000000000000101000000000011100000000001000000000000
000000100000000000000000010101100000000001000001000000
000000000000000001000010000101000000000000000010000000
000000000000001000000000001101000000000001100100000000
000000000000000101000000001011001100000010101000000000
010000000000001000000000001001100000000000110110000000
000000000000000111000000000001001000000001110000000000
.logic_tile 9 9
000000000000000000000000001111000000000000000001000001
000000000000000111000010001001100000000001000000000000
000000100000000000000000010000000000000000000000000000
000000000000000000000010110000000000000000000000000000
000000000000101000010000000000000000000000000000000000
000000000000001111000000000000000000000000000000000000
000000000000001000000000010000000000000000000000000000
000000001110001111000010110000000000000000000000000000
000000000000000000000000010000000000000010000001000000
000000001010000001000010110001000000000000000000100010
000000001010000000000000000011000000000010100010000000
000000000000000000000000000111001010000001100000100000
000000000000001000000000010101100000000000000001000000
000000000000000101010010111011100000000001000000000000
000000000000000000010000001001000001000010100000000000
000000000000000101000000000111101010000001100000000100
.ramb_tile 10 9
000000000000000001000010001001000000000000
000000000010000111000011101001000000000000
001010000000011001000111000000000000000000
001000000100100011100110011001000000000000
010101000000000000000000000001100000000000
010111000000000000000010000011000000000000
000000000000000000000000000000000000000000
000000001110000000000000001101000000000000
000000000000000001000000001011000000000001
000001000000001001100000000011000000000000
000000000000100001000111001000000000000000
000000000000010111100110111011000000000000
000000000000000000000000001011100000000000
000000000000000000000000001011000000000000
010000000000000000000000001000000000000000
010000000000000000000000001001000000000000
.logic_tile 11 9
000000000001010011000110101101100000000000000010000000
000000000000000000000100000001100000000001000000000000
111000000000000001000010101001100001000011000000000000
000000000110000011000100001001001000000011010001000010
010000000001010011000010000001000001000010100000000000
110000000000000000000000000111101010000001100000100000
001001000000010001100000000000000000000000000000000000
000000100000100000000011000000000000000000000000000000
110000000000000000000000000000000000000000000100000000
110000000000000000000000000101000000000010000001000000
000000000000000000000000000000000000000000000100000000
000000000000000000000000000001000000000010000000000000
000000000000000111000000000000000000000000000100000000
000000000000000000000000000101000000000010000001000000
010010100000000000000000000000000000000000000000000000
000001000000000000000000000000000000000000000000000000
.logic_tile 12 9
100000000000010011100010100011011001001010000111000000
000000001100100000100111101101101000000110000001000000
111000000000010001000000001011001011101101110100000000
000000000000001101000010001101001100010110100001000000
010000000000000001100010100000000000000000000000000000
010000001110001101000010110000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000010110000000000000000000000000000
010000000000001000000011100000000000000000000000000000
010000000000001111000000000000000000000000000000000000
010000000000000001100000000111011000001010000110000000
010000000000000000000000001101111001000110001000000000
000000000000010000000011100000000000000000000000000000
000000000000000000000000000000000000000000000000000000
010000000000000000000000000011000000000001000100000000
000000000000000000000000000001001010000011001011000000
.io_tile 13 9
000000000000000000
000100000000000000
000000110000000000
000000000000000000
000000110000001100
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000010010
000000000000010000
000000000000000000
000000000000000001
000000000000000010
000000000000000000
.io_tile 0 10
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000100000000100000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
.logic_tile 1 10
000000000000000001000000000001000000000000001000000000
000000000000000000100010100000000000000000000000001000
111000000000001001100110010001100000000000001000000000
000010100000000001000010000000101111000000000000000000
010001000000000000000000000001101000000011110000000000
010000000000000000000000000000100000111100000000000000
000000000000000000000010110000000000000000000000000000
000000000000000000000010000000000000000000000000000000
000000000000000000000000011101100000000011000000000000
000000000000000000000010001101100000000000000000000000
000000000000000000000000000001100001000010000000000000
000000000000000000000000000001101001000000000000100000
000000000000000000000000001000000000000000000100000000
000000000000000000000010000001000000000010000100000000
010000000000000000000000001011000000000001000100000000
000000000000000000000000000001100000000011000100000000
.logic_tile 2 10
000000000000000000000000000000000000000000000000000000
000000000000000111000000000000000000000000000000000000
111000000000000001000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
010001000100000000000000000000000000000000000000000000
000010100000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
110000000000000000000000000000000000000000000000000000
000000000000000000010000000000000000000000000000000000
000000000000000000000000001001000000000000000100100000
000000000000000000000000000001000000000001000000000010
000000000000000000000000000000000000000000000000000000
000000000000010000000000000000000000000000000000000000
010000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
.ramt_tile 3 10
000000000000001111100000000000000000000000
000000010000001011100000000001000000000000
111010100000000000000000010000000000000000
000000010000000000000011110111000000000000
000000000000000000000000000000000000000000
000000000000000000000000000011000000000000
000000000000000000000000001000000000000000
000000000000000000000000000011000000000000
000000001110000000000000010000000000000000
000000000000001111000011001011000000000000
000000000000000111000000000000000000000000
000000000000000001000000000001000000000000
000000000000000000000000001000000000000000
000000000000000011000000001001000000000000
110000000000000000010000000000000000000000
010000000000000000000000000000000000000000
.logic_tile 4 10
000000000000000000000010000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000111100000000000000000000000000000000000
000000001100000000000000000000000000000000000000000000
000000000000000000000010011001011000010000000000000000
000000001000000000000111011111101111000000000000000100
000000000000000000000000001011100000000000010000000000
000000000000000000000000000011101111000000000000000000
000000000000000000000110000011100000000010000000000010
000000000000000000000000001111101011000000000000000000
000000000000001001100110110000000000000000000000000000
000000000000001001100110010000000000000000000000000000
000000001100000000000010010000000000000000000000000000
000000000000000000000111010000000000000000000000000000
000000000000000000000110100011100000000000100001000000
000000000000000000000100001101001101000000000010000000
.logic_tile 5 10
000000000000000000000000000000000000000000000000000000
000000000000000000000010010000000000000000000000000000
111000000000000001100000001011000001000010000000000000
010010000000000000000000001011101100000011000000000000
110000000000000001100010100001100000000001000000000000
110000000000000000000000001101000000000000000000000000
000000000010000000000000010000000000000000000000000000
000000000000000101000010000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000100000000000000000010000000000000000000000000000000
000000000000001001000011001111001001110000000000000000
000000000000001111000100001101011010000000000000000000
000000000000000111100010001101100000000001110100000000
000000000001010000010000000011101010000000110000100100
010000000000000000000000000000000000000000000000000000
100000000000000000000000000000000000000000000000000000
.logic_tile 6 10
000000000000000111100000000111001100100000000000000001
000000000000000000000010101001101110000000000001000000
000000000000000011000110011011111001000011110000000010
010000000000100001000011000001001001100011110000000000
000000000000000011100000001101100000000001000000000000
000000000000000000100010101001000000000000000000000000
110010000000001000000000010000000000000000000000000010
110000000000000001000011000000000000000000000010000000
000000000000000101000000000001000000000000000000000000
000000000000000000100000000101000000000001000000000001
000000000001001000000000000000000000000000000000000000
000000000000000001000000000000000000000000000000000000
000000001010000111000000010000000000000000000000000000
000000000000000000000010000000000000000000000000000000
000001000000000000000000001111000000000000000000000000
000000000000000000000000001101001011000000110000000000
.logic_tile 7 10
000001000000001001100010111101001010011000000000000000
000010000000000001000011111001011111010100000000000000
111001000000000000000000010101100000000000000000000000
000000000000000001000010011011100000000001000000000000
010000000000000000000000000000000000000000000000000000
000000000000000101000010000000000000000000000000000000
110000000000000000000110000000000000000000000000000000
110001000000000111000000000000000000000000000000000000
000000000000000000000011100000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000110000000000010001101101110110011000000000000
000000000000000001000100001111001001000000000000000010
000001000000000000000111100001011000111000000101000000
000000000000000000000100001001001000110000000000000000
.logic_tile 8 10
000000000101001001000110001101100000000000000000000000
000000000000100001000010001101001101000000010000000000
000000000000001001100111100011111011100000000000000000
000000000000001011000111001101101000000000000000000100
000000000000000111100000011101101110110011000000000000
000000000000000000100010000001001010000000000000000000
000000000000010011100111001101100000000000010000000000
000000001000000111100111000101101110000000000000000000
000000000000001011100111010111111010101000000000000000
000000000001001011100111001001011000100100000000000000
000000000000001000000000010011011100110011000000000000
000000000000000011000010000011001000000000000000000000
000000000000001001000000000011011001110011000000000000
000000000000010011000000001001111110000000000000000000
000000000000000011100000000111011000101000000000000000
000000000000000001000000000001011001100100000000000000
.logic_tile 9 10
000000000000000000000000000000000000000010000001000000
000000000000000000000011001001000000000000000000100000
111000000000000000000000000000000000000000000000000000
010000000000000001000000000000000000000000000000000000
010000000001010000000000001000000000000010000000000000
000000000000100000000000000001000000000000000011000010
110000000000000000000000000000000000000000000000000000
010000001000000000000000000000000000000000000000000000
000101000000000000000000000000000000000000000000000000
000100000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000001011000000000000000000000000000000000000
000000000000000000000000001000000000000000000100000000
000000000010000000000000000011000000000010000000000010
010000100000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
.ramt_tile 10 10
000001000000010001000111100001000000000000
000000011100001111000100001011000000010000
001000000000000001010000001000000000000000
101000010000000000100000000101000000000000
000000000000000111100000000001100000000000
000000000000000000100000000011000000000100
000000000000000000000000001000000000000000
000000000000000001000000000011000000000000
000010001100001001000111110011000000000000
000001000100000011100011111111000000000000
000000001110000111100000000000000000000000
000000000000000000000000001001000000000000
000001000000000000000011000111000000000000
000000000000001011000000000001000000000100
110000000000000011100000000000000000000000
110010001000000000100000001011000000000000
.logic_tile 11 10
000000000000000000000000000000000000000000000000000000
000000001010001101000000000000000000000000000000000000
111000000000000001100110000000000000000000000000000000
000000000000000000100010110000000000000000000000000000
000000000000000001100000010000000001011010010000000000
000000000000000000100011110000001001100101100000000001
000000001100000000010000001101000000000000110000000000
000000000000000000000000000001001100000000000000000100
000010100100000101100000000000000000000000000000000000
000001000000000000000000000000000000000000000000000000
000000000010000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
010000000000000000000000000001000001000000100100100000
000000000000000000000000001101001001000000111000000000
.logic_tile 12 10
000000000001010000000000010111111000000010110000000000
000000001010100000000011110101001001000000110000000000
111000000000001001000000000001111001000100000000000000
000000000000001011000010001101011011000000000000000000
010000000000000011100000010000000001011010010000000000
000000000000000111000010000000001010100101100000000000
000000000000000101000000010011101100110011110000000000
000000000000000000000011011111111100010010100000000000
010000000110000111100000010101011010110011110000000000
010000001110000000000010000101011110010010100000000000
010000000000000101000111000000000000000000000000000000
010000000000000000100110110000000000000000000000000000
000000000000000111100000000011111000000000110000000000
000000000000000000000011100101001001000001110000000000
010000000000000000000000001000000000000000000101000100
000000000000001101000000001011000000000010000000000000
.io_tile 13 10
000000000000000000
000100000000000000
000000000001100000
000000000000000000
000000000000000000
000000000000000000
001100000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
.io_tile 0 11
000000000001100000
000000000001000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
001100000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000001
000000000000000000
000000000000000000
.logic_tile 1 11
000000000000000000000010000011000000000000001000000000
000010000000000000000000000000100000000000000000001000
000000000000000011100000000000000001000000001000000000
000000000000000000100000000000001000000000000000000000
000000000000000001000000000000001000001100111000000001
000000000000000000100000000000001010110011000000000100
000000000000000000000000000000001000001100110001000000
000000000000000000000000000000001001110011000000000100
000000000100000000000010000000000000000000000000000000
000000000000000000000100000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
.logic_tile 2 11
100000000000000011000010000000000000000000000000000000
000000000000001011000011010000000000000000000000000000
111000000000000001010000000000000000000000000000000000
000000000000000001000000000000000000000000000000000000
010000000000000000000011101001001000111110000101000000
110000000000000000000000000001011000111100000000000000
010000000000000000000000000000000000000000000000000000
010000000000000000000000000000000000000000000000000000
010000000000000000000000000000000000000000000000000000
010000001110000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000001010000000000000000000000000000000000000000000
000001000000000000000000000000000000000000000000000000
000000100000000000000000000000000000000000000000000000
000000000000000000000000001001000000000000110101000000
000000000000000000000000000001101001000001110000000000
.ramb_tile 3 11
000001001110000000000000000000000000000000
000010110000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000100001100000000000000000000000000000000
000100000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000100000000000000000000000000000
000000000001010000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
001000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
.logic_tile 4 11
000000001100001011100011101001011000000000110000000000
000000000000001111100100000101011011001000110000000000
000000000101010011100010100000000000000000000000000000
000000000100000000100010010000000000000000000000000000
000001000000001000000111000000000000000000000000000000
000010100000001101000100000000000000000000000000000000
000000000000000011100010100000000000000000000000000000
000000001010000000100000000000000000000000000000000000
000010100000001111100000010111001101001000010000000000
000000000000000001000011110001101001000100010000000000
000000000000000111100000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000100000000000000001101000010000000000100000
000000000001000000000011011011011011000000000000000000
000000000000000000000000000101101101110001010000100000
000000000000000000000000000101101100110000000000000000
.logic_tile 5 11
001000000000001001000011101011000000000001010000000000
000000000000000001100110101011001001000010010000000000
111000000000000011100000001001100000000000000001000000
010000000000000001010010011011000000000001000000000011
010000000000000000000010011001100000000001010000000000
110010100000000000000010001101001001000010010000000000
110000000000001001100110000001111110010010100001000000
110000000000000001000000001001111110110011110000000000
000000000000000111000000001001000000000001010000000000
000000000000000000100000000101001001000010010000000000
000000000000000000000010011000000000000000000100000000
000000000000000000000110000101000000000010000000000000
000000000000001000000000000000000000000000000100000000
000000000000000101000000000001000000000010000000000000
001000000000000000000110000000000000000000000100000000
000000000000000000000100001101000000000010000000000000
.logic_tile 6 11
000000000000001001100110011011001100000011110000000000
000000000000000101000011001101101111000011100000000000
111000000001000001000000001101011111000010000000000000
000000000000000001000000001111001100000000000000000000
110000000000000001000000010001000000000000000001000000
010000000000000000100010101001100000000001000000000000
110000000000111000000110101111111001001011110000000000
110000000000100101000100000001101101001111110000000000
010000000000000001000111100001000001000000000000000100
010000000000000000000011100111001100000000010000000000
000000000000001111100111000000000000000000000101000000
000000000000000101100100001101000000000010000000000000
000000000001010111100011100000000000000000000100000000
000000000000100000100100000001000000000010000010000000
010000000000000111100111011000000000000000000101000000
000100000000000000000011000101000000000010000000000000
.logic_tile 7 11
000000000000000000000110100011000000000000100000000000
000000000000000011000100001101101000000000000000000000
000000000000000000000111000111011100101000000000000000
000000000000000001000100001101111010100100000000000000
000001001010001000000010000011011110000000110000000000
000010000000000001000011101101111000001000110000000000
010000000000001000000000011001100000000010000010000000
010000000000010101000010000101001110000000000000000001
000000000110000000000010000011000000000010000000000000
000000000000000000000000001101101000000000000000000000
000000000000000000000000011111011101101000000000000000
000000000000000000000011011001111011100100000000000000
000000000000001000000011100011000000000000000000000000
000001000000001111000100001101101000000000010000000000
000000000000001000000000010111011101101000000000000000
000000000000000001000011011001111110100100000000000000
.logic_tile 8 11
100000001010001111100000010111111000111100000100000000
000000000010001111100011110111101001111100010001000000
111000000000000101100110101011111011111100000100000000
000000000000000101000011110111111011111100010000100000
010010000111010101100011110111101011110001010101000000
110101000000100000000010100001011101110000000000000000
000000100000000000000010111011111000111100000100000001
000000001010000111000011100111101000111100010000000000
000001000000000000000000001101100000000001110110000000
000010001110000000000000001011001010000000110000000000
000010100000000000000000010000000000000000000000000000
000001000000000000000011100000000000000000000000000000
000010100000010001000000000111111001111100000110000000
000001001110100000100000000111111001111100010000000000
110000000000000000000000010000000000000000000000000000
000000000000001001000011100000000000000000000000000000
.logic_tile 9 11
100000001000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
111000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
010000000000000000000011000000000000000000000000000000
110000000110000000000100000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000010100011011101111100110100100000
000000000000000000000100000111111101111100100001000000
000000000001000000000111010000000000000000000000000000
000000000000000000000111110000000000000000000000000000
000110100000000111000000000000000000000000000000000000
000001000000000000000000000000000000000000000000000000
010000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
.ramb_tile 10 11
000000000000000000000000000001100000110000
000000000000000000000010011011100000000001
111000000000000001000011101000000000000000
000001000010000000100100000111000000000000
010000000000000000000011000101100000011010
110000000000001011000100001001100000000001
001000100000001000000000001000000000000000
000001000000001101000011010001000000000000
000000000110000000000000010101000000100001
000000000000000000000011000000000000010001
000000000000000000000000000000000000000000
000000000000001111000000000000000000000000
000000000000000000000000000101100000100000
001000000000000000000000000000000000000001
110000000000001000000000000000000000000000
010000000000000011000000000000000000000000
.logic_tile 11 11
000000000000000000000110001011100000000000110000000001
000000000000000001000011001001001101000000000000000000
111000000000000101100010110011111111001111110001000000
000000000000000000100010000101011011101111110000000000
010000100000000000000110000111000000000010000000000000
010000000000000001000000000001101111000000000000000000
000000000000001101100010101111111101000000000000000001
000000000000000001100011010101011111100000000000000000
000000000000000000000000011001001111001100000100000000
000000000000000000000010001101111010000100000000100000
000000000000000001100000011001100001000000100100000000
000000000000000001000011110001101100000001000010000000
000000000000000000000110111001011000111100110100000010
000000000000000000000010101101101000111100100000000000
010000000000000001100110111101001111001100000100000000
000000000000000000000010001001111000000100000001000100
.logic_tile 12 11
000010100000000000000000000101100000000000001000000000
000000000000000000000000000000100000000000000000001000
000000000000001101100110100000000001000000001000000000
000000000000000101000000000000001000000000000000000000
000000000001010000000000000000001001001100111000000000
000000000000100000000000000000001000110011000000000000
000000000000001101100110110000001001001100110000000000
000000000000000101000010100000001001110011000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000100000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000001101111011000010000000000000
000000000000000000000000001101011010000000000000000000
.io_tile 13 11
000000000000000010
000100000000000000
000000000000000000
000000000000000001
000010000000010010
000001110000010000
001100000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000110010
000000000000110000
000000000000100000
000000000000000001
000000000000000010
000000110000000000
.io_tile 0 12
000000000000000010
000100000000011000
000010000000000000
000010110000000001
000000000000000010
000000000000110000
000100000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
.logic_tile 1 12
110000100000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
111000000000000011100000000000000000000000000000000000
000000000000000000100000000000000000000000000000000000
010000000000000000000011100000000000000000000000000000
110000000000000000000100000000000000000000000000000000
000000000000000101100000000000000000000000000000000000
000000000000000000100000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000001101100000000000000100000100
000000000000000000000000000001000000000001000010000000
000000000000000000000000000000000000000000000000000000
001000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
.logic_tile 2 12
010000000000100001000011001101000000000010100000000010
001000000001000001000000000001001000000001100000000000
000000000000000111100000000000000000000010000000000000
000000000000001001100000001001000000000000000001000000
000000000000000000000000000000000000000000000000000000
001000000000000001000000000000000000000000000000000000
000000000000000000000000001001111111101100010000000001
000000000000000000010000000001001101101100100000000000
000000000000100000000000000000000000000000000000000000
001000000001000000000000000000000000000000000000000000
000010100000000011000011000111100001000000000000000000
000001001100000000000000000001101100000000010000000000
000000000000000000000000000000000000000000000000000000
001000000000000000000000000000000000000000000000000000
000000000000000011000011000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
.ramt_tile 3 12
000010100001000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000010000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000100000000000000000000000000000000000
000001000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
.logic_tile 4 12
000000000000001001010000000001000001000000100000000000
000000000000001111000000001111001010000000000000100000
000000000110000101000000000001101011000100000001000010
000000000000000101100000001011001010001100000000000000
000000001110001101000000000000000000000000000000000000
000000000000000001100000000000000000000000000000000000
000000000000001011100000011011000000000000000000000000
000000000000000001100010001101000000000001000001000000
000000000000000000000110100101000000000001000010000000
001000000000000011000100000001000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000001100010000001100000000011000000000000
000000000000000000000000000111000000000000000000000000
000000000000000000000000000000000000000000000000000000
001000000000000000000000000000000000000000000000000000
.logic_tile 5 12
000000000110000101000011000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000011110000000000000000000000000000
000000000000000001000010100000000000000000000000000000
000000000000000000000000000000000000000000000000000000
001000000000000000000000000000000000000000000000000000
010010000000000000000011100000000000000000000000000000
010000000000000000000000000000000000000000000000000000
000000000000000000000000001001011101000000000010000000
001000000000000000000000000001011001100000000010000000
000000001000100000000111100000000001011010010001000000
000000000001010000000000000000001001100101100001000000
000000000000000000000000000000000000000000000000000000
001000000000000000000000000000000000000000000000000000
000000000000000000000000000101100000000000000001000000
001000000000000000000000001001100000000011000001000000
.logic_tile 6 12
010000000000000000000010001101101010000100000000000000
000000000000000111000010110001011110001100000000000000
000000000000000111000010001101100000000000000000000000
000000000010000001100010001101001011000000010001000000
001000001010100000000110010011111111000110100000000000
000000000000010000000010001001011111001111110000000000
110000000000010001100110011011001101000010000000000000
110000000000101101000010000001101001000000000000000001
000000001010000001100000010000000000000000000000000000
001000000000000111100011000000000000000000000000000000
010000000000000011100000000101101010111111110000000000
010000001000000000000000001001111111011111110000000000
010001000000000101100000011011001110010010100000000000
011000000000000101000010101001001100110011110000000000
000000000000000000000110111001000000000000000000000000
001000000000100000000010100011000000000001000000100000
.logic_tile 7 12
010000000000001000000110000101100001000000100000000000
001000000000100101000010001011001000000000000000000000
000000000000000001000110111011000001000000010000000000
000000000000000001000011001101001110000000000000000000
000000000000000011100110101011011010111100100000000000
000000000000001101100000000011001100111100110000000000
110000000000000000000110100001001111010111000000000000
110000000000011111000011010101111100111111000000000000
110010100000001111000111001001000000000000000000000001
111001000000000001100010001001101000000000010000000000
000000000000001001100111001001101011100000000000000000
000000000000000101000100001111011001000000000000000000
000000100000000111000111000001100000000000000000000000
001000000000000000100000000101001101000000010000000000
000000000000001000000000010101101111000000000000100000
001000100000000101000010101011101101100000000000000000
.logic_tile 8 12
010000001110001000000000011101000001000010000000000000
001000000000000111010010000111101101000011000000000000
000000000000000000000110011001100000000000000000000000
000000000000000001000011100011000000000001000000100000
000000000001000000000000010101011011000010000000000000
000000001100000011000011010001011011000000000000000000
110000100000001111100111101011111110111111010000000000
101000000000000001100010000101101011111011010000000000
001000100000000111000011100001100000000001000000000000
001001000000100000000000001001100000000000000000000000
000000000000000111100000010000000000000000000000000000
000000000000000000100010100000000000000000000000000000
000000000000000000000011001101011110110000000000000000
001000000000000000000100000111111111110001010000000000
000000000000000001000000010101111000000010000000000000
000000000000000000100010100011011101000000000000000000
.logic_tile 9 12
010000000001001001000000001111111001000011000000000000
001000001000000101100011000011111110000011010000100001
111000000000001001000010011001100000000001000000000000
010100000000001111000011100001001011000011000000000010
010000000000001000000010011101001111001100000000000000
110000000000001111000010001101001001000100000000000000
001000000000001011100111000101100000000000100000000010
000000000000001111100111000001101010000000000000000000
010000100000000111100010001111111110000011000010000000
101000000000000000100010010011101000000010000000000000
000000000000000000000010001001000000000000010000100010
000000000000000001000000001101101100000000000000000000
010000001100000001000110001011100000000000100010000000
011000000000000001000000000101101110000000000000000000
010000000000001001000000010111001101110001010100000001
000000000000000011000010001011111010100001010001000000
.ramt_tile 10 12
000001001010010111000000001011100000100000
000010010000100000000000001101000000000001
111000100000100000000111100000000000000000
000000010001010000000100000001000000000000
000000000000000000000000001001100000010000
000000000000000000000000000011100000010001
000000000000000011100111000000000000000000
000000001000000000100100000111000000000000
000000000110000111000000000111100000000000
000000000000000000100000000000000000010001
000000000000000011100000000000000000000000
000000000000000001100000000000000000000000
000000100000010000000000000011000000000000
000011000000100001000000000000000000100010
010000000000001000000000000000000000000000
110000000000001011000000000000000000000000
.logic_tile 11 12
110000000000000001000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
111000000000000000000000000000000000000000000000000000
000001000000010000000011010000000000000000000000000000
110000000000000000000000001000000000000000000100000001
000000000000000000000000001001000000000010000000000000
000001100000000111100000000000000000000000000100000010
000001100000000000000000000001000000000010000000000000
000000000000000101100000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000100000000000000000000000000000000000000000
001000001110000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
001000000000000000000000000000000000000000000000000000
010000000000000000000000000000000000000000000100000000
111000000000000000000000000011000000000010000000000100
.logic_tile 12 12
010000000000000000000000000000000000000000000000000000
001000000110000000000000000000000000000000000000000000
000100000000000011000000001101000000000000100000000100
000000001110000000100000000001001100000000000000000000
000000000000000011000000000000000000000000000000000000
000000000000000000100000000000000000000000000000000000
000000000000100011000000000000000000000000000000000000
000010001001000000100000000000000000000000000000000000
000000100000000111100000000000000000000000000000000000
001000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000001011000000000000100000000010
001000000000000000000000000101001010000000000010000000
000000000001000000000000000000000000000000000000000000
000010000000000000000000000000000000000000000000000000
.io_tile 13 12
000001011000010010
000000000000000000
000000000000010000
000000000000000001
000000000000110010
000000000000110000
000100000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000010
000000000000010000
000000000000000000
000000000000000001
000001011000000010
000000000000000000
.io_tile 0 13
000000000000000010
000100000000000000
000000000000000000
000000000000000001
000000000000010010
000000000000110000
000100000000000000
000011010000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
.logic_tile 1 13
100000100000000000000000001000000000000000000100000001
000000000000000000000000000001000000000010000000000010
111000000000001000000000001000000000000000000100000001
000000000000001011000000001101000000000010000000000010
110000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000010000000000000000000000000000
000000000000000000000011010000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000110000000
001000000000000000000000001111000000000010000010000010
000000000000000000000000000000000000000000000000000000
001000000000000000000010010000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
.logic_tile 2 13
110000001110101000000000001011100000000010010100000001
001000000001010011000011100001101001000010101000000000
111000000000001101000111111001000001000010010100000100
000000000000001101000011111001001000000001011000000001
010000000000001000000011100000000000000000000000000000
110000000000001111000100000000000000000000000000000000
000000000000001000000000000000000000000000000000000000
000000000000000111000000000000000000000000000000000000
000000000000000000000000000101100000000001000100100000
001000000000000000000000001111000000000011001000100000
000000000000000000000011000001100000000001000110100000
000000000000000000000000000101100000000011001000000000
000000000000000000000011100000000000000000000000000000
001000000000000000000000000000000000000000000000000000
110000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
.ramb_tile 3 13
000000000000000001000010010001000001000000
000000000000000000110111101001101101000000
111010000000000101100000011000000000000000
000001000000001001000011111111000000000000
110000001110000000000010000001100000000000
110000000000001111000111111011000000010000
000000000000001000000000000000000000000000
000000001110000101000000000101000000000000
000000000001010000000111001101100000000000
000000000000100000000111101001000000100000
000000000000000000000000000000000000000000
000000000000000000000010010001000000000000
000000000000000000000011100011000000000000
001000000000000000000000001101100000000000
010000000000000000000000000000000000000000
010000000000000000000010011101000000000000
.logic_tile 4 13
010000000000001011100110000111001100010011010000000000
001000000000000001000011011101101100100011010000000000
000000000001010111100010111101111000000100000000000000
000000000100101011100110000111111000000000000000000000
000100000000000111100010101001101101001001000000000000
000100000000000011100111000001011010001010000001000000
000100000000000011100110100011000000000000100000000000
000000000000001101000111011101001100000000000000000000
000000000000001111000110100001000000000001000000000000
001000000000001101100100001001100000000000000001000000
000000000000000000000000001001001011000110100000000000
000000000010000000000000001001001010001111110001000000
000000000000000111100110101101001110000110100000000000
001000000000000011000111111111001111001111110000000000
000000000000001000000111001111001110001001000000000000
000000000000000001000100001101011001001010000001000000
.logic_tile 5 13
010000000000000001100110101001000000000001000001100000
001000000000000000010100001011000000000000000000000000
000010100000100000000000000101100000000000000000000000
000001000001000000000000001001001011000000010000100000
000000000000000000000000000000000000000000000000000000
000000000000000000000010010000000000000000000000000000
000000100000000011000000000000000000000000000000000000
000001000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000001000000000000000000000000000000000000000000000000
000010000000000000000000000000000000000000000000000000
000000000000000000000010000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
001000001100000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
.logic_tile 6 13
010000000000001000000111001001000000000000000000000000
001000000000000001000111110101100000000001000011000000
000000000000000001000111000001000001000000100010000000
000000000000000001000100001101001000000000000000000000
000001000000110000000000011011100000000000100000000000
000000100001110000000010000001001100000000000000000000
011001000000100001100000000000000000000000000000000000
010010000000010000010000000000000000000000000000000000
010000000000001000000000000011111001111100010000000000
101000000010000101000000001001011010111100000000000000
000000000000000011100000000001100000000010000000000000
000000000000000000000000000111000000000000000000000000
000000000000000000000000000000000000000000000000000000
001000000000000111000000000000000000000000000000000000
000000000000100000000000000000000000000000000000000000
001000000001010000000000000000000000000000000000000000
.logic_tile 7 13
000000000000000000000000000101000001000000000000000000
000000000000000000000011101001001001000000010000000000
000001000001110000000000010000000000000000000000000000
000000100001010000000010110000000000000000000000000000
000000000000001000000000000000000000000000000000000000
000000000000001111000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000010000000000000000000000000000
001000000000000000000010110000000000000000000000000000
000010000000000000000000000000000000000000000000000000
000100000000000000000000000000000000000000000000000000
000000000000000000000000000111000000000000000000000000
000000000000000000000010111011100000000001000000000000
000000001100000000010000000000000000000000000000000000
001000000000000000000000000000000000000000000000000000
.logic_tile 8 13
010010100000001011100000010011000000000011000000000000
001000000000000001000010000101000000000000000000000000
000000000000001101000000011011000000000000000001000000
000000000000000001100010100001000000000011000000000000
000000000000001001000000000011111111110000000000000000
000000000000000011100011000011101011000000000000000000
000000000000000000010110010001011001111100000000000000
000000000000001101000010001101011100011100000000100000
000000001100001101000000000001000000000000000000000000
001000000000000101000000001001000000000001000000000100
000000000000001001100011001011100001000000110000000000
000000000000000101000010100101101011000000000000000000
000000000000000101000011101101000001000001100000000000
001000000000000000000000000001001100000000110000000000
000000000000001000000000001111001110110011000000000000
000000000000000101000010101111101010000000000000000000
.logic_tile 9 13
010000000001000101000000001001100000000001010000000000
001000000000000000100010111101101001000010010000000000
111000000000000000010010000000000000000000000000000000
000000000000000000000100000000000000000000000000000000
010000000000001000000110000000000000000000000000000000
000000000000000001000010110000000000000000000000000000
000000000001000001100110010101100001000001100000000000
000000000000100000000010001101001011000000110000000000
000000001000000000000111000011000000000011000000000000
000000000000000000000100001101100000000000000000000000
000000000000000000000000000000000000000000000100000000
000000000000000000000000000001000000000010000000000101
000000000000000000000000000000000000000000000100000000
001000000000000000000000001101000000000010000000000101
010000000000000000000000000000000000000000000100000000
000000000000000000000000000101000000000010000000000110
.ramb_tile 10 13
000000000000000000000000000000000000000000
000000010000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000010000000000000000000000000000
000000000000100000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000001000001010000000000000000000000000000
000010000000100000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
.logic_tile 11 13
110000000000000000000111100000000000000000000000000000
001000000000000000000111100000000000000000000000000000
111000000000000000000000000000000000000000000000000000
000000000000000000000010010000000000000000000000000000
110000000000000000000000000000000000000000000000000000
010000000000000000000000000000000000000000000000000000
000000000000000000000000001001101000111100100101000001
000000000000000000000000000011111001111100000000000010
000000000000000000000000010000000000000000000000000000
000000000000000000000011110000000000000000000000000000
000000000000000011100000000000000000000000000000000000
001100000000000000100000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
001000000000000000000000000000000000000000000000000000
010000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
.logic_tile 12 13
110000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
111000000000000000000000000000000000000000000000000000
000100000000000000000000000000000000000000000000000000
110000000000000000000110100000000000000000000000000000
010000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000010000000000000000000000000000
000000000000000000000011110000000000000000000000000000
000000000000010000000000000000000000000000000000000000
001000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000100000000
001000000000000000000000001101000000000010000001000100
010000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
.io_tile 13 13
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
.io_tile 0 14
000000111000000010
000100000000000000
000000000000000000
000000000000000001
000000000000010010
000011010000010000
001100000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000010
000000000000010000
000000000000000000
000000000000000001
000000000000000010
000000000000000000
.logic_tile 1 14
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
.logic_tile 2 14
100000000000000000000000000000000000000000000000000000
000000000000000000000011100000000000000000000000000000
111000000000000000000000000000000000000000000000000000
000000000000000000000011000000000000000000000000000000
010100000100000000000000000000000000000000000000000000
010100000000000000000000000000000000000000000000000000
000000000000000001100000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000010000000000000000000000000000000000000000000
000000000000000000000000001101111000110000000100000001
000000000000000000000000001111011110111001011000000000
000100000000001000000000000000000000000000000000000000
000100000000001101000000000000000000000000000000000000
110000000000000000000000010000000000000000000000000000
000000000000000000000010110000000000000000000000000000
.ramt_tile 3 14
000000000000000000000011000111000000000000
000000010000000000000100000111001011000000
111000000000000111000000000000000000000000
000000010000000000000000001011000000000000
000000000000000001000010001011000000000000
000000000000000000100100001111000000000000
000000100000001000000000001000000000000000
000001000000000011000000000011000000000000
000000000000000101000010000001100000000000
000000000000000000000100000101000000000000
000000000000000011100010001000000000000000
000000000000000001100010001011000000000000
000000000000000111000000001101100000001000
000000000000000101000000001011000000000000
110000000000000000000111000000000000000000
010000000000000000000000001001000000000000
.logic_tile 4 14
000000000000000101000010000011100000000000000000000000
000000000000000000100000000101101111000000010000000000
000000000100000101100000001101011100000011100000000000
000000000000000000100010011011001010000011000000000000
000000000000000001000000010000000000000000000000000000
000000000000001101000010100000000000000000000000000000
000100000000000000010010001011100000000010100000000000
000000000000000000000000000001101110000001100000000001
000000000000001000000000000001011101111001000000000000
000000000000001001000011101011001111111010000000000000
000000000000001001000011011111100000000000010010100000
000000000000001011100110010011101001000000000000000100
000000000000001000000010001101001111001001000000000000
000000000000001101000100001001101011001010000000000000
000000000000000000000011000011001111001001110000000000
000000000000000000000100000101011101000101110000000000
.logic_tile 5 14
000000000000001000000000000101100000000000000000000000
000000000000001111000000000001000000000001000000000000
111000000000000001100000000101100000000000000000000000
000000000000001101000010110101100000000001000000000000
010000000000001000000000000001100000000000000000000000
000000000000001111000010110001000000000001000000000100
000010100000001001100110010011100000000000000000000000
000001000000000001000010000101100000000001000000000000
000000000000000000000000011101100000000001010100000000
000000000000000000000010101001001101000010010000000000
000000000000000000000000010101100000000001010100000000
000000000000000000000010000111001111000010010000000000
000000000000001000000000011101100000000001010100000000
000000000000000011000010100001101101000010010000000000
010000000000000000000000000101100000000001010100000000
000000000000000000000000001101101111000010010000000000
.logic_tile 6 14
000000000000001000000000010101100000000000000000000000
000000000000001011000010001111100000000001000000000000
111100000000000001000010010000000000011010010000000000
000000000000000000000010000000001010100101100000000000
010000000000001001100000010011100000000000000010000000
000000000000000001000010001111000000000001000000000100
000000000000000000000110011101100000000000000000000000
000000001000000000000010001011100000000001000000000000
110000000000000000000000000001111001001011110000000010
110000000000000000000000000001101100001111110000000000
000000000000000001100010000111100000000001000100000000
000000000000001111000100001101000000000011000000000000
010000000000000000000010000101100000000001010100000000
010000000000000000000100000101101001000010010000000000
010000000000000011100000001001111011001100000100000000
000000000000000000100000000011111101000101000000000000
.logic_tile 7 14
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000001000000000000000000000000000000000000
000000000000000000000000001101100000000010000000000000
000000000000000000000000000001000000000011000000000100
110000000000000000000000000000000000000000000000000000
110000000000000001000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000001000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
.logic_tile 8 14
000000000000000000000000000101100000000000001000000000
000000000000000000000000000000100000000000000000001000
111000000000000011100011100000000001000000001000000000
000000000000000101100000000000001001000000000000000000
011000000000000000000110010000001001001100111000000000
000000000000000000000010000000001011110011000000000000
000000000100101001100110000000001001001100110000000000
000000000000000001000010110000001111110011000000000000
000000000000000000000000001101000001000001010000000000
000000000000000000000000001101101000000010010000000000
000000000000000000000000001101000001000001010000000000
000000000000000000000000001111101000000010010000000000
000000000000000000000000001000000000000000000100000010
000000000000000000000000000101000000000010001000000000
010000000000000000000000011000000000000000000110000000
000000000000000000000010000101000000000010001000000100
.logic_tile 9 14
000000000000000001000010000001000001000010000000000100
000000000000000001000110110001001000000000000000000001
000000000000000101000110001101100000000001010000000000
000000000000001001000010010001001111000010010000000000
000000000000000000000010100101101010000100000000000000
000000000000001011000110111111001100001100000000000000
000000000000001001100000011001100000000011000000000000
000000000000000001000010100011101001000000110000000000
000010000000000000000111111011011000000100000000000000
000000000000000111000110000011111001100000000000000000
000000000000000101000000000000000001011010010000000000
000000000000001001100010000000001000100101100000000000
000000000000000000000110011101000000000001010000000010
000000000000000000000010001011101101000010010000000000
000000000000000000000000001101101011110011000000000000
000000000000000000000010111111011011000000000000000000
.ramt_tile 10 14
000010100000000000000000000000000000000000
000001000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
.logic_tile 11 14
000000000000000001000000000101100000000000000000000100
000000000000001001000000000001001010000000010000000010
111000000000000000000000010000000000000000000000000000
000000000000000000000010010000000000000000000000000000
010000000000000001000000000000000000000000000000000000
000000000000000000100000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000001001000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000001100000000000000000000000000000000000000000000
000010000000000000000000001001000000000000000000000000
000000000000000000000000000101001000000000010000100000
000000000000000000000000001000000000000000000100100001
000000000000000000000000001001000000000010000000000000
010000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
.logic_tile 12 14
100000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
111000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
110000000000000000000000000000000000000000000100000010
000000000000000000000000001111000000000010000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000111000000000000000000000000000000
000000000000000000000100000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
.io_tile 13 14
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
.io_tile 0 15
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
.logic_tile 1 15
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
010000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
.logic_tile 2 15
000000000000000000000000000000000000000000000000000000
000000000000000000000011010000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000001000000000000000000000000000000000000000
000000000000001001000000000000000000000000000000000000
000000000000000000000000000101100001000001010000100000
000000000000000000000000001001001101000010010000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000010010000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
.ramb_tile 3 15
000000000000000000000000000000000000000000
000000010000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000001000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
.logic_tile 4 15
100001000000000000000010001111000000000011010100000000
000010100000000000000000001111001010000011001000000000
111000000000001000000000000001100000000001000100000001
000000000000000001000010101001100000000011001000000000
110000000000000101000000000000000000000000000000000000
010001000000000101000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000001011000000000000000000000000000000000000
000000000000000000000000011111100001000001010100000000
000000000000000000000011000001001111000010011000100000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000001000000000000000000000000000000000000000
000000001110001001000000000000000000000000000000000000
110000000000001011000011001111100000000001100100100000
000000000000001101000100000101001010000010101010000010
.logic_tile 5 15
000000000000000111100110000101000000000000001000000000
000000000000000101000100000000000000000000000000001000
000000000000000000000000000000000000000000001000000000
000000000000000000000000000000001001000000000000000000
000000000000001101000010100000001000001100111000000000
000000000000001001000000000000001010110011000000000000
000000000000000000000000000000001000001100111000000000
000000000000000000000000000000001111110011000000100000
000000000000000101100000000000001000001100111000000000
000000000000000000000000000000001011110011000000000000
000000000000000000000000000000001000001100111000000000
000000000000000000000000000000001000110011000000000000
000000000000000000000000000000001000001100111000000000
000000000000000000000010110000001000110011000000000000
000000000000000000000000000000001000001100111000000000
000000000000000000000000000000001100110011000000000000
.logic_tile 6 15
000000000000000000000000000011100000000000000000000001
000000000000000000000010100011000000000001000000000000
111000000000001101110110010001100000000000000000000000
000000000000000001000010000111100000000001000000000000
010000000000000000000000000001100000000000000010000000
000000000000000000000010100011100000000001000000000000
000000000000001001100110110001100000000000000000000000
000000000000000001000010000111000000000001000000000000
000000000000000101000000000101100000000001010100000000
000000000000001111110010111011001001000010010000000000
000000000000000001100000000101100000000001010100000000
000000000000000000000000000011001011000010010000000000
000000000000000000000000001101100000000001010100000000
000000000000001111000000001101101001000010010000000000
010000000000000000000110001111100000000001010100000000
000000000000000000000000000001101011000010010000000000
.logic_tile 7 15
000000000000000000000000000011000000000000001000000000
000000000000000000000000000000100000000000000000001000
000000000000000000000000000000000001000000001000000000
000000000000000000000000000000001101000000000000000000
000000000000000000000000000000001001001100111000000000
000000000000000000000000000000001110110011000000000100
000000000000000000000000000000001001001100111000000000
000000000000000000000011010000001111110011000000000000
000000000000000000000010100000001000001100111000000000
000000000000001101000100000000001100110011000000000000
000000000000000000000010100000001001001100111000000000
000000000000000000000110100000001011110011000000000000
000000000000000000000000000000001001001100111000000000
000000000000000000000010110000001101110011000000000000
000000000000000101000000000000001000001100111000000100
000000000000000000100010110000001111110011000000000000
.logic_tile 8 15
000000000000001001100000001001000001000001010000000000
000000000000000001000000001011001001000010010000000010
111000000000000001100000000000000001011010010000000000
000000000000000000000011110000001011100101100000000000
010000000000001000000000000000000000000000000000000000
000000000000000011000000000000000000000000000000000000
000001000000000000000000010000000000000000000000000000
000010100000000000000010000000000000000000000000000000
000001000000000000000000000000000000000000000000000000
000010100000000000000000000000000000000000000000000000
000100000000000000000000010011100001000001100100000000
000000000000000000000010000101101000000000111000100011
000001000000000000000000000000000000000000000100100000
000010100000000000000000000001000000000010001000000100
010000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
.logic_tile 9 15
000000000000000000000000000101000000000000001000000000
000000000000000000000000000000100000000000000000001000
000000000000000000000000000000000001000000001000000000
000000000000000000000000000000001010000000000000000000
000000000000000000000010010000001000001100111000000000
000000000000000000000011000000001011110011000000000000
000000000000001000000000010000001001001100111000000000
000000000000000011000011000000001011110011000000000010
000000000000000000000000000000001000001100110000000001
000000000000000000000000000000000000110011000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000001110000000000000000000000000000000000000000000
.ramb_tile 10 15
000000000000000000000000000000000000000000
000100010000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
.logic_tile 11 15
100000000000000000000000000000000000000000000000000000
000000000000000000000011100000000000000000000000000000
111000000000000101000000001000000000000000000100000000
000000000000000000000000000001000000000010000000000001
110000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000001000000000000000000000000000000000000000
000000000000001111000000000000000000000000000000000000
000000000000000000000000000000000000000000000110000000
000000000000000000000000001001000000000010000000000000
110000000000000000000000000000000000000000000000000000
010000000000000000000000000000000000000000000000000000
.logic_tile 12 15
000000000000000111000000001001000001000000000000000100
000000000000000000000000000001001001000000010000000000
000000000000001000000000000001100001000000100000000100
000000000000001011000011100001001011000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000011100000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
.io_tile 13 15
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
.io_tile 0 16
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
.logic_tile 1 16
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
.logic_tile 2 16
100000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
111000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
010000000000000000000011000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000100000000
000000000000000000000000001101000000000010001000000100
010000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
.ramt_tile 3 16
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000001000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
.logic_tile 4 16
000000000000000000000010000101100000000000000000000000
000000000010000000000000001111100000000001000000000000
111000000000000011000110000001100000000000000000000000
000000000000000000000011001011100000000001000000000000
010000100000000000000010000001100000000000000000000000
000000000000000000000100001111100000000001000000000000
000000000000000000000110011001100000000001010101000000
000000000000000000000010000001101001000010010000000000
000000000000000111100011000000000000000000000000000000
000000000000000000100000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000001000000000011001101100000000001010100000000
000000000000000000000000000111101011000010010000000000
010000000000000101100000000101100000000001010100000000
000000000000000000000000000011101001000010010000000000
.logic_tile 5 16
000000000000001101100000000000001001001100111000100000
000000000000001111000000000000001000110011000000010000
111000000000001000000000000000001000001100111000000000
000000000000001011000000000000001100110011000000000000
010000000000001000000110000000001000001100111000000000
000000000000000101000100000000001011110011000000000000
000000000010001000000110000000001000001100111001000000
000000000000000001000000000000001000110011000000000000
000000000000000111100111000000001000001100111000000000
000000000000000000000000000000001000110011000000000000
000000000000000000000000000000001000001100111000000000
000000000000000001000000000000001010110011000000000000
000000000000000000000000000000001001001100110000000000
000000000000000000000000000000001100110011000000000010
010000000000000000000000001101100000000001010100000000
000000000000000000000000000101101101000010010010000000
.logic_tile 6 16
100000001110100011100111011101100000000001000100000000
000000000001000000000111000001000000000000001001100000
111000000000000001000111001011000000000001000100000000
000000000000000001000000000001000000000000001001000000
010000000000000001000110001011000000000001000100000011
010000000000000000100100000001100000000000001000000000
010000000000001000000110001111100001000001010101000001
010000000000001001000011001001001000000010010000000000
010000000000001000000010000000000000000000000000000000
010000000000000101000100000000000000000000000000000000
000000000000000000000000000101000001000001010100000000
000000000000000000000000000001001000000010011001000000
000001000000000000000000000111100001000001010100000000
000000100000000000000000001101101000000001100000000010
010000000000000101100000000101111001011001010110000000
000000000000000000000011001001101011011010100000000000
.logic_tile 7 16
000000000000001011000010100000001001001100110000000000
000000000000000001100010100000001111110011000000010000
000000000000000001000010100000000000000000000000000000
000000000000000101000000000000000000000000000000000000
000000000000000011000011111101111000000100000000000000
000000000000000000100010000101111000000000000000000000
000000000000000101000110000101011010000000000000000000
000000000000000000000010101011011011110000000000000000
010000000000000000000111100111100000000000000000000000
010000000000000000000100001101100000000001000001000000
000000000000000001100000000000000000011010010000000000
000000000000000000000000000000001000100101100000000000
000000000000000000000110000001100000000000000000100000
000000000000000000000000001111000000000001000000000000
000000000000000000000111111001011000000010000000000000
000010000000000000000111100011101001000000000000000000
.logic_tile 8 16
100000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
111000000000000001000000000000000000000000000000000000
000000000000000001000000000000000000000000000000000000
110000000000000000000000000000000000000000000000000000
010000000000000000000000000000000000000000000000000000
010000000000000000000000001111100000000001000100000010
010000000000000000000000000001000000000000001000000000
010000000000000000000000000000000000000000000000000000
010000000000000000000011110000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000001111100000000001000100000100
000000000000000000000000000001100000000000001000000000
010000000000000001100000010000000000000000000000000000
000000000000000000100010010000000000000000000000000000
.logic_tile 9 16
000000000000000000000000000000000000000000000000000000
000100000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000010000000000000000000000000000000000000000
000000000000100000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
.ramt_tile 10 16
000000000000000000000000000000000000000000
000000001100000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000001000000000000000000000000000000000000
000010100000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
000000100000000000000000000000000000000000
000000000000000000000000000000000000000000
000000000000000000000000000000000000000000
.logic_tile 11 16
100000000000001000000000001000000000000000000100000000
000000000000001001000000001001000000000010000001000000
111000000000001000000000010000000000000000000000000000
000000000000001111000011100000000000000000000000000000
110000000000000001100000000000000000000000000100000000
000000000000000000100000000001000000000010000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000100000000
000000000000000000000000000101000000000010000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
010000000000000000000000000000000000000000000000000000
010000000000000000000000000000000000000000000000000000
.logic_tile 12 16
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
000000000000000000000000000000000000000000000000000000
.io_tile 13 16
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
.io_tile 1 17
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
.io_tile 2 17
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
.io_tile 3 17
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
.io_tile 4 17
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
.io_tile 5 17
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
.io_tile 6 17
000001110000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000100
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
.io_tile 7 17
000000111000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000100
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
.io_tile 8 17
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
000000000000000000
.io_tile 9 17
000000000000000010
000100000000000000
000010000000000000
000001110000000001
000001111010000001
000000001001000000
001100000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000010
000000000011000000
000000000000000000
000000000000000001
000000000000000001
000000000000000000
.io_tile 10 17
000000000000000010
000100000000000000
000000000000000000
000001010000000001
000000000011000001
000000000001000000
001100000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000010
000000000011000000
000000000000000000
000001010000000001
000000000000000001
000000000000000000
.io_tile 11 17
000000000000000010
000100000000000000
000001110000000000
000000000000000001
000000000000000001
000000000011000000
001100000000000000
000000000000000000
000000000000000000
000100000000000000
000000000011000010
000000000001000000
000000110000000000
000000000000000001
000000000000000001
000000000000000000
.io_tile 12 17
000010000000000010
000110110000000000
000000111000000000
000000001000000001
000000000000000001
000000000011000000
001100000000000000
000000000000000000
000000000000000000
000100000000000000
000000000000000010
000000000001000000
000000000000000000
000000000000000001
000000000000000001
000000000000000000
.ram_data 10 7
0003000000040000000a00000003000000020000003f000100000000001e0000
0000000000000000000000010000000100010004009f00000006000000050000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
00250000001500e7002900e0001900070005000100a100060009000100050000
0075000500950001008100080075000100950002008100080095000100750001
0095000300910003007500010095000200910005002900010019000800050001
00c0000000810065002900000019000700050065002500000015000800750006
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
.ram_data 3 13
0000000000000000000000000000000000000000000000000000000000005555
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
.ram_data 10 11
0000000000000000000000000000000000000000000000000000000000005555
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
.ram_data 3 9
000000010001000100e70058004c0053000100010001000100e0003900e10029
0001000100010001002c002a0019003b000100010001000100e200150006003a
0001000100010001002c002a0019003b000100010001000100e200150006003a
0001000100010001002c002a0019003b000100010001000100e200150006003a
0001000100010001002c002a0019003b000100010001000100e200150006003a
0001000100010001002c002a0019003b000100010001000100e200150006003a
0001000100010001002c002a0019003b000100010001000100e200150006003a
0001000100010001002c002a0019003b000100010001000100e200150006003a
0001000100010001002c002a0019003b000100010001000100e200150006003a
0001000100010001002c002a0019003b000100010001000100e200150006003a
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
.ram_data 10 9
0000000000000000000000000000000000000000000000000000000000005555
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
0000000000000000000000000000000000000000000000000000000000000000
.sym 1 $abc$19660$n19$2
.sym 2 $abc$19660$n41$2
.sym 3 $abc$19660$n52$2
.sym 4 $abc$19660$n191$2
.sym 5 KEYBOARD.IS_RAM_INIT$2
.sym 6 $abc$19660$n198$2
.sym 7 CLK$2$2
.sym 8 I2C.FLT_SCL.RESET$2
.sym 39 KEYBOARD.row_time[4]
.sym 41 KEYBOARD.row_time[1]
.sym 43 KEYBOARD.row_time[3]
.sym 182 KEYBOARD.COLS_SHADOW[6]
.sym 298 I2C.received_byte[7]
.sym 407 I2C.received_byte[6]
.sym 521 I2C.received_byte[4]
.sym 633 I2C.received_byte[3]
.sym 750 I2C.FLT_SDA.out
.sym 830 CLK$2
.sym 836 CLK$2
.sym 862 $abc$19660$n319
.sym 863 $abc$19660$n825
.sym 864 $abc$19660$n318
.sym 865 $abc$19660$n1555
.sym 866 $abc$19660$n806_1
.sym 867 I2C.FLT_SDA.counter[0]
.sym 944 $abc$19660$n191
.sym 976 $abc$19660$n828
.sym 978 $abc$19660$n1556
.sym 979 $abc$19660$n805_1
.sym 980 I2C.FLT_SDA.counter[1]
.sym 981 $abc$19660$n721
.sym 1090 $abc$19660$n1290
.sym 1091 $abc$19660$n16
.sym 1207 KEYBOARD.is_pressed
.sym 1289 COM_TX$2
.sym 1316 KEYBOARD.row_counter[2]
.sym 1317 KEYBOARD.row_counter[3]
.sym 1321 KEYBOARD.row_counter[0]
.sym 1403 I2C.is_read
.sym 1517 $true$2
.sym 1522 COM_DCD$2
.sym 1851 $false
.sym 1853 KEYBOARD.ROWS_EN[13]
.sym 1856 $false
.sym 1858 KEYBOARD.ROWS_EN[14]
.sym 1881 $abc$19660$n781
.sym 1882 $abc$19660$n782
.sym 1883 $abc$19660$n776
.sym 1884 $abc$19660$n567
.sym 1885 $abc$19660$n565
.sym 1886 $abc$19660$n555
.sym 2002 $abc$19660$n776
.sym 2003 $false
.sym 2004 $false
.sym 2005 $false
.sym 2014 $abc$19660$n779
.sym 2015 $false
.sym 2016 $false
.sym 2017 $false
.sym 2026 $abc$19660$n782
.sym 2027 $false
.sym 2028 $false
.sym 2029 $false
.sym 2048 $abc$19660$n191$2
.sym 2049 CLK$2$2
.sym 2050 $abc$19660$n19$2
.sym 2063 $abc$19660$n786
.sym 2064 $abc$19660$n787
.sym 2065 $abc$19660$n789
.sym 2066 $abc$19660$n790
.sym 2067 $abc$19660$n792
.sym 2068 $abc$19660$n793
.sym 2069 $abc$19660$n797
.sym 2070 $abc$19660$n692
.sym 2238 KEYBOARD.row_time[12]
.sym 2239 KEYBOARD.row_time[13]
.sym 2240 KEYBOARD.row_time[9]
.sym 2241 KEYBOARD.row_time[11]
.sym 2350 $abc$19660$n1368
.sym 2351 $false
.sym 2352 $false
.sym 2353 $false
.sym 2366 $abc$19660$n196
.sym 2367 CLK$2$2
.sym 2368 $false
.sym 2370 $abc$19660$n763_1
.sym 2371 $abc$19660$n690
.sym 2374 $abc$19660$n679
.sym 2375 $abc$19660$n196
.sym 2497 I2C.FLT_SDA.out
.sym 2498 $false
.sym 2499 $false
.sym 2500 $false
.sym 2501 $abc$19660$n288
.sym 2502 CLK$2$2
.sym 2503 $false
.sym 2504 $abc$19660$n787_1
.sym 2505 $abc$19660$n254
.sym 2507 $abc$19660$n235
.sym 2509 $abc$19660$n280
.sym 2510 $abc$19660$n288
.sym 2511 $abc$19660$n244
.sym 2602 I2C.FLT_SDA.out
.sym 2603 $false
.sym 2604 $false
.sym 2605 $false
.sym 2636 $abc$19660$n280
.sym 2637 CLK$2$2
.sym 2638 $false
.sym 2639 $abc$19660$n534
.sym 2640 $abc$19660$n263
.sym 2641 $abc$19660$n554
.sym 2642 $abc$19660$n779_1
.sym 2643 $abc$19660$n536
.sym 2644 $abc$19660$n605
.sym 2645 $abc$19660$n533
.sym 2646 $abc$19660$n228
.sym 2737 I2C.FLT_SDA.out
.sym 2738 $false
.sym 2739 $false
.sym 2740 $false
.sym 2771 $abc$19660$n263
.sym 2772 CLK$2$2
.sym 2773 $false
.sym 2774 I2C.received_byte[1]
.sym 2860 I2C.FLT_SDA.out
.sym 2861 $false
.sym 2862 $false
.sym 2863 $false
.sym 2906 $abc$19660$n254
.sym 2907 CLK$2$2
.sym 2908 $false
.sym 2909 I2C.received_byte[0]
.sym 3019 I2C.SDAF
.sym 3020 $false
.sym 3021 $false
.sym 3022 $false
.sym 3041 $abc$19660$n318
.sym 3042 CLK$2$2
.sym 3043 $abc$19660$n19$2
.sym 3051 I2C.received_byte[2]
.sym 3142 I2C.FLT_SDA.out
.sym 3143 I2C.SDAF
.sym 3144 $abc$19660$n805_1
.sym 3145 $false
.sym 3148 $false
.sym 3149 I2C.FLT_SDA.counter[0]
.sym 3150 $false
.sym 3151 $false
.sym 3154 $abc$19660$n1555
.sym 3155 $abc$19660$n828
.sym 3156 $abc$19660$n806_1
.sym 3157 $false
.sym 3160 $abc$19660$n805_1
.sym 3161 $abc$19660$n825
.sym 3162 $false
.sym 3163 $false
.sym 3166 $abc$19660$n1556
.sym 3167 I2C.FLT_SDA.out
.sym 3168 I2C.SDAF
.sym 3169 $false
.sym 3172 $abc$19660$n1555
.sym 3173 $false
.sym 3174 $false
.sym 3175 $false
.sym 3176 $abc$19660$n319
.sym 3177 CLK$2$2
.sym 3178 $abc$19660$n19$2
.sym 3184 I2C_COUNTER[0]
.sym 3227 $true
.sym 3264 I2C.FLT_SDA.counter[0]$2
.sym 3265 $false
.sym 3266 I2C.FLT_SDA.counter[0]
.sym 3267 $false
.sym 3268 $false
.sym 3270 $auto$alumacc.cc:474:replace_alu$5225.C[2]
.sym 3272 I2C.FLT_SDA.counter[1]
.sym 3273 $true$2
.sym 3277 $false
.sym 3278 $abc$19660$n721
.sym 3279 $false
.sym 3280 $auto$alumacc.cc:474:replace_alu$5225.C[2]
.sym 3289 I2C.FLT_SDA.counter[0]
.sym 3290 I2C.FLT_SDA.counter[1]
.sym 3291 $false
.sym 3292 $false
.sym 3295 I2C.FLT_SDA.counter[0]
.sym 3296 I2C.FLT_SDA.counter[1]
.sym 3297 $abc$19660$n721
.sym 3298 $false
.sym 3301 $abc$19660$n1556
.sym 3302 $false
.sym 3303 $false
.sym 3304 $false
.sym 3307 $abc$19660$n805_1
.sym 3308 $abc$19660$n828
.sym 3309 $false
.sym 3310 $false
.sym 3311 $abc$19660$n319
.sym 3312 CLK$2$2
.sym 3313 $abc$19660$n19$2
.sym 3316 KEYBOARD.report_data_wr[3]
.sym 3321 KEYBOARD.report_data_wr[1]
.sym 3362 $true
.sym 3399 KEYBOARD.row_counter[0]$2
.sym 3400 $false
.sym 3401 KEYBOARD.row_counter[0]
.sym 3402 $false
.sym 3403 $false
.sym 3405 $auto$alumacc.cc:474:replace_alu$5234.C[2]
.sym 3407 $false
.sym 3408 KEYBOARD.row_counter[1]
.sym 3411 $auto$alumacc.cc:474:replace_alu$5234.C[3]
.sym 3412 $false
.sym 3413 $false
.sym 3414 KEYBOARD.row_counter[2]
.sym 3415 $auto$alumacc.cc:474:replace_alu$5234.C[2]
.sym 3418 $false
.sym 3419 $false
.sym 3420 KEYBOARD.row_counter[3]
.sym 3421 $auto$alumacc.cc:474:replace_alu$5234.C[3]
.sym 3449 $abc$19660$n951_1
.sym 3450 COM_TX$2
.sym 3452 $abc$19660$n1129
.sym 3454 $abc$19660$n728
.sym 3565 $abc$19660$n1130
.sym 3566 $abc$19660$n1083
.sym 3567 $false
.sym 3568 $false
.sym 3581 $abc$19660$n193
.sym 3582 CLK$2$2
.sym 3583 $false
.sym 3584 KEYBOARD.temp[7]
.sym 3585 KEYBOARD.temp[3]
.sym 3588 KEYBOARD.temp[2]
.sym 3589 KEYBOARD.temp[1]
.sym 3670 $abc$19660$n1290
.sym 3671 $false
.sym 3672 $false
.sym 3673 $false
.sym 3676 $abc$19660$n16
.sym 3677 $false
.sym 3678 $false
.sym 3679 $false
.sym 3700 $abc$19660$n1285
.sym 3701 $false
.sym 3702 $false
.sym 3703 $false
.sym 3716 $abc$19660$n198$2
.sym 3717 CLK$2$2
.sym 3718 $false
.sym 3724 KEYBOARD.ram_wr
.sym 3858 $abc$19660$n958
.sym 3995 KEYBOARD.IS_RAM_INIT
.sym 4212 $false
.sym 4214 KEYBOARD.ROWS_EN[15]
.sym 4274 $true
.sym 4311 KEYBOARD.row_time[0]$2
.sym 4312 $false
.sym 4313 KEYBOARD.row_time[0]
.sym 4314 $false
.sym 4315 $false
.sym 4317 $auto$alumacc.cc:474:replace_alu$5231.C[2]
.sym 4319 $false
.sym 4320 KEYBOARD.row_time[1]
.sym 4323 $auto$alumacc.cc:474:replace_alu$5231.C[3]
.sym 4324 $false
.sym 4325 $false
.sym 4326 KEYBOARD.row_time[2]
.sym 4327 $auto$alumacc.cc:474:replace_alu$5231.C[2]
.sym 4329 $auto$alumacc.cc:474:replace_alu$5231.C[4]
.sym 4330 $false
.sym 4331 $false
.sym 4332 KEYBOARD.row_time[3]
.sym 4333 $auto$alumacc.cc:474:replace_alu$5231.C[3]
.sym 4335 $auto$alumacc.cc:474:replace_alu$5231.C[5]
.sym 4336 $false
.sym 4337 $false
.sym 4338 KEYBOARD.row_time[4]
.sym 4339 $auto$alumacc.cc:474:replace_alu$5231.C[4]
.sym 4341 $auto$alumacc.cc:474:replace_alu$5231.C[6]
.sym 4342 $false
.sym 4343 $false
.sym 4344 KEYBOARD.row_time[5]
.sym 4345 $auto$alumacc.cc:474:replace_alu$5231.C[5]
.sym 4347 $auto$alumacc.cc:474:replace_alu$5231.C[7]
.sym 4348 $false
.sym 4349 $false
.sym 4350 KEYBOARD.row_time[6]
.sym 4351 $auto$alumacc.cc:474:replace_alu$5231.C[6]
.sym 4353 $auto$alumacc.cc:474:replace_alu$5231.C[8]
.sym 4354 $false
.sym 4355 $false
.sym 4356 KEYBOARD.row_time[7]
.sym 4357 $auto$alumacc.cc:474:replace_alu$5231.C[7]
.sym 4437 $auto$alumacc.cc:474:replace_alu$5231.C[8]
.sym 4474 $auto$alumacc.cc:474:replace_alu$5231.C[9]
.sym 4475 $false
.sym 4476 $false
.sym 4477 KEYBOARD.row_time[8]
.sym 4478 $auto$alumacc.cc:474:replace_alu$5231.C[8]
.sym 4480 $auto$alumacc.cc:474:replace_alu$5231.C[10]
.sym 4481 $false
.sym 4482 $false
.sym 4483 KEYBOARD.row_time[9]
.sym 4484 $auto$alumacc.cc:474:replace_alu$5231.C[9]
.sym 4486 $auto$alumacc.cc:474:replace_alu$5231.C[11]
.sym 4487 $false
.sym 4488 $false
.sym 4489 KEYBOARD.row_time[10]
.sym 4490 $auto$alumacc.cc:474:replace_alu$5231.C[10]
.sym 4492 $auto$alumacc.cc:474:replace_alu$5231.C[12]
.sym 4493 $false
.sym 4494 $false
.sym 4495 KEYBOARD.row_time[11]
.sym 4496 $auto$alumacc.cc:474:replace_alu$5231.C[11]
.sym 4498 $auto$alumacc.cc:474:replace_alu$5231.C[13]
.sym 4499 $false
.sym 4500 $false
.sym 4501 KEYBOARD.row_time[12]
.sym 4502 $auto$alumacc.cc:474:replace_alu$5231.C[12]
.sym 4504 $auto$alumacc.cc:474:replace_alu$5231.C[14]
.sym 4505 $false
.sym 4506 $false
.sym 4507 KEYBOARD.row_time[13]
.sym 4508 $auto$alumacc.cc:474:replace_alu$5231.C[13]
.sym 4511 $false
.sym 4512 $false
.sym 4513 KEYBOARD.row_time[14]
.sym 4514 $auto$alumacc.cc:474:replace_alu$5231.C[14]
.sym 4517 $abc$19660$n786
.sym 4518 $abc$19660$n790
.sym 4519 $false
.sym 4520 $false
.sym 4622 $abc$19660$n792
.sym 4623 $false
.sym 4624 $false
.sym 4625 $false
.sym 4628 $abc$19660$n793
.sym 4629 $false
.sym 4630 $false
.sym 4631 $false
.sym 4634 $abc$19660$n787
.sym 4635 $false
.sym 4636 $false
.sym 4637 $false
.sym 4640 $abc$19660$n790
.sym 4641 $false
.sym 4642 $false
.sym 4643 $false
.sym 4644 $abc$19660$n191$2
.sym 4645 CLK$2$2
.sym 4646 $abc$19660$n19$2
.sym 4727 $abc$19660$n679
.sym 4728 $abc$19660$n764
.sym 4729 $abc$19660$n727
.sym 4730 $false
.sym 4733 $abc$19660$n679
.sym 4734 $abc$19660$n691
.sym 4735 $abc$19660$n692
.sym 4736 $abc$19660$n793
.sym 4751 $abc$19660$n779
.sym 4752 $abc$19660$n681
.sym 4753 $false
.sym 4754 $false
.sym 4757 KEYBOARD.IS_RAM_INIT$2
.sym 4758 $abc$19660$n46
.sym 4759 $abc$19660$n690
.sym 4760 $false
.sym 4844 $abc$19660$n780
.sym 4845 $abc$19660$n660
.sym 4846 $false
.sym 4847 $false
.sym 4850 $abc$19660$n789_1
.sym 4851 $abc$19660$n783
.sym 4852 $abc$19660$n781_1
.sym 4853 $false
.sym 4862 $abc$19660$n785
.sym 4863 $abc$19660$n783
.sym 4864 $abc$19660$n781_1
.sym 4865 $false
.sym 4874 $abc$19660$n787_1
.sym 4875 $abc$19660$n791
.sym 4876 $abc$19660$n781_1
.sym 4877 $false
.sym 4880 $abc$19660$n789_1
.sym 4881 $abc$19660$n791
.sym 4882 $abc$19660$n781_1
.sym 4883 $false
.sym 4886 $abc$19660$n787_1
.sym 4887 $abc$19660$n783
.sym 4888 $abc$19660$n781_1
.sym 4889 $false
.sym 4967 I2C.received_byte[2]
.sym 4968 $false
.sym 4969 $false
.sym 4970 $false
.sym 4973 $abc$19660$n779_1
.sym 4974 $abc$19660$n791
.sym 4975 $abc$19660$n781_1
.sym 4976 $false
.sym 4979 I2C.received_byte[0]
.sym 4980 I2C.received_byte[7]
.sym 4981 I2C.received_byte[6]
.sym 4982 $false
.sym 4985 $abc$19660$n660
.sym 4986 $abc$19660$n780
.sym 4987 $false
.sym 4988 $false
.sym 4991 I2C.received_byte[1]
.sym 4992 $false
.sym 4993 $false
.sym 4994 $false
.sym 4997 I2C.received_byte[4]
.sym 4998 $abc$19660$n554
.sym 4999 $abc$19660$n532
.sym 5000 $false
.sym 5003 I2C.received_byte[3]
.sym 5004 $false
.sym 5005 $false
.sym 5006 $false
.sym 5009 $abc$19660$n779_1
.sym 5010 $abc$19660$n783
.sym 5011 $abc$19660$n781_1
.sym 5012 $false
.sym 5090 I2C.FLT_SDA.out
.sym 5091 $false
.sym 5092 $false
.sym 5093 $false
.sym 5136 $abc$19660$n235
.sym 5137 CLK$2$2
.sym 5138 $false
.sym 5213 I2C.FLT_SDA.out
.sym 5214 $false
.sym 5215 $false
.sym 5216 $false
.sym 5259 $abc$19660$n228
.sym 5260 CLK$2$2
.sym 5261 $false
.sym 5262 KEYBOARD.kbd_code_hid[0]
.sym 5263 KEYBOARD.kbd_code_hid[1]
.sym 5264 KEYBOARD.kbd_code_hid[2]
.sym 5265 KEYBOARD.kbd_code_hid[3]
.sym 5266 KEYBOARD.kbd_code_hid[4]
.sym 5267 KEYBOARD.kbd_code_hid[5]
.sym 5268 KEYBOARD.kbd_code_hid[6]
.sym 5269 KEYBOARD.kbd_code_hid[7]
.sym 5378 I2C.FLT_SDA.out
.sym 5379 $false
.sym 5380 $false
.sym 5381 $false
.sym 5382 $abc$19660$n244
.sym 5383 CLK$2$2
.sym 5384 $false
.sym 5489 $abc$19660$n52$2
.sym 5490 $abc$19660$n581
.sym 5491 $false
.sym 5492 $false
.sym 5505 $abc$19660$n41$2
.sym 5506 CLK$2$2
.sym 5507 $abc$19660$n19$2
.sym 5594 KEYBOARD.IS_RAM_INIT$2
.sym 5595 $abc$19660$n928_1
.sym 5596 $abc$19660$n930_1
.sym 5597 $abc$19660$n925_1
.sym 5624 KEYBOARD.IS_RAM_INIT$2
.sym 5625 $abc$19660$n1096_1
.sym 5626 $abc$19660$n925_1
.sym 5627 $false
.sym 5628 $abc$19660$n155
.sym 5629 CLK$2$2
.sym 5630 $false
.sym 5705 KEYBOARD.temp[7]
.sym 5706 KEYBOARD.RAM.r_data[7]
.sym 5707 $abc$19660$n727
.sym 5708 $false
.sym 5711 $abc$19660$n12
.sym 5712 $false
.sym 5713 $false
.sym 5714 $false
.sym 5723 KEYBOARD.temp[6]
.sym 5724 KEYBOARD.temp[7]
.sym 5725 $abc$19660$n565
.sym 5726 $abc$19660$n567
.sym 5735 $abc$19660$n555
.sym 5736 $abc$19660$n565
.sym 5737 $abc$19660$n567
.sym 5738 $false
.sym 5754 KEYBOARD.RAM.r_data[0]
.sym 5756 KEYBOARD.RAM.r_data[1]
.sym 5758 KEYBOARD.RAM.r_data[2]
.sym 5760 KEYBOARD.RAM.r_data[3]
.sym 5828 KEYBOARD.COLS_SHADOW[7]
.sym 5829 $abc$19660$n951_1
.sym 5830 $abc$19660$n741
.sym 5831 $false
.sym 5834 $abc$19660$n752_1
.sym 5835 $abc$19660$n1359
.sym 5836 $abc$19660$n728
.sym 5837 $false
.sym 5852 $abc$19660$n729
.sym 5853 $abc$19660$n949_1
.sym 5854 $false
.sym 5855 $false
.sym 5858 $abc$19660$n732_1
.sym 5859 $abc$19660$n953_1
.sym 5860 $false
.sym 5861 $false
.sym 5874 $abc$19660$n209
.sym 5875 CLK$2$2
.sym 5876 KEYBOARD.IS_RAM_INIT$2
.sym 5877 KEYBOARD.RAM.r_data[4]
.sym 5879 KEYBOARD.RAM.r_data[5]
.sym 5881 KEYBOARD.RAM.r_data[6]
.sym 5883 KEYBOARD.RAM.r_data[7]
.sym 5981 $abc$19660$n763_1
.sym 5982 KEYBOARD.ram_wr
.sym 5983 $abc$19660$n690
.sym 5984 $abc$19660$n741
.sym 5997 $abc$19660$n46
.sym 5998 CLK$2$2
.sym 5999 KEYBOARD.IS_RAM_INIT$2
.sym 6098 KEYBOARD.temp[6]
.sym 6099 KEYBOARD.RAM.r_data[6]
.sym 6100 $abc$19660$n727
.sym 6101 $false
.sym 6233 $abc$19660$n1311
.sym 6234 $false
.sym 6235 $false
.sym 6236 $false
.sym 6243 $abc$19660$n41$2
.sym 6244 CLK$2$2
.sym 6245 $abc$19660$n19$2
.sym 6346 $abc$19660$n681
.sym 6348 $abc$19660$n778
.sym 6349 $abc$19660$n779
.sym 6351 $abc$19660$n1353
.sym 6418 KBD_COLUMNS[0]$2
.sym 6420 KBD_COLUMNS[1]$2
.sym 6426 I2C.i2c_start_latency
.sym 6560 $abc$19660$n765_1
.sym 6562 $abc$19660$n764
.sym 6565 $abc$19660$n1368
.sym 6566 $abc$19660$n691
.sym 6668 $abc$19660$n789_1
.sym 6771 $abc$19660$n1648
.sym 6873 $abc$19660$n1652
.sym 6972 $abc$19660$n1645
.sym 6973 $abc$19660$n532
.sym 6974 $abc$19660$n578
.sym 6975 $abc$19660$n548
.sym 7070 $abc$19660$n576
.sym 7071 $abc$19660$n602
.sym 7072 $abc$19660$n1071_1
.sym 7073 $abc$19660$n1677
.sym 7074 $abc$19660$n594
.sym 7075 $abc$19660$n520
.sym 7076 $abc$19660$n590_1
.sym 7077 $abc$19660$n577
.sym 7172 $abc$19660$n534_1
.sym 7175 $abc$19660$n536_1
.sym 7177 $abc$19660$n535
.sym 7178 $abc$19660$n537
.sym 7179 $abc$19660$n191
.sym 7276 $abc$19660$n743
.sym 7277 $abc$19660$n700
.sym 7278 $abc$19660$n727
.sym 7281 $abc$19660$n699
.sym 7290 $false
.sym 7291 $false
.sym 7292 $false
.sym 7293 $false
.sym 7294 $false
.sym 7295 $false
.sym 7296 $false
.sym 7297 $false
.sym 7298 $false
.sym 7299 $false
.sym 7300 $false
.sym 7301 $false
.sym 7302 $false$2
.sym 7303 $false
.sym 7304 $false
.sym 7305 $false
.sym 7306 $false
.sym 7307 $false
.sym 7308 $false
.sym 7309 $false
.sym 7310 $false
.sym 7311 $false
.sym 7376 $abc$19660$n1095
.sym 7380 $abc$19660$n1081
.sym 7382 $abc$19660$n930_1
.sym 7383 $abc$19660$n1096_1
.sym 7392 KEYBOARD.row_time[5]
.sym 7393 KEYBOARD.row_time[6]
.sym 7394 $false
.sym 7395 KEYBOARD.row_time[7]
.sym 7396 KEYBOARD.row_counter[0]
.sym 7397 KEYBOARD.row_counter[1]
.sym 7398 KEYBOARD.row_counter[2]
.sym 7399 KEYBOARD.row_counter[3]
.sym 7400 $false
.sym 7401 $false
.sym 7402 $false
.sym 7403 CLK$2$2
.sym 7404 $true
.sym 7405 $true$2
.sym 7406 $false
.sym 7407 $false
.sym 7408 $false
.sym 7409 $false
.sym 7410 $false
.sym 7411 $false
.sym 7412 $false
.sym 7413 $false
.sym 7478 $abc$19660$n731
.sym 7479 $abc$19660$n1083
.sym 7481 $abc$19660$n193
.sym 7482 $abc$19660$n729
.sym 7484 $abc$19660$n722_1
.sym 7580 $abc$19660$n1085
.sym 7581 $abc$19660$n1082_1
.sym 7582 $abc$19660$n949_1
.sym 7583 $abc$19660$n734
.sym 7584 $abc$19660$n732_1
.sym 7585 $abc$19660$n1130
.sym 7586 $abc$19660$n740
.sym 7587 $abc$19660$n953_1
.sym 7682 $abc$19660$n742
.sym 7683 $abc$19660$n947_1
.sym 7685 $abc$19660$n752_1
.sym 7686 $abc$19660$n1128
.sym 7687 $abc$19660$n741
.sym 7688 $abc$19660$n956_1
.sym 7689 $abc$19660$n1084_1
.sym 7690 $undef
.sym 7691 $undef
.sym 7692 $undef
.sym 7693 $undef
.sym 7694 $undef
.sym 7695 $undef
.sym 7696 $undef
.sym 7697 $undef
.sym 7698 KEYBOARD.ram_adr[0]
.sym 7699 KEYBOARD.ram_adr[1]
.sym 7700 $false
.sym 7701 KEYBOARD.ram_adr[2]
.sym 7702 KEYBOARD.ram_adr[3]
.sym 7703 KEYBOARD.ram_adr[4]
.sym 7704 KEYBOARD.ram_adr[5]
.sym 7705 KEYBOARD.ram_adr[6]
.sym 7706 KEYBOARD.ram_adr[7]
.sym 7707 KEYBOARD.ram_adr[8]
.sym 7708 $false
.sym 7709 CLK$2$2
.sym 7710 KEYBOARD.ram_wr
.sym 7711 KEYBOARD.temp[0]
.sym 7712 $undef
.sym 7713 KEYBOARD.temp[1]
.sym 7714 $undef
.sym 7715 KEYBOARD.temp[2]
.sym 7716 $undef
.sym 7717 KEYBOARD.temp[3]
.sym 7718 $undef
.sym 7719 $true$2
.sym 7784 KEYBOARD.temp[4]
.sym 7785 KEYBOARD.temp[5]
.sym 7788 KEYBOARD.temp[0]
.sym 7791 KEYBOARD.temp[6]
.sym 7792 $undef
.sym 7793 $undef
.sym 7794 $undef
.sym 7795 $undef
.sym 7796 $undef
.sym 7797 $undef
.sym 7798 $undef
.sym 7799 $undef
.sym 7800 KEYBOARD.ram_adr[0]
.sym 7801 KEYBOARD.ram_adr[1]
.sym 7802 $false
.sym 7803 KEYBOARD.ram_adr[2]
.sym 7804 KEYBOARD.ram_adr[3]
.sym 7805 KEYBOARD.ram_adr[4]
.sym 7806 KEYBOARD.ram_adr[5]
.sym 7807 KEYBOARD.ram_adr[6]
.sym 7808 KEYBOARD.ram_adr[7]
.sym 7809 KEYBOARD.ram_adr[8]
.sym 7810 $false
.sym 7811 CLK$2$2
.sym 7812 $true
.sym 7813 $true$2
.sym 7814 KEYBOARD.temp[5]
.sym 7815 $undef
.sym 7816 KEYBOARD.temp[6]
.sym 7817 $undef
.sym 7818 KEYBOARD.temp[7]
.sym 7819 $undef
.sym 7820 KEYBOARD.temp[4]
.sym 7821 $undef
.sym 7886 $3\int_tmr[14:0][13]
.sym 7887 $3\int_tmr[14:0][11]
.sym 7888 $3\int_tmr[14:0][3]
.sym 7889 int_tmr[11]
.sym 7892 int_tmr[13]
.sym 7893 int_tmr[3]
.sym 8121 KEYBOARD.row_time[7]
.sym 8122 KEYBOARD.row_time[2]
.sym 8123 KEYBOARD.row_time[0]
.sym 8193 $abc$19660$n776
.sym 8194 $abc$19660$n778
.sym 8195 $abc$19660$n781
.sym 8196 $abc$19660$n782
.sym 8205 $false
.sym 8206 $false
.sym 8207 KEYBOARD.row_time[0]
.sym 8208 $false
.sym 8211 KEYBOARD.row_time[1]
.sym 8212 KEYBOARD.row_time[0]
.sym 8213 $false
.sym 8214 $false
.sym 8223 KEYBOARD.COLS_SHADOW[1]
.sym 8224 KBD_COLUMNS[1]$2
.sym 8225 $abc$19660$n727
.sym 8226 $false
.sym 8242 KBD_COLUMNS[2]$2
.sym 8244 KBD_COLUMNS[3]$2
.sym 8246 KEYBOARD.row_time[10]
.sym 8247 KEYBOARD.row_time[8]
.sym 8248 KEYBOARD.row_time[14]
.sym 8251 KEYBOARD.row_time[5]
.sym 8252 KEYBOARD.row_time[6]
.sym 8380 $abc$19660$n1436
.sym 8381 $false
.sym 8382 $false
.sym 8383 $false
.sym 8402 $abc$19660$n293
.sym 8403 CLK$2$2
.sym 8404 $false
.sym 8407 $abc$19660$n832
.sym 8408 $abc$19660$n811
.sym 8409 $abc$19660$n1559
.sym 8410 I2C.FLT_SCL.counter[1]
.sym 8412 I2C.FLT_SCL.counter[2]
.sym 8479 $abc$19660$n786
.sym 8480 $abc$19660$n787
.sym 8481 $abc$19660$n789
.sym 8482 $abc$19660$n790
.sym 8491 $abc$19660$n792
.sym 8492 $abc$19660$n793
.sym 8493 $abc$19660$n797
.sym 8494 $abc$19660$n765_1
.sym 8509 KEYBOARD.COLS_SHADOW[6]
.sym 8510 KBD_COLUMNS[6]$2
.sym 8511 $abc$19660$n727
.sym 8512 $false
.sym 8515 $abc$19660$n787
.sym 8516 $abc$19660$n789
.sym 8517 $abc$19660$n792
.sym 8518 $abc$19660$n797
.sym 8529 $abc$19660$n776_1
.sym 8530 $abc$19660$n1558
.sym 8531 $abc$19660$n329
.sym 8532 $abc$19660$n330
.sym 8533 $abc$19660$n812
.sym 8534 $abc$19660$n829
.sym 8535 I2C.FLT_SCL.counter[0]
.sym 8638 $abc$19660$n780
.sym 8639 $abc$19660$n660
.sym 8640 $false
.sym 8641 $false
.sym 8651 $abc$19660$n527
.sym 8652 $abc$19660$n785
.sym 8653 $abc$19660$n781_1
.sym 8655 $abc$19660$n271
.sym 8656 $abc$19660$n531
.sym 8658 $abc$19660$n530
.sym 8687 $true
.sym 8724 $abc$19660$n536$2
.sym 8725 $false
.sym 8726 $abc$19660$n536
.sym 8727 $false
.sym 8728 $false
.sym 8730 $auto$alumacc.cc:474:replace_alu$5175.C[3]
.sym 8732 $false
.sym 8733 $abc$19660$n534
.sym 8736 $auto$alumacc.cc:474:replace_alu$5175.C[4]
.sym 8738 $false
.sym 8739 $abc$19660$n533
.sym 8742 $auto$alumacc.cc:474:replace_alu$5175.C[5]
.sym 8744 $false
.sym 8745 $abc$19660$n531
.sym 8748 $auto$alumacc.cc:474:replace_alu$5175.C[6]
.sym 8750 $false
.sym 8751 $abc$19660$n530
.sym 8754 $auto$alumacc.cc:474:replace_alu$5175.C[7]
.sym 8756 $false
.sym 8757 $abc$19660$n528
.sym 8760 $abc$19660$n1648$2
.sym 8762 $false
.sym 8763 $abc$19660$n527
.sym 8770 $abc$19660$n1648$2
.sym 8774 $abc$19660$n553
.sym 8775 $abc$19660$n551
.sym 8776 $abc$19660$n550_1
.sym 8777 $abc$19660$n531_1
.sym 8778 $abc$19660$n528
.sym 8779 $abc$19660$n538
.sym 8780 $abc$19660$n1680
.sym 8781 $abc$19660$n549
.sym 8810 $true
.sym 8847 $abc$19660$n536$3
.sym 8848 $false
.sym 8849 $abc$19660$n536
.sym 8850 $false
.sym 8851 $false
.sym 8853 $auto$alumacc.cc:474:replace_alu$5193.C[3]
.sym 8855 $true$2
.sym 8856 $abc$19660$n534
.sym 8859 $auto$alumacc.cc:474:replace_alu$5193.C[4]
.sym 8861 $false
.sym 8862 $abc$19660$n533
.sym 8865 $auto$alumacc.cc:474:replace_alu$5193.C[5]
.sym 8867 $false
.sym 8868 $abc$19660$n531
.sym 8871 $auto$alumacc.cc:474:replace_alu$5193.C[6]
.sym 8873 $false
.sym 8874 $abc$19660$n530
.sym 8877 $auto$alumacc.cc:474:replace_alu$5193.C[7]
.sym 8879 $false
.sym 8880 $abc$19660$n528
.sym 8883 $abc$19660$n1652$2
.sym 8885 $false
.sym 8886 $abc$19660$n527
.sym 8893 $abc$19660$n1652$2
.sym 8897 $abc$19660$n586
.sym 8898 $abc$19660$n530_1
.sym 8899 $abc$19660$n584_1
.sym 8900 $abc$19660$n587
.sym 8901 $abc$19660$n529
.sym 8902 $abc$19660$n604
.sym 8903 $abc$19660$n588_1
.sym 8904 $abc$19660$n603
.sym 8933 $true
.sym 8970 $abc$19660$n524$2
.sym 8971 $false
.sym 8972 $abc$19660$n524
.sym 8973 $false
.sym 8974 $false
.sym 8976 $auto$alumacc.cc:474:replace_alu$5164.C[2]
.sym 8978 $false
.sym 8979 $abc$19660$n523
.sym 8982 $auto$alumacc.cc:474:replace_alu$5164.C[3]
.sym 8984 $true$2
.sym 8985 $abc$19660$n1677
.sym 8988 $abc$19660$n1645$2
.sym 8990 $false
.sym 8991 $abc$19660$n520
.sym 8998 $abc$19660$n1645$2
.sym 9001 I2C.received_byte[3]
.sym 9002 I2C.received_byte[1]
.sym 9003 I2C.received_byte[2]
.sym 9004 $false
.sym 9007 $abc$19660$n532
.sym 9008 $abc$19660$n531_1
.sym 9009 $abc$19660$n1648
.sym 9010 $false
.sym 9013 I2C.received_byte[0]
.sym 9014 $abc$19660$n532
.sym 9015 $abc$19660$n531_1
.sym 9016 $false
.sym 9020 $abc$19660$n607
.sym 9021 $abc$19660$n552
.sym 9022 $abc$19660$n555_1
.sym 9023 $abc$19660$n606
.sym 9024 $abc$19660$n533_1
.sym 9025 $abc$19660$n524
.sym 9026 $abc$19660$n547
.sym 9027 $abc$19660$n527_1
.sym 9094 $abc$19660$n556
.sym 9095 i2c_input_data_type[1]
.sym 9096 $abc$19660$n577
.sym 9097 I2C.received_byte[1]
.sym 9100 $abc$19660$n577
.sym 9101 I2C.received_byte[0]
.sym 9102 $false
.sym 9103 $false
.sym 9106 $abc$19660$n558
.sym 9107 $abc$19660$n556
.sym 9108 i2c_input_data_type[2]
.sym 9109 $false
.sym 9112 $abc$19660$n584_1
.sym 9113 $abc$19660$n1071_1
.sym 9114 $abc$19660$n590_1
.sym 9115 $abc$19660$n556
.sym 9118 $abc$19660$n548
.sym 9119 $abc$19660$n547
.sym 9120 $abc$19660$n558
.sym 9121 $abc$19660$n556
.sym 9124 $abc$19660$n594
.sym 9125 i2c_input_data_type[3]
.sym 9126 $abc$19660$n577
.sym 9127 I2C.received_byte[3]
.sym 9130 $abc$19660$n578
.sym 9131 $abc$19660$n558
.sym 9132 I2C.received_byte[2]
.sym 9133 $abc$19660$n1652
.sym 9136 $abc$19660$n578
.sym 9137 $abc$19660$n558
.sym 9138 $abc$19660$n556
.sym 9139 $abc$19660$n1652
.sym 9143 $abc$19660$n1069_1
.sym 9144 $abc$19660$n1070
.sym 9145 $abc$19660$n1068
.sym 9146 $abc$19660$n1091_1
.sym 9147 $abc$19660$n1092
.sym 9148 i2c_input_data_type[0]
.sym 9149 i2c_input_data_type[3]
.sym 9150 i2c_input_data_type[2]
.sym 9217 $abc$19660$n537
.sym 9218 $abc$19660$n531_1
.sym 9219 $abc$19660$n536_1
.sym 9220 $abc$19660$n535
.sym 9235 i2c_input_data_type[0]
.sym 9236 I2C.received_byte[0]
.sym 9237 $false
.sym 9238 $false
.sym 9247 i2c_input_data_type[3]
.sym 9248 i2c_input_data_type[1]
.sym 9249 i2c_input_data_type[2]
.sym 9250 $false
.sym 9253 I2C.received_byte[3]
.sym 9254 I2C.received_byte[2]
.sym 9255 I2C.received_byte[1]
.sym 9256 $false
.sym 9259 IS_RAM_INIT
.sym 9260 KEYBOARD.IS_RAM_INIT$2
.sym 9261 I2C.FLT_SCL.RESET$2
.sym 9262 $false
.sym 9267 $abc$19660$n852
.sym 9268 $abc$19660$n1094
.sym 9271 $abc$19660$n1093_1
.sym 9272 i2c_input_data_type[1]
.sym 9352 $abc$19660$n565
.sym 9353 $abc$19660$n567
.sym 9354 $abc$19660$n555
.sym 9355 KEYBOARD.COLS_SHADOW[4]
.sym 9358 KEYBOARD.kbd_code_hid[5]
.sym 9359 KEYBOARD.kbd_code_hid[6]
.sym 9360 KEYBOARD.kbd_code_hid[7]
.sym 9361 $false
.sym 9364 $abc$19660$n555
.sym 9365 $abc$19660$n565
.sym 9366 $abc$19660$n567
.sym 9367 $false
.sym 9382 KEYBOARD.kbd_code_hid[3]
.sym 9383 KEYBOARD.kbd_code_hid[4]
.sym 9384 $abc$19660$n700
.sym 9385 $false
.sym 9389 $0\temp_output_report[2:0][2]
.sym 9390 $abc$19660$n46
.sym 9391 $0\temp_output_report[2:0][1]
.sym 9392 $abc$19660$n928_1
.sym 9393 $0\temp_output_report[2:0][0]
.sym 9394 temp_output_report[0]
.sym 9395 temp_output_report[2]
.sym 9396 temp_output_report[1]
.sym 9463 KEYBOARD.kbd_code_hid[2]
.sym 9464 KEYBOARD.kbd_code_hid[0]
.sym 9465 KEYBOARD.kbd_code_hid[1]
.sym 9466 $abc$19660$n699
.sym 9487 $abc$19660$n1359
.sym 9488 $abc$19660$n1368
.sym 9489 $abc$19660$n555
.sym 9490 $abc$19660$n567
.sym 9499 KEYBOARD.is_pressed
.sym 9500 KEYBOARD.kbd_code_hid[2]
.sym 9501 KEYBOARD.kbd_code_hid[1]
.sym 9502 KEYBOARD.kbd_code_hid[0]
.sym 9505 KEYBOARD.REPORT.r_data[1]
.sym 9506 $abc$19660$n699
.sym 9507 KEYBOARD.is_pressed
.sym 9508 $abc$19660$n1095
.sym 9516 $abc$19660$n721_1
.sym 9517 $abc$19660$n1285
.sym 9519 $abc$19660$n1287
.sym 9586 $abc$19660$n555
.sym 9587 $abc$19660$n567
.sym 9588 $abc$19660$n565
.sym 9589 $false
.sym 9592 $abc$19660$n565
.sym 9593 $abc$19660$n1081
.sym 9594 $abc$19660$n729
.sym 9595 $abc$19660$n1082_1
.sym 9604 $abc$19660$n722_1
.sym 9605 $abc$19660$n196
.sym 9606 $false
.sym 9607 $false
.sym 9610 $abc$19660$n1356
.sym 9611 $abc$19660$n731
.sym 9612 $false
.sym 9613 $false
.sym 9622 $abc$19660$n1083
.sym 9623 $abc$19660$n1130
.sym 9624 $false
.sym 9625 $false
.sym 9635 $abc$19660$n735_1
.sym 9636 $abc$19660$n737
.sym 9709 $abc$19660$n752_1
.sym 9710 KEYBOARD.temp[2]
.sym 9711 $abc$19660$n565
.sym 9712 $abc$19660$n567
.sym 9715 $abc$19660$n732_1
.sym 9716 $abc$19660$n735_1
.sym 9717 $abc$19660$n743
.sym 9718 $abc$19660$n740
.sym 9721 KEYBOARD.temp[2]
.sym 9722 KEYBOARD.RAM.r_data[2]
.sym 9723 $abc$19660$n731
.sym 9724 $abc$19660$n727
.sym 9727 $abc$19660$n555
.sym 9728 $abc$19660$n565
.sym 9729 $abc$19660$n567
.sym 9730 $false
.sym 9733 $abc$19660$n1353
.sym 9734 $abc$19660$n734
.sym 9735 $false
.sym 9736 $false
.sym 9739 $abc$19660$n1084_1
.sym 9740 $abc$19660$n1085
.sym 9741 $abc$19660$n1128
.sym 9742 $abc$19660$n1129
.sym 9745 $abc$19660$n741
.sym 9746 KEYBOARD.COLS_SHADOW[7]
.sym 9747 $abc$19660$n727
.sym 9748 KBD_COLUMNS[0]$2
.sym 9751 KEYBOARD.temp[1]
.sym 9752 KEYBOARD.RAM.r_data[1]
.sym 9753 $abc$19660$n734
.sym 9754 $abc$19660$n727
.sym 9758 $3\int_tmr[14:0][6]
.sym 9759 $3\int_tmr[14:0][2]
.sym 9760 $3\int_tmr[14:0][8]
.sym 9761 $3\int_tmr[14:0][4]
.sym 9762 int_tmr[6]
.sym 9763 int_tmr[8]
.sym 9764 int_tmr[4]
.sym 9765 int_tmr[2]
.sym 9832 $abc$19660$n567
.sym 9833 $abc$19660$n565
.sym 9834 $abc$19660$n555
.sym 9835 $false
.sym 9838 $abc$19660$n565
.sym 9839 $abc$19660$n567
.sym 9840 KEYBOARD.temp[4]
.sym 9841 $abc$19660$n743
.sym 9850 KEYBOARD.temp[3]
.sym 9851 KEYBOARD.RAM.r_data[3]
.sym 9852 $abc$19660$n727
.sym 9853 $false
.sym 9856 KEYBOARD.temp[1]
.sym 9857 KEYBOARD.temp[5]
.sym 9858 $abc$19660$n565
.sym 9859 $abc$19660$n555
.sym 9862 $abc$19660$n555
.sym 9863 $abc$19660$n565
.sym 9864 $abc$19660$n567
.sym 9865 $false
.sym 9868 KEYBOARD.temp[5]
.sym 9869 KEYBOARD.RAM.r_data[5]
.sym 9870 $abc$19660$n737
.sym 9871 $abc$19660$n727
.sym 9874 KEYBOARD.temp[4]
.sym 9875 KEYBOARD.RAM.r_data[0]
.sym 9876 $abc$19660$n565
.sym 9877 $abc$19660$n555
.sym 9883 $abc$19660$n920
.sym 9884 $abc$19660$n923
.sym 9885 $abc$19660$n926
.sym 9886 $abc$19660$n929
.sym 9887 $abc$19660$n932
.sym 9888 $abc$19660$n935
.sym 9955 $abc$19660$n727
.sym 9956 KEYBOARD.RAM.r_data[4]
.sym 9957 $abc$19660$n947_1
.sym 9958 $false
.sym 9961 $abc$19660$n735_1
.sym 9962 $abc$19660$n956_1
.sym 9963 $false
.sym 9964 $false
.sym 9979 KEYBOARD.temp[0]
.sym 9980 KBD_COLUMNS[0]$2
.sym 9981 $abc$19660$n727
.sym 9982 $false
.sym 9997 $abc$19660$n958
.sym 9998 $abc$19660$n1083
.sym 9999 $abc$19660$n742
.sym 10000 $false
.sym 10001 $abc$19660$n209
.sym 10002 CLK$2$2
.sym 10003 KEYBOARD.IS_RAM_INIT$2
.sym 10004 $abc$19660$n938
.sym 10005 $abc$19660$n941
.sym 10006 $abc$19660$n944
.sym 10007 $abc$19660$n947
.sym 10008 $abc$19660$n950
.sym 10009 $abc$19660$n953
.sym 10010 $abc$19660$n956
.sym 10011 int_tmr[12]
.sym 10078 $abc$19660$n643
.sym 10079 int_tmr[13]
.sym 10080 $false
.sym 10081 $false
.sym 10084 $abc$19660$n643
.sym 10085 int_tmr[11]
.sym 10086 $false
.sym 10087 $false
.sym 10090 $abc$19660$n643
.sym 10091 int_tmr[3]
.sym 10092 $false
.sym 10093 $false
.sym 10096 $abc$19660$n947
.sym 10097 int_tmr[11]
.sym 10098 $3\int_tmr[14:0][14]
.sym 10099 $false
.sym 10114 $abc$19660$n953
.sym 10115 int_tmr[13]
.sym 10116 $3\int_tmr[14:0][14]
.sym 10117 $false
.sym 10120 $abc$19660$n923
.sym 10121 int_tmr[3]
.sym 10122 $3\int_tmr[14:0][14]
.sym 10123 $false
.sym 10124 $abc$19660$n41$2
.sym 10125 CLK$2$2
.sym 10126 $abc$19660$n19$2
.sym 10226 KEYBOARD.COLS_SHADOW[3]
.sym 10228 KEYBOARD.COLS_SHADOW[7]
.sym 10229 KEYBOARD.COLS_SHADOW[2]
.sym 10230 KEYBOARD.COLS_SHADOW[1]
.sym 10231 KEYBOARD.COLS_SHADOW[5]
.sym 10232 KEYBOARD.COLS_SHADOW[4]
.sym 10319 $abc$19660$n555
.sym 10320 $false
.sym 10321 $false
.sym 10322 $false
.sym 10325 $abc$19660$n781
.sym 10326 $false
.sym 10327 $false
.sym 10328 $false
.sym 10331 $abc$19660$n778
.sym 10332 $false
.sym 10333 $false
.sym 10334 $false
.sym 10347 $abc$19660$n191$2
.sym 10348 CLK$2$2
.sym 10349 $abc$19660$n19$2
.sym 10354 $abc$19660$n1356
.sym 10355 $abc$19660$n1365
.sym 10356 $abc$19660$n1359
.sym 10360 $abc$19660$n293
.sym 10361 I2C_TRANS
.sym 10464 $abc$19660$n789
.sym 10465 $false
.sym 10466 $false
.sym 10467 $false
.sym 10470 $abc$19660$n786
.sym 10471 $false
.sym 10472 $false
.sym 10473 $false
.sym 10476 $abc$19660$n797
.sym 10477 $false
.sym 10478 $false
.sym 10479 $false
.sym 10494 $abc$19660$n567
.sym 10495 $false
.sym 10496 $false
.sym 10497 $false
.sym 10500 $abc$19660$n565
.sym 10501 $false
.sym 10502 $false
.sym 10503 $false
.sym 10510 $abc$19660$n191$2
.sym 10511 CLK$2$2
.sym 10512 $abc$19660$n19$2
.sym 10514 I2C.i2c_bit_counter[1]
.sym 10515 I2C.i2c_bit_counter[0]
.sym 10517 $abc$19660$n8
.sym 10518 I2C.is_adress
.sym 10519 UART.TX_sig_last
.sym 10520 I2C.SDA_DIR
.sym 10549 $true
.sym 10586 I2C.FLT_SCL.counter[0]$2
.sym 10587 $false
.sym 10588 I2C.FLT_SCL.counter[0]
.sym 10589 $false
.sym 10590 $false
.sym 10592 $auto$alumacc.cc:474:replace_alu$5222.C[2]
.sym 10594 I2C.FLT_SCL.counter[1]
.sym 10595 $true$2
.sym 10599 $false
.sym 10600 I2C.FLT_SCL.counter[2]
.sym 10601 $false
.sym 10602 $auto$alumacc.cc:474:replace_alu$5222.C[2]
.sym 10605 I2C.FLT_SCL.counter[0]
.sym 10606 I2C.FLT_SCL.counter[1]
.sym 10607 I2C.FLT_SCL.counter[2]
.sym 10608 $false
.sym 10611 I2C.FLT_SCL.counter[0]
.sym 10612 I2C.FLT_SCL.counter[1]
.sym 10613 $false
.sym 10614 $false
.sym 10617 $abc$19660$n1559
.sym 10618 $false
.sym 10619 $false
.sym 10620 $false
.sym 10629 $abc$19660$n811
.sym 10630 $abc$19660$n832
.sym 10631 $false
.sym 10632 $false
.sym 10633 $abc$19660$n330
.sym 10634 CLK$2$2
.sym 10635 $abc$19660$n19$2
.sym 10636 $abc$19660$n1005
.sym 10637 $abc$19660$n198
.sym 10638 $abc$19660$n1111
.sym 10639 $abc$19660$n1025
.sym 10640 $abc$19660$n1110_1
.sym 10642 $abc$19660$n1008
.sym 10643 I2C.FLT_SCL.out
.sym 10716 $abc$19660$n1436
.sym 10717 I2C.is_read
.sym 10718 $false
.sym 10719 $false
.sym 10722 $abc$19660$n811
.sym 10723 $abc$19660$n829
.sym 10724 $false
.sym 10725 $false
.sym 10728 $abc$19660$n1558
.sym 10729 $abc$19660$n812
.sym 10730 $false
.sym 10731 $false
.sym 10734 I2C.FLT_SCL.out
.sym 10735 I2C.SCLF
.sym 10736 $abc$19660$n811
.sym 10737 $false
.sym 10740 $abc$19660$n1559
.sym 10741 $abc$19660$n832
.sym 10742 I2C.FLT_SCL.out
.sym 10743 I2C.SCLF
.sym 10746 $false
.sym 10747 I2C.FLT_SCL.counter[0]
.sym 10748 $false
.sym 10749 $false
.sym 10752 $abc$19660$n1558
.sym 10753 $false
.sym 10754 $false
.sym 10755 $false
.sym 10756 $abc$19660$n330
.sym 10757 CLK$2$2
.sym 10758 $abc$19660$n19$2
.sym 10759 $abc$19660$n783
.sym 10760 $abc$19660$n667
.sym 10761 $abc$19660$n1010
.sym 10762 $abc$19660$n1011
.sym 10763 $abc$19660$n791
.sym 10764 $abc$19660$n775
.sym 10765 $abc$19660$n1014
.sym 10766 $abc$19660$n1013
.sym 10833 I2C.received_byte[7]
.sym 10834 $false
.sym 10835 $false
.sym 10836 $false
.sym 10839 $abc$19660$n780
.sym 10840 $abc$19660$n660
.sym 10841 $false
.sym 10842 $false
.sym 10845 $abc$19660$n776_1
.sym 10846 $abc$19660$n782_1
.sym 10847 I2C.FLT_SCL.RESET$2
.sym 10848 $false
.sym 10857 $abc$19660$n785
.sym 10858 $abc$19660$n791
.sym 10859 $abc$19660$n781_1
.sym 10860 $false
.sym 10863 I2C.received_byte[4]
.sym 10864 $false
.sym 10865 $false
.sym 10866 $false
.sym 10875 I2C.received_byte[5]
.sym 10876 $false
.sym 10877 $false
.sym 10878 $false
.sym 10882 I2C.wr
.sym 10884 $abc$19660$n10
.sym 10885 I2C.is_read
.sym 10886 I2C.i2c_bit_counter[3]
.sym 10887 I2C.i2c_bit_counter[2]
.sym 10888 I2C.is_ack
.sym 10956 I2C.received_byte[4]
.sym 10957 I2C.received_byte[5]
.sym 10958 $abc$19660$n532
.sym 10959 $abc$19660$n554
.sym 10962 I2C_COUNTER[0]
.sym 10963 $abc$19660$n552
.sym 10964 I2C_COUNTER[1]
.sym 10965 $false
.sym 10968 I2C_COUNTER[3]
.sym 10969 $abc$19660$n539
.sym 10970 $abc$19660$n551
.sym 10971 $false
.sym 10974 I2C.received_byte[4]
.sym 10975 I2C.received_byte[5]
.sym 10976 I2C.received_byte[7]
.sym 10977 I2C.received_byte[6]
.sym 10980 I2C.received_byte[6]
.sym 10981 $false
.sym 10982 $false
.sym 10983 $false
.sym 10986 I2C_COUNTER[3]
.sym 10987 I2C_COUNTER[0]
.sym 10988 $abc$19660$n539
.sym 10989 I2C_COUNTER[1]
.sym 10992 I2C.i2c_bit_counter[3]
.sym 10993 $abc$19660$n1436
.sym 10994 $false
.sym 10995 $false
.sym 10998 $abc$19660$n550_1
.sym 10999 $abc$19660$n553
.sym 11000 $false
.sym 11001 $false
.sym 11005 $abc$19660$n523
.sym 11006 $abc$19660$n1113
.sym 11007 $abc$19660$n612
.sym 11008 $abc$19660$n1115
.sym 11009 $abc$19660$n599
.sym 11010 $abc$19660$n1114_1
.sym 11011 $abc$19660$n1116
.sym 11012 $abc$19660$n559
.sym 11079 $abc$19660$n553
.sym 11080 $abc$19660$n587
.sym 11081 $abc$19660$n588_1
.sym 11082 $abc$19660$n547
.sym 11085 I2C.received_byte[0]
.sym 11086 $abc$19660$n532
.sym 11087 $abc$19660$n531_1
.sym 11088 $abc$19660$n533_1
.sym 11091 $abc$19660$n586
.sym 11092 $abc$19660$n548
.sym 11093 $abc$19660$n529
.sym 11094 $abc$19660$n1070
.sym 11097 I2C.received_byte[0]
.sym 11098 $abc$19660$n531_1
.sym 11099 $abc$19660$n532
.sym 11100 $abc$19660$n533_1
.sym 11103 $abc$19660$n534_1
.sym 11104 $abc$19660$n530_1
.sym 11105 $abc$19660$n538
.sym 11106 $false
.sym 11109 $abc$19660$n605
.sym 11110 $abc$19660$n588_1
.sym 11111 $abc$19660$n533_1
.sym 11112 $false
.sym 11115 I2C_COUNTER[0]
.sym 11116 I2C_COUNTER[3]
.sym 11117 $abc$19660$n539
.sym 11118 I2C_COUNTER[1]
.sym 11121 $abc$19660$n529
.sym 11122 $abc$19660$n1070
.sym 11123 $abc$19660$n604
.sym 11124 $false
.sym 11128 $abc$19660$n610
.sym 11129 $abc$19660$n674
.sym 11130 $abc$19660$n616
.sym 11131 $abc$19660$n609
.sym 11132 $abc$19660$n597
.sym 11133 $22\i2c_input_data_type[3:0][1]
.sym 11134 $abc$19660$n608
.sym 11135 $22\i2c_input_data_type[3:0][0]
.sym 11202 $abc$19660$n556
.sym 11203 i2c_input_data_type[0]
.sym 11204 $false
.sym 11205 $false
.sym 11208 i2c_input_data_type[3]
.sym 11209 i2c_input_data_type[0]
.sym 11210 i2c_input_data_type[2]
.sym 11211 $false
.sym 11214 $abc$19660$n558
.sym 11215 $abc$19660$n556
.sym 11216 $false
.sym 11217 $false
.sym 11220 $abc$19660$n548
.sym 11221 $abc$19660$n547
.sym 11222 $abc$19660$n555_1
.sym 11223 i2c_input_data_type[0]
.sym 11226 i2c_input_data_type[1]
.sym 11227 i2c_input_data_type[3]
.sym 11228 i2c_input_data_type[0]
.sym 11229 i2c_input_data_type[2]
.sym 11232 $abc$19660$n606
.sym 11233 $abc$19660$n603
.sym 11234 $abc$19660$n602
.sym 11235 $abc$19660$n607
.sym 11238 I2C_COUNTER[3]
.sym 11239 I2C_COUNTER[1]
.sym 11240 $abc$19660$n539
.sym 11241 I2C_COUNTER[0]
.sym 11244 $abc$19660$n584_1
.sym 11245 i2c_input_data_type[1]
.sym 11246 $abc$19660$n549
.sym 11247 $abc$19660$n555_1
.sym 11251 $abc$19660$n613
.sym 11252 $abc$19660$n648
.sym 11253 $abc$19660$n672
.sym 11254 $abc$19660$n998
.sym 11255 $abc$19660$n524_1
.sym 11256 $abc$19660$n617_1
.sym 11257 $abc$19660$n558
.sym 11258 $22\i2c_input_data_type[3:0][2]
.sym 11325 I2C_COUNTER[3]
.sym 11326 I2C_COUNTER[1]
.sym 11327 $abc$19660$n535
.sym 11328 $abc$19660$n543
.sym 11331 I2C.received_byte[0]
.sym 11332 $abc$19660$n1068
.sym 11333 $abc$19660$n531_1
.sym 11334 $abc$19660$n1069_1
.sym 11337 I2C.received_byte[3]
.sym 11338 I2C_COUNTER[0]
.sym 11339 I2C.received_byte[1]
.sym 11340 I2C.received_byte[2]
.sym 11343 I2C_COUNTER[0]
.sym 11344 i2c_input_data_type[3]
.sym 11345 I2C_COUNTER[1]
.sym 11346 i2c_input_data_type[2]
.sym 11349 $abc$19660$n543
.sym 11350 $abc$19660$n539
.sym 11351 i2c_input_data_type[1]
.sym 11352 i2c_input_data_type[0]
.sym 11355 $22\i2c_input_data_type[3:0][0]
.sym 11356 $abc$19660$n524
.sym 11357 I2C.is_read
.sym 11358 $abc$19660$n644
.sym 11361 $abc$19660$n674
.sym 11362 $abc$19660$n651
.sym 11363 $abc$19660$n520
.sym 11364 $false
.sym 11367 $abc$19660$n1677
.sym 11368 $abc$19660$n651
.sym 11369 $22\i2c_input_data_type[3:0][2]
.sym 11370 $false
.sym 11371 $abc$19660$n46
.sym 11372 CLK$2$2
.sym 11373 $abc$19660$n52$2
.sym 11374 $abc$19660$n898
.sym 11375 $abc$19660$n72
.sym 11376 $abc$19660$n849
.sym 11377 $false$2
.sym 11378 $abc$19660$n651
.sym 11381 $abc$19660$n671
.sym 11454 $abc$19660$n644
.sym 11455 $abc$19660$n674
.sym 11456 $abc$19660$n523
.sym 11457 $false
.sym 11460 $abc$19660$n1091_1
.sym 11461 $abc$19660$n1093_1
.sym 11462 $false
.sym 11463 $false
.sym 11478 i2c_input_data_type[1]
.sym 11479 I2C_COUNTER[3]
.sym 11480 $abc$19660$n1092
.sym 11481 $abc$19660$n556
.sym 11484 I2C.is_read
.sym 11485 i2c_input_data_type[1]
.sym 11486 $abc$19660$n852
.sym 11487 $false
.sym 11494 $abc$19660$n46
.sym 11495 CLK$2$2
.sym 11496 $abc$19660$n52$2
.sym 11497 $abc$19660$n929_1
.sym 11498 $abc$19660$n698
.sym 11499 $abc$19660$n94
.sym 11500 $abc$19660$n684_1
.sym 11501 $abc$19660$n696
.sym 11502 LED3$2
.sym 11503 LED4$2
.sym 11504 LED2$2
.sym 11571 temp_output_report[2]
.sym 11572 I2C.received_byte[2]
.sym 11573 $abc$19660$n1094
.sym 11574 $false
.sym 11577 IS_RAM_INIT
.sym 11578 I2C.FLT_SCL.RESET$2
.sym 11579 $false
.sym 11580 $false
.sym 11583 temp_output_report[1]
.sym 11584 I2C.received_byte[1]
.sym 11585 $abc$19660$n1094
.sym 11586 $false
.sym 11589 KEYBOARD.is_pressed
.sym 11590 KEYBOARD.kbd_code_hid[3]
.sym 11591 $abc$19660$n929_1
.sym 11592 $abc$19660$n699
.sym 11595 temp_output_report[0]
.sym 11596 I2C.received_byte[0]
.sym 11597 $abc$19660$n1094
.sym 11598 $false
.sym 11601 $0\temp_output_report[2:0][0]
.sym 11602 $false
.sym 11603 $false
.sym 11604 $false
.sym 11607 $0\temp_output_report[2:0][2]
.sym 11608 $false
.sym 11609 $false
.sym 11610 $false
.sym 11613 $0\temp_output_report[2:0][1]
.sym 11614 $false
.sym 11615 $false
.sym 11616 $false
.sym 11617 $abc$19660$n46
.sym 11618 CLK$2$2
.sym 11619 $false
.sym 11620 $abc$19660$n1089
.sym 11621 $abc$19660$n155
.sym 11622 $abc$19660$n703
.sym 11623 $abc$19660$n702
.sym 11625 $abc$19660$n1118
.sym 11626 $abc$19660$n688
.sym 11627 $abc$19660$n695
.sym 11718 KEYBOARD.IS_RAM_INIT$2
.sym 11719 $abc$19660$n46
.sym 11720 $abc$19660$n722_1
.sym 11721 $abc$19660$n690
.sym 11724 $false
.sym 11725 $false
.sym 11726 KEYBOARD.row_counter[0]
.sym 11727 $false
.sym 11736 KEYBOARD.row_counter[1]
.sym 11737 KEYBOARD.row_counter[0]
.sym 11738 $false
.sym 11739 $false
.sym 11743 $abc$19660$n209
.sym 11744 $abc$19660$n207
.sym 11745 $abc$19660$n705
.sym 11747 $abc$19660$n1088_1
.sym 11748 $abc$19660$n757_1
.sym 11817 $abc$19660$n1365
.sym 11818 $abc$19660$n737
.sym 11819 $false
.sym 11820 $false
.sym 11823 $abc$19660$n565
.sym 11824 $abc$19660$n555
.sym 11825 $abc$19660$n567
.sym 11826 $false
.sym 11866 $3\int_tmr[14:0][0]
.sym 11867 $abc$19660$n913
.sym 11868 $3\int_tmr[14:0][14]
.sym 11869 $3\int_tmr[14:0][1]
.sym 11870 $abc$19660$n188
.sym 11871 int_tmr[14]
.sym 11872 int_tmr[0]
.sym 11873 int_tmr[1]
.sym 11940 $abc$19660$n643
.sym 11941 int_tmr[6]
.sym 11942 $false
.sym 11943 $false
.sym 11946 $abc$19660$n643
.sym 11947 int_tmr[2]
.sym 11948 $false
.sym 11949 $false
.sym 11952 $abc$19660$n643
.sym 11953 int_tmr[8]
.sym 11954 $false
.sym 11955 $false
.sym 11958 $abc$19660$n643
.sym 11959 int_tmr[4]
.sym 11960 $false
.sym 11961 $false
.sym 11964 $abc$19660$n932
.sym 11965 int_tmr[6]
.sym 11966 $3\int_tmr[14:0][14]
.sym 11967 $false
.sym 11970 $abc$19660$n938
.sym 11971 int_tmr[8]
.sym 11972 $3\int_tmr[14:0][14]
.sym 11973 $false
.sym 11976 $abc$19660$n926
.sym 11977 int_tmr[4]
.sym 11978 $3\int_tmr[14:0][14]
.sym 11979 $false
.sym 11982 $abc$19660$n920
.sym 11983 int_tmr[2]
.sym 11984 $3\int_tmr[14:0][14]
.sym 11985 $false
.sym 11986 $abc$19660$n41$2
.sym 11987 CLK$2$2
.sym 11988 $abc$19660$n19$2
.sym 11989 $3\int_tmr[14:0][9]
.sym 11990 $3\int_tmr[14:0][7]
.sym 11991 $3\int_tmr[14:0][5]
.sym 11992 $3\int_tmr[14:0][10]
.sym 11993 int_tmr[10]
.sym 11994 int_tmr[9]
.sym 11995 int_tmr[7]
.sym 11996 int_tmr[5]
.sym 12025 $true
.sym 12062 $3\int_tmr[14:0][0]$2
.sym 12063 $false
.sym 12064 $3\int_tmr[14:0][0]
.sym 12065 $false
.sym 12066 $false
.sym 12068 $auto$alumacc.cc:474:replace_alu$5210.C[2]
.sym 12070 $false
.sym 12071 $3\int_tmr[14:0][1]
.sym 12074 $auto$alumacc.cc:474:replace_alu$5210.C[3]
.sym 12075 $false
.sym 12076 $false
.sym 12077 $3\int_tmr[14:0][2]
.sym 12078 $auto$alumacc.cc:474:replace_alu$5210.C[2]
.sym 12080 $auto$alumacc.cc:474:replace_alu$5210.C[4]
.sym 12081 $false
.sym 12082 $false
.sym 12083 $3\int_tmr[14:0][3]
.sym 12084 $auto$alumacc.cc:474:replace_alu$5210.C[3]
.sym 12086 $auto$alumacc.cc:474:replace_alu$5210.C[5]
.sym 12087 $false
.sym 12088 $false
.sym 12089 $3\int_tmr[14:0][4]
.sym 12090 $auto$alumacc.cc:474:replace_alu$5210.C[4]
.sym 12092 $auto$alumacc.cc:474:replace_alu$5210.C[6]
.sym 12093 $false
.sym 12094 $false
.sym 12095 $3\int_tmr[14:0][5]
.sym 12096 $auto$alumacc.cc:474:replace_alu$5210.C[5]
.sym 12098 $auto$alumacc.cc:474:replace_alu$5210.C[7]
.sym 12099 $false
.sym 12100 $false
.sym 12101 $3\int_tmr[14:0][6]
.sym 12102 $auto$alumacc.cc:474:replace_alu$5210.C[6]
.sym 12104 $auto$alumacc.cc:474:replace_alu$5210.C[8]
.sym 12105 $false
.sym 12106 $false
.sym 12107 $3\int_tmr[14:0][7]
.sym 12108 $auto$alumacc.cc:474:replace_alu$5210.C[7]
.sym 12112 KEYBOARD.ram_adr[4]
.sym 12113 KEYBOARD.ram_adr[8]
.sym 12114 KEYBOARD.ram_adr[7]
.sym 12115 KEYBOARD.ram_adr[0]
.sym 12117 KEYBOARD.ram_adr[2]
.sym 12118 KEYBOARD.ram_adr[3]
.sym 12119 KEYBOARD.ram_adr[1]
.sym 12148 $auto$alumacc.cc:474:replace_alu$5210.C[8]
.sym 12185 $auto$alumacc.cc:474:replace_alu$5210.C[9]
.sym 12186 $false
.sym 12187 $false
.sym 12188 $3\int_tmr[14:0][8]
.sym 12189 $auto$alumacc.cc:474:replace_alu$5210.C[8]
.sym 12191 $auto$alumacc.cc:474:replace_alu$5210.C[10]
.sym 12192 $false
.sym 12193 $false
.sym 12194 $3\int_tmr[14:0][9]
.sym 12195 $auto$alumacc.cc:474:replace_alu$5210.C[9]
.sym 12197 $auto$alumacc.cc:474:replace_alu$5210.C[11]
.sym 12198 $false
.sym 12199 $false
.sym 12200 $3\int_tmr[14:0][10]
.sym 12201 $auto$alumacc.cc:474:replace_alu$5210.C[10]
.sym 12203 $auto$alumacc.cc:474:replace_alu$5210.C[12]
.sym 12204 $false
.sym 12205 $false
.sym 12206 $3\int_tmr[14:0][11]
.sym 12207 $auto$alumacc.cc:474:replace_alu$5210.C[11]
.sym 12209 $auto$alumacc.cc:474:replace_alu$5210.C[13]
.sym 12210 $false
.sym 12211 $false
.sym 12212 $3\int_tmr[14:0][12]
.sym 12213 $auto$alumacc.cc:474:replace_alu$5210.C[12]
.sym 12215 $auto$alumacc.cc:474:replace_alu$5210.C[14]
.sym 12216 $false
.sym 12217 $false
.sym 12218 $3\int_tmr[14:0][13]
.sym 12219 $auto$alumacc.cc:474:replace_alu$5210.C[13]
.sym 12222 $false
.sym 12223 $false
.sym 12224 $3\int_tmr[14:0][14]
.sym 12225 $auto$alumacc.cc:474:replace_alu$5210.C[14]
.sym 12228 $abc$19660$n950
.sym 12229 int_tmr[12]
.sym 12230 $3\int_tmr[14:0][14]
.sym 12231 $false
.sym 12232 $abc$19660$n41$2
.sym 12233 CLK$2$2
.sym 12234 $abc$19660$n19$2
.sym 12309 $abc$19660$n198
.sym 12335 $abc$19660$n19
.sym 12410 $abc$19660$n1359
.sym 12411 $false
.sym 12412 $false
.sym 12413 $false
.sym 12422 KEYBOARD.COLS_SHADOW[7]
.sym 12423 KBD_COLUMNS[7]$2
.sym 12424 $abc$19660$n727
.sym 12425 $false
.sym 12428 $abc$19660$n1356
.sym 12429 $false
.sym 12430 $false
.sym 12431 $false
.sym 12434 $abc$19660$n1353
.sym 12435 $false
.sym 12436 $false
.sym 12437 $false
.sym 12440 $abc$19660$n1365
.sym 12441 $false
.sym 12442 $false
.sym 12443 $false
.sym 12446 KEYBOARD.COLS_SHADOW[4]
.sym 12447 KBD_COLUMNS[4]$2
.sym 12448 $abc$19660$n727
.sym 12449 $false
.sym 12456 $abc$19660$n196
.sym 12457 CLK$2$2
.sym 12458 $false
.sym 12461 KBD_COLUMNS[4]$2
.sym 12464 I2C.i2c_state_machine
.sym 12573 KEYBOARD.COLS_SHADOW[2]
.sym 12574 KBD_COLUMNS[2]$2
.sym 12575 $abc$19660$n727
.sym 12576 $false
.sym 12579 KEYBOARD.COLS_SHADOW[5]
.sym 12580 KBD_COLUMNS[5]$2
.sym 12581 $abc$19660$n727
.sym 12582 $false
.sym 12585 KEYBOARD.COLS_SHADOW[3]
.sym 12586 KBD_COLUMNS[3]$2
.sym 12587 $abc$19660$n727
.sym 12588 $false
.sym 12609 I2C.i2c_start_latency
.sym 12610 I2C.i2c_state_machine
.sym 12611 $abc$19660$n1436
.sym 12612 I2C.FLT_SCL.RESET$2
.sym 12615 I2C.i2c_state_machine
.sym 12616 I2C.i2c_start_latency
.sym 12617 $false
.sym 12618 $false
.sym 12622 $abc$19660$n780
.sym 12623 $abc$19660$n997
.sym 12624 $abc$19660$n1436
.sym 12625 $abc$19660$n1438
.sym 12626 $abc$19660$n660
.sym 12627 $abc$19660$n994
.sym 12628 $abc$19660$n1440
.sym 12629 $abc$19660$n778_1
.sym 12702 $abc$19660$n780
.sym 12703 $abc$19660$n1013
.sym 12704 $abc$19660$n1010
.sym 12705 $abc$19660$n1440
.sym 12708 $abc$19660$n1013
.sym 12709 $abc$19660$n660
.sym 12710 $abc$19660$n1010
.sym 12711 $abc$19660$n1438
.sym 12720 I2C.FLT_SDA.out
.sym 12721 $false
.sym 12722 $false
.sym 12723 $false
.sym 12726 $abc$19660$n776_1
.sym 12727 $abc$19660$n1005
.sym 12728 $abc$19660$n778_1
.sym 12729 $abc$19660$n997
.sym 12732 UART_WR
.sym 12733 $false
.sym 12734 $false
.sym 12735 $false
.sym 12738 I2C.i2c_state_machine
.sym 12739 $abc$19660$n994
.sym 12740 $abc$19660$n1105
.sym 12741 $false
.sym 12742 I2C.FLT_SCL.RESET$2
.sym 12743 CLK$2$2
.sym 12744 $false
.sym 12745 $abc$19660$n996
.sym 12746 $abc$19660$n995
.sym 12747 $abc$19660$n1006
.sym 12748 $abc$19660$n1105
.sym 12749 $abc$19660$n1003
.sym 12750 $abc$19660$n992_1
.sym 12751 $abc$19660$n1004
.sym 12752 $abc$19660$n1103
.sym 12819 $abc$19660$n993
.sym 12820 I2C.FLT_SCL.out
.sym 12821 $abc$19660$n10
.sym 12822 $abc$19660$n212
.sym 12825 KEYBOARD.IS_RAM_INIT$2
.sym 12826 $abc$19660$n46
.sym 12827 $abc$19660$n763_1
.sym 12828 $false
.sym 12831 $abc$19660$n1110_1
.sym 12832 $abc$19660$n1025
.sym 12833 $abc$19660$n1004
.sym 12834 $abc$19660$n778_1
.sym 12837 I2C.FLT_SCL.out
.sym 12838 I2C.FLT_SDA.out
.sym 12839 $abc$19660$n8
.sym 12840 I2C.wr
.sym 12843 $abc$19660$n997
.sym 12844 $abc$19660$n776_1
.sym 12845 $abc$19660$n1005
.sym 12846 $false
.sym 12855 $abc$19660$n997
.sym 12856 $abc$19660$n993
.sym 12857 $abc$19660$n1001
.sym 12858 $false
.sym 12861 I2C.SCLF
.sym 12862 $false
.sym 12863 $false
.sym 12864 $false
.sym 12865 $abc$19660$n329
.sym 12866 CLK$2$2
.sym 12867 $abc$19660$n19$2
.sym 12868 $abc$19660$n1012
.sym 12869 $abc$19660$n1001
.sym 12870 $abc$19660$n513
.sym 12871 $abc$19660$n1020
.sym 12872 $abc$19660$n1104
.sym 12873 $abc$19660$n519
.sym 12874 $abc$19660$n782_1
.sym 12875 $abc$19660$n990
.sym 12942 $abc$19660$n635
.sym 12943 $abc$19660$n661
.sym 12944 $abc$19660$n667
.sym 12945 $abc$19660$n1603
.sym 12948 $false
.sym 12949 $false
.sym 12950 $false
.sym 12951 $abc$19660$n1603
.sym 12954 $abc$19660$n1011
.sym 12955 $abc$19660$n782_1
.sym 12956 $abc$19660$n1005
.sym 12957 $abc$19660$n1012
.sym 12960 $abc$19660$n993
.sym 12961 $abc$19660$n1001
.sym 12962 $abc$19660$n776_1
.sym 12963 $abc$19660$n778_1
.sym 12966 $abc$19660$n635
.sym 12967 $abc$19660$n667
.sym 12968 $abc$19660$n661
.sym 12969 $abc$19660$n1603
.sym 12972 $abc$19660$n10
.sym 12973 I2C.FLT_SCL.out
.sym 12974 $abc$19660$n776_1
.sym 12975 $false
.sym 12978 $abc$19660$n10
.sym 12979 I2C.FLT_SCL.out
.sym 12980 $abc$19660$n776_1
.sym 12981 $false
.sym 12984 $abc$19660$n775
.sym 12985 $abc$19660$n1014
.sym 12986 $abc$19660$n212
.sym 12987 $abc$19660$n778_1
.sym 12991 $abc$19660$n1442
.sym 12992 $abc$19660$n998_1
.sym 12993 $abc$19660$n999
.sym 12994 $abc$19660$n993
.sym 12995 UART_TX_DATA[3]
.sym 12996 UART_TX_DATA[0]
.sym 12997 UART_TX_DATA[2]
.sym 12998 UART_TX_DATA[1]
.sym 13065 $abc$19660$n1012
.sym 13066 $abc$19660$n1011
.sym 13067 $abc$19660$n1111
.sym 13068 $false
.sym 13077 I2C.FLT_SCL.out
.sym 13078 $false
.sym 13079 $false
.sym 13080 $false
.sym 13083 I2C.received_byte[0]
.sym 13084 $abc$19660$n1008
.sym 13085 $abc$19660$n778_1
.sym 13086 $abc$19660$n776_1
.sym 13089 $abc$19660$n635
.sym 13090 $abc$19660$n1013
.sym 13091 $abc$19660$n1010
.sym 13092 $abc$19660$n1680
.sym 13095 $abc$19660$n1013
.sym 13096 $abc$19660$n661
.sym 13097 $abc$19660$n1010
.sym 13098 $abc$19660$n1442
.sym 13101 $abc$19660$n1014
.sym 13102 $abc$19660$n1011
.sym 13103 $abc$19660$n993
.sym 13104 $abc$19660$n1020
.sym 13111 I2C.FLT_SCL.RESET$2
.sym 13112 CLK$2$2
.sym 13113 $false
.sym 13114 $abc$19660$n581
.sym 13115 $abc$19660$n1076
.sym 13116 $abc$19660$n539
.sym 13117 $abc$19660$n560
.sym 13118 $abc$19660$n1065_1
.sym 13119 $abc$19660$n600
.sym 13120 I2C_COUNTER[3]
.sym 13188 $abc$19660$n527_1
.sym 13189 $abc$19660$n576
.sym 13190 $false
.sym 13191 $false
.sym 13194 $abc$19660$n559
.sym 13195 $abc$19660$n527_1
.sym 13196 $abc$19660$n576
.sym 13197 $abc$19660$n581
.sym 13200 $abc$19660$n1672
.sym 13201 $abc$19660$n1645
.sym 13202 $abc$19660$n560
.sym 13203 $abc$19660$n600
.sym 13206 $abc$19660$n1677
.sym 13207 $abc$19660$n520
.sym 13208 $false
.sym 13209 $false
.sym 13212 $abc$19660$n560
.sym 13213 $abc$19660$n600
.sym 13214 $abc$19660$n1672
.sym 13215 $abc$19660$n1645
.sym 13218 $abc$19660$n1065_1
.sym 13219 $abc$19660$n581
.sym 13220 $abc$19660$n524
.sym 13221 $abc$19660$n1671
.sym 13224 $abc$19660$n1114_1
.sym 13225 $abc$19660$n1113
.sym 13226 $abc$19660$n1115
.sym 13227 $abc$19660$n599
.sym 13230 $abc$19660$n1672
.sym 13231 $abc$19660$n560
.sym 13232 $false
.sym 13233 $false
.sym 13239 $abc$19660$n1000
.sym 13241 $abc$19660$n556
.sym 13242 $abc$19660$n543
.sym 13243 I2C_COUNTER[2]
.sym 13244 I2C_COUNTER[5]
.sym 13311 $abc$19660$n1677
.sym 13312 $abc$19660$n524
.sym 13313 $abc$19660$n520
.sym 13314 $false
.sym 13317 $abc$19660$n1116
.sym 13318 $abc$19660$n608
.sym 13319 $false
.sym 13320 $false
.sym 13323 $abc$19660$n608
.sym 13324 $abc$19660$n523
.sym 13325 $false
.sym 13326 $false
.sym 13329 $abc$19660$n527_1
.sym 13330 $abc$19660$n559
.sym 13331 $abc$19660$n576
.sym 13332 $false
.sym 13335 $abc$19660$n1671
.sym 13336 $abc$19660$n581
.sym 13337 $false
.sym 13338 $false
.sym 13341 $abc$19660$n674
.sym 13342 $abc$19660$n523
.sym 13343 $false
.sym 13344 $false
.sym 13347 $abc$19660$n597
.sym 13348 $abc$19660$n612
.sym 13349 $abc$19660$n609
.sym 13350 $abc$19660$n610
.sym 13353 $abc$19660$n524
.sym 13354 $abc$19660$n1116
.sym 13355 $false
.sym 13356 $false
.sym 13360 $abc$19660$n522
.sym 13361 $abc$19660$n649
.sym 13362 $abc$19660$n615
.sym 13363 $abc$19660$n646
.sym 13364 $2\I2C_OUTPUT_TYPE[2:0][0]
.sym 13365 $abc$19660$n652
.sym 13366 $abc$19660$n523_1
.sym 13367 I2C_HID_DESC.DESC_TYPE
.sym 13434 $abc$19660$n523
.sym 13435 $abc$19660$n524
.sym 13436 $abc$19660$n1116
.sym 13437 $false
.sym 13440 $abc$19660$n613
.sym 13441 $abc$19660$n998
.sym 13442 $abc$19660$n524_1
.sym 13443 $false
.sym 13446 $22\i2c_input_data_type[3:0][2]
.sym 13447 $abc$19660$n520
.sym 13448 $false
.sym 13449 $false
.sym 13452 $false
.sym 13453 $22\i2c_input_data_type[3:0][0]
.sym 13454 $false
.sym 13455 $false
.sym 13458 $abc$19660$n1677
.sym 13459 $abc$19660$n520
.sym 13460 $false
.sym 13461 $false
.sym 13464 $abc$19660$n523
.sym 13465 $22\i2c_input_data_type[3:0][0]
.sym 13466 $abc$19660$n524_1
.sym 13467 $false
.sym 13470 I2C_COUNTER[0]
.sym 13471 I2C_COUNTER[3]
.sym 13472 I2C_COUNTER[1]
.sym 13473 $abc$19660$n539
.sym 13476 $abc$19660$n674
.sym 13477 $abc$19660$n1677
.sym 13478 $false
.sym 13479 $false
.sym 13483 $abc$19660$n521
.sym 13484 $abc$19660$n654
.sym 13489 $abc$19660$n711
.sym 13490 LED1$2
.sym 13557 $abc$19660$n672
.sym 13558 $abc$19660$n849
.sym 13559 $abc$19660$n523
.sym 13560 $abc$19660$n46
.sym 13563 IS_RAM_INIT
.sym 13564 $abc$19660$n651
.sym 13565 $abc$19660$n671
.sym 13566 I2C.FLT_SCL.RESET$2
.sym 13569 $22\i2c_input_data_type[3:0][0]
.sym 13570 $abc$19660$n651
.sym 13571 $false
.sym 13572 $false
.sym 13575 $false
.sym 13576 $false
.sym 13577 $false
.sym 13578 $false
.sym 13581 I2C.is_read
.sym 13582 $abc$19660$n644
.sym 13583 $false
.sym 13584 $false
.sym 13599 $22\i2c_input_data_type[3:0][0]
.sym 13600 $abc$19660$n523
.sym 13601 $abc$19660$n672
.sym 13602 $false
.sym 13606 $abc$19660$n966
.sym 13607 $abc$19660$n965_1
.sym 13608 $abc$19660$n1100_1
.sym 13609 $abc$19660$n761
.sym 13610 $abc$19660$n697
.sym 13611 $abc$19660$n971_1
.sym 13612 $abc$19660$n972
.sym 13613 $abc$19660$n960
.sym 13680 KEYBOARD.kbd_code_hid[2]
.sym 13681 KEYBOARD.kbd_code_hid[1]
.sym 13682 KEYBOARD.kbd_code_hid[0]
.sym 13683 KEYBOARD.REPORT.r_data[3]
.sym 13686 KEYBOARD.kbd_code_hid[3]
.sym 13687 KEYBOARD.kbd_code_hid[4]
.sym 13688 KEYBOARD.kbd_code_hid[5]
.sym 13689 KEYBOARD.kbd_code_hid[7]
.sym 13692 $abc$19660$n196
.sym 13693 $abc$19660$n684_1
.sym 13694 $false
.sym 13695 $false
.sym 13698 KEYBOARD.IS_RAM_INIT$2
.sym 13699 KEYBOARD.isr_internal
.sym 13700 IS_RAM_INIT
.sym 13701 I2C.FLT_SCL.RESET$2
.sym 13704 KEYBOARD.kbd_code_hid[6]
.sym 13705 $abc$19660$n698
.sym 13706 $abc$19660$n697
.sym 13707 $false
.sym 13710 $0\temp_output_report[2:0][1]
.sym 13711 $false
.sym 13712 $false
.sym 13713 $false
.sym 13716 $0\temp_output_report[2:0][2]
.sym 13717 $false
.sym 13718 $false
.sym 13719 $false
.sym 13722 $0\temp_output_report[2:0][0]
.sym 13723 $false
.sym 13724 $false
.sym 13725 $false
.sym 13726 $abc$19660$n72
.sym 13727 CLK$2$2
.sym 13728 $abc$19660$n19$2
.sym 13729 $abc$19660$n719_1
.sym 13730 $abc$19660$n755_1
.sym 13731 $abc$19660$n1117
.sym 13732 $abc$19660$n1124
.sym 13733 $abc$19660$n177
.sym 13734 $abc$19660$n1123
.sym 13735 $abc$19660$n706
.sym 13736 $abc$19660$n704
.sym 13803 I2C.FLT_SCL.RESET$2
.sym 13804 $abc$19660$n1088_1
.sym 13805 $abc$19660$n755_1
.sym 13806 $abc$19660$n703
.sym 13809 $abc$19660$n688
.sym 13810 $abc$19660$n1118
.sym 13811 $abc$19660$n703
.sym 13812 $false
.sym 13815 $abc$19660$n705
.sym 13816 $abc$19660$n696
.sym 13817 $abc$19660$n704
.sym 13818 $abc$19660$n706
.sym 13821 $abc$19660$n690
.sym 13822 IS_RAM_INIT
.sym 13823 KEYBOARD.IS_RAM_INIT$2
.sym 13824 KEYBOARD.report_wr_en
.sym 13833 $abc$19660$n699
.sym 13834 $abc$19660$n695
.sym 13835 $abc$19660$n1117
.sym 13836 $abc$19660$n702
.sym 13839 KEYBOARD.IS_RAM_INIT$2
.sym 13840 $abc$19660$n46
.sym 13841 KEYBOARD.report_wr_en
.sym 13842 $abc$19660$n689
.sym 13845 $abc$19660$n696
.sym 13846 KEYBOARD.isr_internal
.sym 13847 $false
.sym 13848 $false
.sym 13852 $abc$19660$n689
.sym 13858 $abc$19660$n641
.sym 13926 $abc$19660$n757_1
.sym 13927 $abc$19660$n46
.sym 13928 $false
.sym 13929 $false
.sym 13932 $abc$19660$n705
.sym 13933 $abc$19660$n209
.sym 13934 $abc$19660$n41$2
.sym 13935 $false
.sym 13938 KEYBOARD.IS_RAM_INIT$2
.sym 13939 KEYBOARD.report_wr_en
.sym 13940 $abc$19660$n689
.sym 13941 $false
.sym 13950 KEYBOARD.isr_internal
.sym 13951 KEYBOARD.report_wr_en
.sym 13952 $abc$19660$n757_1
.sym 13953 IS_RAM_INIT
.sym 13956 $abc$19660$n690
.sym 13957 KEYBOARD.IS_RAM_INIT$2
.sym 13958 $false
.sym 13959 $false
.sym 13977 $abc$19660$n41
.sym 14049 $abc$19660$n643
.sym 14050 int_tmr[0]
.sym 14051 $false
.sym 14052 $false
.sym 14055 $false
.sym 14056 $false
.sym 14057 $3\int_tmr[14:0][0]
.sym 14058 $false
.sym 14061 $abc$19660$n643
.sym 14062 int_tmr[14]
.sym 14063 $false
.sym 14064 $false
.sym 14067 $abc$19660$n643
.sym 14068 int_tmr[1]
.sym 14069 $false
.sym 14070 $false
.sym 14073 $abc$19660$n763_1
.sym 14074 KEYBOARD.IS_RAM_INIT$2
.sym 14075 IS_RAM_INIT
.sym 14076 I2C.FLT_SCL.RESET$2
.sym 14079 $3\int_tmr[14:0][14]
.sym 14080 $abc$19660$n956
.sym 14081 $false
.sym 14082 $false
.sym 14085 $abc$19660$n913
.sym 14086 int_tmr[0]
.sym 14087 $3\int_tmr[14:0][14]
.sym 14088 $false
.sym 14091 int_tmr[14]
.sym 14092 int_tmr[0]
.sym 14093 $abc$19660$n643
.sym 14094 int_tmr[1]
.sym 14095 $abc$19660$n41$2
.sym 14096 CLK$2$2
.sym 14097 $abc$19660$n19$2
.sym 14100 $abc$19660$n617
.sym 14101 $abc$19660$n618
.sym 14102 $abc$19660$n620
.sym 14103 $abc$19660$n621
.sym 14104 $abc$19660$n623
.sym 14105 $abc$19660$n1296
.sym 14172 $abc$19660$n643
.sym 14173 int_tmr[9]
.sym 14174 $false
.sym 14175 $false
.sym 14178 $abc$19660$n643
.sym 14179 int_tmr[7]
.sym 14180 $false
.sym 14181 $false
.sym 14184 $abc$19660$n643
.sym 14185 int_tmr[5]
.sym 14186 $false
.sym 14187 $false
.sym 14190 $abc$19660$n643
.sym 14191 int_tmr[10]
.sym 14192 $false
.sym 14193 $false
.sym 14196 $abc$19660$n944
.sym 14197 int_tmr[10]
.sym 14198 $3\int_tmr[14:0][14]
.sym 14199 $false
.sym 14202 $abc$19660$n941
.sym 14203 int_tmr[9]
.sym 14204 $3\int_tmr[14:0][14]
.sym 14205 $false
.sym 14208 $abc$19660$n935
.sym 14209 int_tmr[7]
.sym 14210 $3\int_tmr[14:0][14]
.sym 14211 $false
.sym 14214 $abc$19660$n929
.sym 14215 int_tmr[5]
.sym 14216 $3\int_tmr[14:0][14]
.sym 14217 $false
.sym 14218 $abc$19660$n41$2
.sym 14219 CLK$2$2
.sym 14220 $abc$19660$n19$2
.sym 14221 $abc$19660$n629
.sym 14223 $abc$19660$n933_1
.sym 14224 $abc$19660$n932_1
.sym 14225 $3\int_tmr[14:0][12]
.sym 14226 $abc$19660$n614
.sym 14227 $abc$19660$n1311
.sym 14228 $abc$19660$n934_1
.sym 14295 KEYBOARD.IS_RAM_INIT$2
.sym 14296 $abc$19660$n620
.sym 14297 $false
.sym 14298 $false
.sym 14301 KEYBOARD.IS_RAM_INIT$2
.sym 14302 $abc$19660$n629
.sym 14303 $false
.sym 14304 $false
.sym 14307 KEYBOARD.IS_RAM_INIT$2
.sym 14308 $abc$19660$n1296
.sym 14309 $false
.sym 14310 $false
.sym 14313 $abc$19660$n1285
.sym 14314 $abc$19660$n614
.sym 14315 KEYBOARD.IS_RAM_INIT$2
.sym 14316 $false
.sym 14325 $abc$19660$n1290
.sym 14326 $abc$19660$n617
.sym 14327 KEYBOARD.IS_RAM_INIT$2
.sym 14328 $false
.sym 14331 $abc$19660$n618
.sym 14332 $abc$19660$n16
.sym 14333 KEYBOARD.IS_RAM_INIT$2
.sym 14334 $false
.sym 14337 $abc$19660$n1287
.sym 14338 KEYBOARD.ram_adr[1]
.sym 14339 KEYBOARD.ram_adr[0]
.sym 14340 KEYBOARD.IS_RAM_INIT$2
.sym 14341 $abc$19660$n188
.sym 14342 CLK$2$2
.sym 14343 $abc$19660$n19$2
.sym 14388 KEYBOARD.IS_RAM_INIT
.sym 14418 $abc$19660$n19
.sym 14450 $true$2
.sym 14519 I2C.FLT_SCL.RESET$2
.sym 14520 $false
.sym 14521 $false
.sym 14522 $false
.sym 14570 KBD_COLUMNS[5]$2
.sym 14573 I2C.received_byte[5]
.sym 14688 $abc$19660$n1003
.sym 14689 $abc$19660$n1004
.sym 14690 $abc$19660$n778_1
.sym 14691 $false
.sym 14728 $true
.sym 14729 CLK$2$2
.sym 14730 $abc$19660$n19$2
.sym 14733 $auto$alumacc.cc:474:replace_alu$5219.C[2]
.sym 14734 $auto$alumacc.cc:474:replace_alu$5219.C[3]
.sym 14735 $abc$19660$n1603
.sym 14736 $abc$19660$n1604
.sym 14737 $abc$19660$n1679
.sym 14738 $abc$19660$n1678
.sym 14805 I2C.i2c_bit_counter[0]
.sym 14806 I2C.i2c_bit_counter[1]
.sym 14807 $abc$19660$n1436
.sym 14808 $false
.sym 14811 I2C.is_adress
.sym 14812 $abc$19660$n1436
.sym 14813 $false
.sym 14814 $false
.sym 14817 I2C.FLT_SDA.out
.sym 14818 $abc$19660$n8
.sym 14819 I2C.FLT_SCL.out
.sym 14820 $false
.sym 14823 $abc$19660$n1436
.sym 14824 I2C.i2c_bit_counter[0]
.sym 14825 $false
.sym 14826 $false
.sym 14829 $false
.sym 14830 $abc$19660$n1438
.sym 14831 $false
.sym 14832 $false
.sym 14835 I2C.FLT_SCL.out
.sym 14836 I2C.FLT_SDA.out
.sym 14837 $abc$19660$n8
.sym 14838 I2C.SDA_DIR
.sym 14841 $abc$19660$n1436
.sym 14842 I2C.i2c_bit_counter[1]
.sym 14843 $false
.sym 14844 $false
.sym 14847 I2C.FLT_SDA.out
.sym 14848 $abc$19660$n8
.sym 14849 I2C.FLT_SCL.out
.sym 14850 I2C.i2c_state_machine
.sym 14857 $abc$19660$n661
.sym 14858 $abc$19660$n635
.sym 14860 $abc$19660$n1444
.sym 14928 $abc$19660$n997
.sym 14929 $abc$19660$n998_1
.sym 14930 $false
.sym 14931 $false
.sym 14934 $abc$19660$n996
.sym 14935 $abc$19660$n992_1
.sym 14936 $abc$19660$n778_1
.sym 14937 $abc$19660$n212
.sym 14940 I2C.FLT_SCL.out
.sym 14941 $abc$19660$n8
.sym 14942 I2C.SDA_DIR
.sym 14943 I2C.FLT_SDA.out
.sym 14946 $abc$19660$n776_1
.sym 14947 $abc$19660$n778_1
.sym 14948 $abc$19660$n1104
.sym 14949 $abc$19660$n995
.sym 14952 $abc$19660$n776_1
.sym 14953 $abc$19660$n992_1
.sym 14954 $abc$19660$n996
.sym 14955 $abc$19660$n212
.sym 14958 $abc$19660$n993
.sym 14959 I2C.FLT_SCL.out
.sym 14960 $abc$19660$n10
.sym 14961 $false
.sym 14964 $abc$19660$n1005
.sym 14965 $abc$19660$n1006
.sym 14966 I2C.is_read
.sym 14967 $false
.sym 14970 $abc$19660$n1001
.sym 14971 $abc$19660$n992_1
.sym 14972 $abc$19660$n776_1
.sym 14973 $abc$19660$n994
.sym 14977 $abc$19660$n518
.sym 14979 $abc$19660$n516
.sym 14980 UART_TX_DATA[4]
.sym 14981 UART_TX_DATA[6]
.sym 14982 UART_TX_DATA[7]
.sym 14984 UART_TX_DATA[5]
.sym 15051 $abc$19660$n990
.sym 15052 $abc$19660$n776_1
.sym 15053 $false
.sym 15054 $false
.sym 15057 I2C.FLT_SCL.out
.sym 15058 $abc$19660$n10
.sym 15059 $abc$19660$n212
.sym 15060 $false
.sym 15063 UART_TX_DATA[2]
.sym 15064 UART_TX_DATA[0]
.sym 15065 $abc$19660$n514
.sym 15066 $false
.sym 15069 $abc$19660$n1001
.sym 15070 $abc$19660$n776_1
.sym 15071 $abc$19660$n778_1
.sym 15072 $abc$19660$n995
.sym 15075 $abc$19660$n1102
.sym 15076 $abc$19660$n1103
.sym 15077 $abc$19660$n776_1
.sym 15078 $abc$19660$n990
.sym 15081 UART_TX_DATA[3]
.sym 15082 UART_TX_DATA[1]
.sym 15083 $abc$19660$n514
.sym 15084 $false
.sym 15087 $abc$19660$n212
.sym 15088 I2C.FLT_SCL.out
.sym 15089 $abc$19660$n10
.sym 15090 $abc$19660$n778_1
.sym 15093 I2C.FLT_SCL.out
.sym 15094 $abc$19660$n10
.sym 15095 $abc$19660$n212
.sym 15096 $false
.sym 15101 $abc$19660$n1107
.sym 15102 $abc$19660$n1102
.sym 15103 $abc$19660$n1106
.sym 15104 $abc$19660$n1108
.sym 15105 $abc$19660$n1109
.sym 15106 $abc$19660$n47
.sym 15107 I2C_COUNTER[4]
.sym 15174 $abc$19660$n1436
.sym 15175 I2C.i2c_bit_counter[2]
.sym 15176 $false
.sym 15177 $false
.sym 15180 I2C.received_byte[2]
.sym 15181 I2C.received_byte[7]
.sym 15182 $abc$19660$n999
.sym 15183 I2C.received_byte[6]
.sym 15186 I2C.received_byte[4]
.sym 15187 I2C.received_byte[1]
.sym 15188 I2C.received_byte[5]
.sym 15189 I2C.received_byte[3]
.sym 15192 $abc$19660$n1436
.sym 15193 I2C.is_ack
.sym 15194 $false
.sym 15195 $false
.sym 15198 I2C.received_byte[3]
.sym 15199 $abc$19660$n873
.sym 15200 I2C.is_read
.sym 15201 $false
.sym 15204 I2C.received_byte[0]
.sym 15205 $abc$19660$n867
.sym 15206 I2C.is_read
.sym 15207 $false
.sym 15210 I2C.received_byte[2]
.sym 15211 $abc$19660$n871
.sym 15212 I2C.is_read
.sym 15213 $false
.sym 15216 I2C.received_byte[1]
.sym 15217 $abc$19660$n869
.sym 15218 I2C.is_read
.sym 15219 $false
.sym 15220 $abc$19660$n47
.sym 15221 CLK$2$2
.sym 15222 $abc$19660$n52$2
.sym 15223 $abc$19660$n1675
.sym 15224 $abc$19660$n574
.sym 15225 $abc$19660$n562
.sym 15226 $abc$19660$n1673
.sym 15227 $abc$19660$n1672
.sym 15228 $abc$19660$n1671
.sym 15229 $abc$19660$n564
.sym 15230 $abc$19660$n565_1
.sym 15297 I2C_COUNTER[0]
.sym 15298 $abc$19660$n1076
.sym 15299 $abc$19660$n562
.sym 15300 $false
.sym 15303 $false
.sym 15304 $false
.sym 15305 I2C_COUNTER[0]
.sym 15306 $false
.sym 15309 I2C_COUNTER[2]
.sym 15310 I2C_COUNTER[4]
.sym 15311 I2C_COUNTER[5]
.sym 15312 I2C_COUNTER[6]
.sym 15315 $abc$19660$n1673
.sym 15316 $abc$19660$n565_1
.sym 15317 $false
.sym 15318 $false
.sym 15321 $abc$19660$n1673
.sym 15322 $abc$19660$n565_1
.sym 15323 $abc$19660$n1672
.sym 15324 $false
.sym 15327 $abc$19660$n1671
.sym 15328 $abc$19660$n581
.sym 15329 $false
.sym 15330 $false
.sym 15333 $abc$19660$n1673
.sym 15334 $abc$19660$n52$2
.sym 15335 $false
.sym 15336 $false
.sym 15343 $abc$19660$n41$2
.sym 15344 CLK$2$2
.sym 15345 $abc$19660$n19$2
.sym 15352 $abc$19660$n1651
.sym 15353 I2C_COUNTER[6]
.sym 15382 $true
.sym 15419 $22\i2c_input_data_type[3:0][0]$2
.sym 15420 $false
.sym 15421 $22\i2c_input_data_type[3:0][0]
.sym 15422 $false
.sym 15423 $false
.sym 15425 $auto$alumacc.cc:474:replace_alu$5216.C[2]
.sym 15427 $22\i2c_input_data_type[3:0][1]
.sym 15428 $true$2
.sym 15432 $false
.sym 15433 $22\i2c_input_data_type[3:0][2]
.sym 15434 $false
.sym 15435 $auto$alumacc.cc:474:replace_alu$5216.C[2]
.sym 15444 I2C.is_read
.sym 15445 $abc$19660$n557
.sym 15446 $false
.sym 15447 $false
.sym 15450 I2C_COUNTER[4]
.sym 15451 I2C_COUNTER[5]
.sym 15452 I2C_COUNTER[6]
.sym 15453 I2C_COUNTER[2]
.sym 15456 $abc$19660$n1672
.sym 15457 $abc$19660$n52$2
.sym 15458 $false
.sym 15459 $false
.sym 15462 $abc$19660$n1675
.sym 15463 $abc$19660$n52$2
.sym 15464 $false
.sym 15465 $false
.sym 15466 $abc$19660$n41$2
.sym 15467 CLK$2$2
.sym 15468 $abc$19660$n19$2
.sym 15469 $abc$19660$n643
.sym 15471 $abc$19660$n635_1
.sym 15472 $abc$19660$n634
.sym 15473 $abc$19660$n653
.sym 15474 $2\I2C_OUT_DESC_MASK[7:0][7]
.sym 15475 I2C_OUTPUT_TYPE[1]
.sym 15476 I2C_OUTPUT_TYPE[2]
.sym 15543 $abc$19660$n615
.sym 15544 $abc$19660$n523_1
.sym 15545 $abc$19660$n617_1
.sym 15546 I2C.is_read
.sym 15549 $abc$19660$n616
.sym 15550 I2C_HID_DESC.DESC_TYPE
.sym 15551 $abc$19660$n613
.sym 15552 $abc$19660$n524_1
.sym 15555 $abc$19660$n616
.sym 15556 I2C_OUTPUT_TYPE[1]
.sym 15557 $false
.sym 15558 $false
.sym 15561 $abc$19660$n651
.sym 15562 $abc$19660$n652
.sym 15563 $2\I2C_OUTPUT_TYPE[2:0][0]
.sym 15564 $abc$19660$n653
.sym 15567 $abc$19660$n649
.sym 15568 $abc$19660$n648
.sym 15569 $abc$19660$n651
.sym 15570 $abc$19660$n650
.sym 15573 $abc$19660$n616
.sym 15574 I2C_OUTPUT_TYPE[2]
.sym 15575 $abc$19660$n1000
.sym 15576 $abc$19660$n523_1
.sym 15579 $abc$19660$n613
.sym 15580 $abc$19660$n524_1
.sym 15581 $false
.sym 15582 $false
.sym 15585 $2\I2C_OUTPUT_TYPE[2:0][0]
.sym 15586 $false
.sym 15587 $false
.sym 15588 $false
.sym 15589 $abc$19660$n41$2
.sym 15590 CLK$2$2
.sym 15591 $abc$19660$n19$2
.sym 15592 $abc$19660$n969_1
.sym 15593 $abc$19660$n707
.sym 15594 $abc$19660$n708
.sym 15595 $abc$19660$n975_1
.sym 15596 $abc$19660$n968
.sym 15597 $abc$19660$n710
.sym 15598 $abc$19660$n709
.sym 15599 $abc$19660$n974
.sym 15666 I2C_OUTPUT_TYPE[1]
.sym 15667 $abc$19660$n522
.sym 15668 $abc$19660$n644
.sym 15669 $abc$19660$n619
.sym 15672 $abc$19660$n643
.sym 15673 LED1$2
.sym 15674 $false
.sym 15675 $false
.sym 15702 KEYBOARD.kbd_code_hid[3]
.sym 15703 KEYBOARD.REPORT.r_data[3]
.sym 15704 KEYBOARD.REPORT.r_data[1]
.sym 15705 KEYBOARD.kbd_code_hid[1]
.sym 15708 $abc$19660$n646
.sym 15709 $abc$19660$n521
.sym 15710 $abc$19660$n654
.sym 15711 I2C.FLT_SCL.RESET$2
.sym 15712 $abc$19660$n41$2
.sym 15713 CLK$2$2
.sym 15714 $false
.sym 15715 KEYBOARD.report_data_wr[6]
.sym 15716 KEYBOARD.report_data_wr[7]
.sym 15717 KEYBOARD.report_data_wr[2]
.sym 15718 KEYBOARD.report_data_wr[5]
.sym 15719 KEYBOARD.report_data_wr[4]
.sym 15721 KEYBOARD.report_data_wr[0]
.sym 15789 KEYBOARD.kbd_code_hid[0]
.sym 15790 KEYBOARD.kbd_code_hid[1]
.sym 15791 KEYBOARD.kbd_code_hid[2]
.sym 15792 $false
.sym 15795 KEYBOARD.REPORT.r_data[4]
.sym 15796 KEYBOARD.is_pressed
.sym 15797 $abc$19660$n966
.sym 15798 $abc$19660$n699
.sym 15801 KEYBOARD.kbd_code_hid[0]
.sym 15802 KEYBOARD.kbd_code_hid[1]
.sym 15803 KEYBOARD.kbd_code_hid[2]
.sym 15804 $abc$19660$n699
.sym 15807 $abc$19660$n696
.sym 15808 KEYBOARD.IS_RAM_INIT$2
.sym 15809 KEYBOARD.report_wr_en
.sym 15810 $false
.sym 15813 KEYBOARD.kbd_code_hid[0]
.sym 15814 KEYBOARD.kbd_code_hid[1]
.sym 15815 KEYBOARD.kbd_code_hid[2]
.sym 15816 $false
.sym 15819 KEYBOARD.REPORT.r_data[6]
.sym 15820 KEYBOARD.is_pressed
.sym 15821 $abc$19660$n972
.sym 15822 $abc$19660$n699
.sym 15825 KEYBOARD.kbd_code_hid[0]
.sym 15826 KEYBOARD.kbd_code_hid[1]
.sym 15827 KEYBOARD.kbd_code_hid[2]
.sym 15828 $false
.sym 15831 KEYBOARD.REPORT.r_data[0]
.sym 15832 KEYBOARD.is_pressed
.sym 15833 $abc$19660$n697
.sym 15834 $abc$19660$n699
.sym 15838 $abc$19660$n937_1
.sym 15839 $abc$19660$n204
.sym 15840 $abc$19660$n717
.sym 15841 $abc$19660$n1098
.sym 15842 $abc$19660$n716
.sym 15844 $abc$19660$n1122
.sym 15845 $abc$19660$n718_1
.sym 15912 $abc$19660$n707
.sym 15913 $abc$19660$n701
.sym 15914 I2C.FLT_SCL.RESET$2
.sym 15915 $false
.sym 15918 $abc$19660$n704
.sym 15919 $abc$19660$n719_1
.sym 15920 $abc$19660$n716
.sym 15921 $false
.sym 15924 $abc$19660$n716
.sym 15925 KEYBOARD.is_pressed
.sym 15926 $abc$19660$n719_1
.sym 15927 $abc$19660$n701
.sym 15930 $abc$19660$n689
.sym 15931 $abc$19660$n761
.sym 15932 $abc$19660$n1123
.sym 15933 $abc$19660$n699
.sym 15936 $abc$19660$n721_1
.sym 15937 $abc$19660$n1124
.sym 15938 $abc$19660$n1089
.sym 15939 $false
.sym 15942 $abc$19660$n1122
.sym 15943 I2C.FLT_SCL.RESET$2
.sym 15944 $abc$19660$n695
.sym 15945 $abc$19660$n702
.sym 15948 $abc$19660$n701
.sym 15949 I2C.FLT_SCL.RESET$2
.sym 15950 $abc$19660$n707
.sym 15951 $false
.sym 15954 $abc$19660$n699
.sym 15955 $abc$19660$n695
.sym 15956 $abc$19660$n702
.sym 15957 KEYBOARD.is_pressed
.sym 15961 $abc$19660$n637
.sym 15962 $abc$19660$n639
.sym 15963 $abc$19660$n623_1
.sym 15964 $abc$19660$n619
.sym 15965 $abc$19660$n622
.sym 15966 $abc$19660$n620_1
.sym 15967 $abc$19660$n636
.sym 15968 $abc$19660$n624
.sym 16035 $abc$19660$n690
.sym 16036 $abc$19660$n46
.sym 16037 KEYBOARD.isr_internal
.sym 16038 $false
.sym 16071 I2C_TRANS
.sym 16072 $3\int_tmr[14:0][14]
.sym 16073 $false
.sym 16074 $false
.sym 16086 $abc$19660$n1097
.sym 16087 $abc$19660$n1100
.sym 16088 $2\ring_rd[3:0][2]
.sym 16089 $2\ring_rd[3:0][3]
.sym 16090 ring_rd[3]
.sym 16091 ring_rd[2]
.sym 16170 I2C.FLT_SCL.RESET$2
.sym 16171 IS_RAM_INIT
.sym 16172 $false
.sym 16173 $false
.sym 16207 $2\ring_rd[3:0][0]
.sym 16208 $abc$19660$n1091
.sym 16212 ring_rd[1]
.sym 16213 ring_rd[0]
.sym 16243 $true
.sym 16280 KEYBOARD.ram_adr[0]$2
.sym 16281 $false
.sym 16282 KEYBOARD.ram_adr[0]
.sym 16283 $false
.sym 16284 $false
.sym 16286 $auto$alumacc.cc:474:replace_alu$5237.C[2]
.sym 16288 $false
.sym 16289 KEYBOARD.ram_adr[1]
.sym 16292 $auto$alumacc.cc:474:replace_alu$5237.C[3]
.sym 16293 $false
.sym 16294 $false
.sym 16295 KEYBOARD.ram_adr[2]
.sym 16296 $auto$alumacc.cc:474:replace_alu$5237.C[2]
.sym 16298 $auto$alumacc.cc:474:replace_alu$5237.C[4]
.sym 16299 $false
.sym 16300 $false
.sym 16301 KEYBOARD.ram_adr[3]
.sym 16302 $auto$alumacc.cc:474:replace_alu$5237.C[3]
.sym 16304 $auto$alumacc.cc:474:replace_alu$5237.C[5]
.sym 16305 $false
.sym 16306 $false
.sym 16307 KEYBOARD.ram_adr[4]
.sym 16308 $auto$alumacc.cc:474:replace_alu$5237.C[4]
.sym 16310 $auto$alumacc.cc:474:replace_alu$5237.C[6]
.sym 16311 $false
.sym 16312 $false
.sym 16313 KEYBOARD.ram_adr[5]
.sym 16314 $auto$alumacc.cc:474:replace_alu$5237.C[5]
.sym 16316 $auto$alumacc.cc:474:replace_alu$5237.C[7]
.sym 16317 $false
.sym 16318 $false
.sym 16319 KEYBOARD.ram_adr[6]
.sym 16320 $auto$alumacc.cc:474:replace_alu$5237.C[6]
.sym 16322 $auto$alumacc.cc:474:replace_alu$5237.C[8]
.sym 16323 $false
.sym 16324 $false
.sym 16325 KEYBOARD.ram_adr[7]
.sym 16326 $auto$alumacc.cc:474:replace_alu$5237.C[7]
.sym 16333 KEYBOARD.ram_adr[5]
.sym 16336 KEYBOARD.ram_adr[6]
.sym 16404 $false
.sym 16405 $false
.sym 16406 KEYBOARD.ram_adr[8]
.sym 16407 $auto$alumacc.cc:474:replace_alu$5237.C[8]
.sym 16416 $abc$19660$n621
.sym 16417 $abc$19660$n623
.sym 16418 $abc$19660$n629
.sym 16419 $abc$19660$n1296
.sym 16422 KEYBOARD.ram_adr[1]
.sym 16423 KEYBOARD.ram_adr[0]
.sym 16424 $abc$19660$n933_1
.sym 16425 $abc$19660$n934_1
.sym 16428 $abc$19660$n643
.sym 16429 int_tmr[12]
.sym 16430 $false
.sym 16431 $false
.sym 16434 $false
.sym 16435 $false
.sym 16436 KEYBOARD.ram_adr[0]
.sym 16437 $false
.sym 16440 $abc$19660$n932_1
.sym 16441 KEYBOARD.IS_RAM_INIT$2
.sym 16442 $false
.sym 16443 $false
.sym 16446 $abc$19660$n614
.sym 16447 $abc$19660$n617
.sym 16448 $abc$19660$n618
.sym 16449 $abc$19660$n620
.sym 16497 $abc$19660$n41
.sym 16664 $false
.sym 16665 $false
.sym 16666 $false
.sym 16667 $false
.sym 16677 KBD_COLUMNS[6]$2
.sym 16679 KBD_COLUMNS[7]$2
.sym 16683 KEYBOARD.ROWS_EN[13]
.sym 16686 KEYBOARD.ROWS_EN[15]
.sym 16797 I2C.FLT_SDA.out
.sym 16798 $false
.sym 16799 $false
.sym 16800 $false
.sym 16837 $abc$19660$n271
.sym 16838 CLK$2$2
.sym 16839 $false
.sym 16844 $abc$19660$n212
.sym 16845 $abc$19660$n797_1
.sym 16846 $abc$19660$n301
.sym 16847 $abc$19660$n21
.sym 16876 $true
.sym 16913 $abc$19660$n1438$2
.sym 16914 $false
.sym 16915 $abc$19660$n1438
.sym 16916 $false
.sym 16917 $false
.sym 16919 $auto$alumacc.cc:474:replace_alu$5219.C[2]$2
.sym 16921 $abc$19660$n1440
.sym 16922 $true$2
.sym 16925 $auto$alumacc.cc:474:replace_alu$5219.C[3]$2
.sym 16927 $abc$19660$n1442
.sym 16928 $true$2
.sym 16929 $auto$alumacc.cc:474:replace_alu$5219.C[2]$2
.sym 16931 $abc$19660$n1603$2
.sym 16933 $abc$19660$n1444
.sym 16934 $true$2
.sym 16935 $auto$alumacc.cc:474:replace_alu$5219.C[3]$2
.sym 16941 $abc$19660$n1603$2
.sym 16944 $abc$19660$n1440
.sym 16945 $false
.sym 16946 $false
.sym 16947 $false
.sym 16950 $abc$19660$n1442
.sym 16951 $false
.sym 16952 $false
.sym 16953 $false
.sym 16956 $abc$19660$n1438
.sym 16957 $false
.sym 16958 $false
.sym 16959 $false
.sym 16963 $abc$19660$n12
.sym 17055 $false
.sym 17056 $abc$19660$n1442
.sym 17057 $false
.sym 17058 $auto$alumacc.cc:474:replace_alu$5219.C[2]
.sym 17061 $false
.sym 17062 $abc$19660$n1444
.sym 17063 $false
.sym 17064 $auto$alumacc.cc:474:replace_alu$5219.C[3]
.sym 17073 $abc$19660$n1680
.sym 17074 $false
.sym 17075 $false
.sym 17076 $false
.sym 17086 $abc$19660$n1625
.sym 17087 $abc$19660$n512
.sym 17088 $abc$19660$n517
.sym 17091 $abc$19660$n514
.sym 17093 $abc$19660$n1596
.sym 17160 UART_TX_DATA[7]
.sym 17161 UART_TX_DATA[5]
.sym 17162 $abc$19660$n514
.sym 17163 $false
.sym 17172 UART_TX_DATA[6]
.sym 17173 UART_TX_DATA[4]
.sym 17174 $abc$19660$n514
.sym 17175 $false
.sym 17178 I2C.received_byte[4]
.sym 17179 $abc$19660$n875_1
.sym 17180 I2C.is_read
.sym 17181 $false
.sym 17184 I2C.received_byte[6]
.sym 17185 $abc$19660$n879
.sym 17186 I2C.is_read
.sym 17187 $false
.sym 17190 I2C.received_byte[7]
.sym 17191 $abc$19660$n881
.sym 17192 I2C.is_read
.sym 17193 $false
.sym 17202 I2C.received_byte[5]
.sym 17203 $abc$19660$n877
.sym 17204 I2C.is_read
.sym 17205 $false
.sym 17206 $abc$19660$n47
.sym 17207 CLK$2$2
.sym 17208 $abc$19660$n52$2
.sym 17211 $abc$19660$n1080
.sym 17212 $abc$19660$n1082
.sym 17213 $abc$19660$n1084
.sym 17214 $abc$19660$n1086
.sym 17215 $abc$19660$n1088
.sym 17216 $abc$19660$n573
.sym 17289 $abc$19660$n871
.sym 17290 $abc$19660$n867
.sym 17291 $abc$19660$n660
.sym 17292 $abc$19660$n1106
.sym 17295 $abc$19660$n1107
.sym 17296 $abc$19660$n1109
.sym 17297 $abc$19660$n661
.sym 17298 $false
.sym 17301 $abc$19660$n873
.sym 17302 $abc$19660$n869
.sym 17303 $abc$19660$n660
.sym 17304 $abc$19660$n780
.sym 17307 $abc$19660$n881
.sym 17308 $abc$19660$n877
.sym 17309 $abc$19660$n660
.sym 17310 $abc$19660$n780
.sym 17313 $abc$19660$n879
.sym 17314 $abc$19660$n875_1
.sym 17315 $abc$19660$n660
.sym 17316 $abc$19660$n1108
.sym 17319 I2C.wr
.sym 17320 last_wr
.sym 17321 $abc$19660$n52$2
.sym 17322 $abc$19660$n46
.sym 17325 $abc$19660$n1674
.sym 17326 $abc$19660$n52$2
.sym 17327 $false
.sym 17328 $false
.sym 17329 $abc$19660$n41$2
.sym 17330 CLK$2$2
.sym 17331 $abc$19660$n19$2
.sym 17332 $abc$19660$n1674
.sym 17333 $abc$19660$n567_1
.sym 17334 $abc$19660$n571
.sym 17335 $abc$19660$n1676
.sym 17336 $abc$19660$n570
.sym 17337 $abc$19660$n563
.sym 17338 $abc$19660$n557
.sym 17339 UART_WR
.sym 17406 $abc$19660$n564
.sym 17407 $abc$19660$n563
.sym 17408 $abc$19660$n573
.sym 17409 $abc$19660$n574
.sym 17412 last_wr
.sym 17413 I2C.wr
.sym 17414 I2C_COUNTER[5]
.sym 17415 $false
.sym 17418 $abc$19660$n564
.sym 17419 $abc$19660$n563
.sym 17420 $abc$19660$n557
.sym 17421 $false
.sym 17424 I2C_COUNTER[3]
.sym 17425 $abc$19660$n1082
.sym 17426 $abc$19660$n562
.sym 17427 $false
.sym 17430 I2C_COUNTER[2]
.sym 17431 $abc$19660$n1080
.sym 17432 $abc$19660$n562
.sym 17433 $false
.sym 17436 I2C_COUNTER[0]
.sym 17437 $abc$19660$n562
.sym 17438 I2C_COUNTER[1]
.sym 17439 $false
.sym 17442 I2C_COUNTER[0]
.sym 17443 I2C_COUNTER[2]
.sym 17444 I2C_COUNTER[3]
.sym 17445 I2C_COUNTER[1]
.sym 17448 $abc$19660$n1676
.sym 17449 $abc$19660$n1674
.sym 17450 $abc$19660$n1675
.sym 17451 $false
.sym 17455 $abc$19660$n881
.sym 17456 $abc$19660$n873
.sym 17457 $abc$19660$n875_1
.sym 17458 $abc$19660$n877
.sym 17459 I2C_OUT_DESC_MASK[4]
.sym 17460 I2C_OUT_DESC_MASK[5]
.sym 17461 I2C_OUT_DESC_MASK[3]
.sym 17462 I2C_OUT_DESC_MASK[7]
.sym 17491 $true
.sym 17528 $abc$19660$n1671$2
.sym 17529 $false
.sym 17530 $abc$19660$n1671
.sym 17531 $false
.sym 17532 $false
.sym 17534 $auto$alumacc.cc:474:replace_alu$5188.C[3]
.sym 17536 $false
.sym 17537 $abc$19660$n1672
.sym 17540 $auto$alumacc.cc:474:replace_alu$5188.C[4]
.sym 17542 $false
.sym 17543 $abc$19660$n1673
.sym 17546 $auto$alumacc.cc:474:replace_alu$5188.C[5]
.sym 17548 $false
.sym 17549 $abc$19660$n1674
.sym 17552 $auto$alumacc.cc:474:replace_alu$5188.C[6]
.sym 17554 $false
.sym 17555 $abc$19660$n1675
.sym 17558 $abc$19660$n1651$2
.sym 17560 $false
.sym 17561 $abc$19660$n1676
.sym 17568 $abc$19660$n1651$2
.sym 17571 $abc$19660$n1676
.sym 17572 $abc$19660$n52$2
.sym 17573 $false
.sym 17574 $false
.sym 17575 $abc$19660$n41$2
.sym 17576 CLK$2$2
.sym 17577 $abc$19660$n19$2
.sym 17578 $abc$19660$n644
.sym 17582 $abc$19660$n17
.sym 17583 $abc$19660$n867
.sym 17584 $abc$19660$n52
.sym 17585 $abc$19660$n879
.sym 17652 $abc$19660$n1651
.sym 17653 $abc$19660$n635_1
.sym 17654 I2C.is_read
.sym 17655 $abc$19660$n644
.sym 17664 I2C_HID_DESC.DESC_TYPE
.sym 17665 I2C_OUTPUT_TYPE[2]
.sym 17666 I2C_OUTPUT_TYPE[1]
.sym 17667 $false
.sym 17670 $abc$19660$n558
.sym 17671 $abc$19660$n635_1
.sym 17672 $abc$19660$n557
.sym 17673 I2C.is_read
.sym 17676 $abc$19660$n644
.sym 17677 I2C_OUTPUT_TYPE[2]
.sym 17678 $false
.sym 17679 $false
.sym 17682 $abc$19660$n522
.sym 17683 $abc$19660$n652
.sym 17684 $false
.sym 17685 $false
.sym 17688 I2C_OUTPUT_TYPE[1]
.sym 17689 $abc$19660$n522
.sym 17690 $abc$19660$n644
.sym 17691 $false
.sym 17694 $abc$19660$n652
.sym 17695 $abc$19660$n651
.sym 17696 $abc$19660$n653
.sym 17697 $false
.sym 17698 $abc$19660$n41$2
.sym 17699 CLK$2$2
.sym 17700 $abc$19660$n19$2
.sym 17701 COM_DCD$2
.sym 17703 I2C_HID_DESC.CLK
.sym 17707 ring_wr[2]
.sym 17775 KEYBOARD.kbd_code_hid[1]
.sym 17776 KEYBOARD.kbd_code_hid[0]
.sym 17777 KEYBOARD.kbd_code_hid[2]
.sym 17778 $false
.sym 17781 $abc$19660$n708
.sym 17782 $abc$19660$n709
.sym 17783 $abc$19660$n710
.sym 17784 $abc$19660$n711
.sym 17787 KEYBOARD.REPORT.r_data[0]
.sym 17788 KEYBOARD.kbd_code_hid[0]
.sym 17789 KEYBOARD.REPORT.r_data[2]
.sym 17790 KEYBOARD.kbd_code_hid[2]
.sym 17793 KEYBOARD.kbd_code_hid[0]
.sym 17794 KEYBOARD.kbd_code_hid[1]
.sym 17795 KEYBOARD.kbd_code_hid[2]
.sym 17796 $false
.sym 17799 KEYBOARD.REPORT.r_data[5]
.sym 17800 KEYBOARD.is_pressed
.sym 17801 $abc$19660$n969_1
.sym 17802 $abc$19660$n699
.sym 17805 KEYBOARD.kbd_code_hid[4]
.sym 17806 KEYBOARD.REPORT.r_data[4]
.sym 17807 KEYBOARD.REPORT.r_data[7]
.sym 17808 KEYBOARD.kbd_code_hid[7]
.sym 17811 KEYBOARD.REPORT.r_data[5]
.sym 17812 KEYBOARD.kbd_code_hid[5]
.sym 17813 KEYBOARD.REPORT.r_data[6]
.sym 17814 KEYBOARD.kbd_code_hid[6]
.sym 17817 KEYBOARD.REPORT.r_data[7]
.sym 17818 KEYBOARD.is_pressed
.sym 17819 $abc$19660$n975_1
.sym 17820 $abc$19660$n699
.sym 17828 KEYBOARD.report_wr_en
.sym 17898 $abc$19660$n699
.sym 17899 KEYBOARD.is_pressed
.sym 17900 KEYBOARD.kbd_code_hid[6]
.sym 17901 $abc$19660$n971_1
.sym 17904 $abc$19660$n699
.sym 17905 KEYBOARD.is_pressed
.sym 17906 KEYBOARD.kbd_code_hid[7]
.sym 17907 $abc$19660$n974
.sym 17910 KEYBOARD.REPORT.r_data[2]
.sym 17911 $abc$19660$n699
.sym 17912 KEYBOARD.is_pressed
.sym 17913 $abc$19660$n1100_1
.sym 17916 $abc$19660$n699
.sym 17917 KEYBOARD.is_pressed
.sym 17918 KEYBOARD.kbd_code_hid[5]
.sym 17919 $abc$19660$n968
.sym 17922 KEYBOARD.is_pressed
.sym 17923 KEYBOARD.kbd_code_hid[4]
.sym 17924 $abc$19660$n965_1
.sym 17925 $false
.sym 17934 $abc$19660$n699
.sym 17935 KEYBOARD.is_pressed
.sym 17936 KEYBOARD.kbd_code_hid[0]
.sym 17937 $abc$19660$n960
.sym 17944 $abc$19660$n155
.sym 17945 CLK$2$2
.sym 17946 KEYBOARD.IS_RAM_INIT$2
.sym 17947 $abc$19660$n914_1
.sym 17948 $abc$19660$n37
.sym 17949 $abc$19660$n660_1
.sym 17950 $abc$19660$n891
.sym 17951 $abc$19660$n940_1
.sym 17952 $abc$19660$n1734
.sym 17953 $abc$19660$n701
.sym 17954 report_wr_en
.sym 18021 KEYBOARD.is_pressed
.sym 18022 $abc$19660$n707
.sym 18023 $abc$19660$n701
.sym 18024 $false
.sym 18027 $abc$19660$n721_1
.sym 18028 $abc$19660$n191$2
.sym 18029 $false
.sym 18030 $false
.sym 18033 KEYBOARD.REPORT.r_data[4]
.sym 18034 KEYBOARD.REPORT.r_data[5]
.sym 18035 KEYBOARD.REPORT.r_data[6]
.sym 18036 KEYBOARD.REPORT.r_data[7]
.sym 18039 $abc$19660$n716
.sym 18040 $abc$19660$n701
.sym 18041 $abc$19660$n707
.sym 18042 KEYBOARD.is_pressed
.sym 18045 $abc$19660$n717
.sym 18046 $abc$19660$n718_1
.sym 18047 $false
.sym 18048 $false
.sym 18057 KEYBOARD.is_pressed
.sym 18058 $abc$19660$n707
.sym 18059 KEYBOARD.report_adress_rd[3]
.sym 18060 $abc$19660$n701
.sym 18063 KEYBOARD.REPORT.r_data[0]
.sym 18064 KEYBOARD.REPORT.r_data[1]
.sym 18065 KEYBOARD.REPORT.r_data[2]
.sym 18066 KEYBOARD.REPORT.r_data[3]
.sym 18070 $2\ring_wr[3:0][3]
.sym 18073 $2\ring_wr[3:0][1]
.sym 18074 $abc$19660$n631
.sym 18075 ring_wr[0]
.sym 18076 ring_wr[1]
.sym 18077 ring_wr[3]
.sym 18144 $2\ring_rd[3:0][0]
.sym 18145 $2\ring_wr[3:0][0]
.sym 18146 $false
.sym 18147 $false
.sym 18150 $2\ring_rd[3:0][3]
.sym 18151 $2\ring_wr[3:0][3]
.sym 18152 $false
.sym 18153 $false
.sym 18156 $2\ring_wr[3:0][3]
.sym 18157 ring_rd[3]
.sym 18158 $abc$19660$n624
.sym 18159 $abc$19660$n631
.sym 18162 $abc$19660$n639
.sym 18163 $abc$19660$n637
.sym 18164 $abc$19660$n620_1
.sym 18165 $abc$19660$n641
.sym 18168 $abc$19660$n623_1
.sym 18169 $abc$19660$n634
.sym 18170 $false
.sym 18171 $false
.sym 18174 $2\ring_rd[3:0][2]
.sym 18175 $2\ring_wr[3:0][2]
.sym 18176 $abc$19660$n636
.sym 18177 $false
.sym 18180 $abc$19660$n622
.sym 18181 ring_rd[0]
.sym 18182 $abc$19660$n631
.sym 18183 $false
.sym 18186 $2\ring_wr[3:0][2]
.sym 18187 ring_rd[2]
.sym 18188 ring_rd[0]
.sym 18189 $2\ring_wr[3:0][0]
.sym 18193 $abc$19660$n1727
.sym 18194 $2\ring_wr[3:0][0]
.sym 18195 $abc$19660$n626
.sym 18196 $abc$19660$n629_1
.sym 18197 $abc$19660$n627
.sym 18198 $abc$19660$n1218
.sym 18199 $2\ring_wr[3:0][2]
.sym 18200 $abc$19660$n628
.sym 18229 $true
.sym 18266 ring_rd[0]$2
.sym 18267 $false
.sym 18268 ring_rd[0]
.sym 18269 $false
.sym 18270 $false
.sym 18272 $auto$alumacc.cc:474:replace_alu$5204.C[2]
.sym 18274 $false
.sym 18275 ring_rd[1]
.sym 18278 $auto$alumacc.cc:474:replace_alu$5204.C[3]
.sym 18279 $false
.sym 18280 $false
.sym 18281 ring_rd[2]
.sym 18282 $auto$alumacc.cc:474:replace_alu$5204.C[2]
.sym 18285 $false
.sym 18286 $false
.sym 18287 ring_rd[3]
.sym 18288 $auto$alumacc.cc:474:replace_alu$5204.C[3]
.sym 18291 ring_rd[2]
.sym 18292 $abc$19660$n1097
.sym 18293 $abc$19660$n622
.sym 18294 $false
.sym 18297 ring_rd[3]
.sym 18298 $abc$19660$n1100
.sym 18299 $abc$19660$n622
.sym 18300 $false
.sym 18303 $2\ring_rd[3:0][3]
.sym 18304 $false
.sym 18305 $false
.sym 18306 $false
.sym 18309 $2\ring_rd[3:0][2]
.sym 18310 $false
.sym 18311 $false
.sym 18312 $false
.sym 18313 $abc$19660$n41$2
.sym 18314 CLK$2$2
.sym 18315 $abc$19660$n19$2
.sym 18318 $abc$19660$n1224
.sym 18319 $abc$19660$n1227
.sym 18320 $abc$19660$n344
.sym 18390 ring_rd[0]
.sym 18391 $abc$19660$n1091
.sym 18392 $abc$19660$n622
.sym 18393 $false
.sym 18396 $false
.sym 18397 $false
.sym 18398 ring_rd[0]
.sym 18399 $false
.sym 18420 $abc$19660$n622
.sym 18421 ring_rd[0]
.sym 18422 ring_rd[1]
.sym 18423 $false
.sym 18426 $2\ring_rd[3:0][0]
.sym 18427 $false
.sym 18428 $false
.sym 18429 $false
.sym 18436 $abc$19660$n41$2
.sym 18437 CLK$2$2
.sym 18438 $abc$19660$n19$2
.sym 18531 KEYBOARD.IS_RAM_INIT$2
.sym 18532 $abc$19660$n621
.sym 18533 $false
.sym 18534 $false
.sym 18549 KEYBOARD.IS_RAM_INIT$2
.sym 18550 $abc$19660$n623
.sym 18551 $false
.sym 18552 $false
.sym 18559 $abc$19660$n188
.sym 18560 CLK$2$2
.sym 18561 $abc$19660$n19$2
.sym 18911 $abc$19660$n1732
.sym 18912 $false
.sym 18913 $false
.sym 18914 $false
.sym 18929 $abc$19660$n1734
.sym 18930 $false
.sym 18931 $false
.sym 18932 $false
.sym 18945 $abc$19660$n198$2
.sym 18946 CLK$2$2
.sym 18947 $abc$19660$n17
.sym 18984 $true
.sym 19021 $abc$19660$n1678$2
.sym 19022 $false
.sym 19023 $abc$19660$n1678
.sym 19024 $false
.sym 19025 $false
.sym 19027 $auto$alumacc.cc:474:replace_alu$5159.C[2]
.sym 19029 $false
.sym 19030 $abc$19660$n1604
.sym 19033 $auto$alumacc.cc:474:replace_alu$5159.C[3]
.sym 19035 $false
.sym 19036 $abc$19660$n1679
.sym 19039 $abc$19660$n212$2
.sym 19041 $false
.sym 19042 $abc$19660$n1680
.sym 19049 $abc$19660$n212$2
.sym 19052 UART.TX_sig_last
.sym 19053 UART_WR
.sym 19054 UART.tx_activity
.sym 19055 $false
.sym 19058 $abc$19660$n797_1
.sym 19059 I2C.FLT_SCL.RESET$2
.sym 19060 $false
.sym 19061 $false
.sym 19064 UART.tx_activity
.sym 19065 $false
.sym 19066 $false
.sym 19067 $false
.sym 19145 $abc$19660$n1554
.sym 19146 $abc$19660$n517
.sym 19147 $abc$19660$n512
.sym 19148 UART.tx_activity
.sym 19191 $abc$19660$n308
.sym 19192 CLK$2$2
.sym 19193 $false
.sym 19268 $abc$19660$n1624
.sym 19269 $false
.sym 19270 $false
.sym 19271 $false
.sym 19274 $abc$19660$n516
.sym 19275 $abc$19660$n513
.sym 19276 $abc$19660$n1596
.sym 19277 $abc$19660$n1582
.sym 19280 $abc$19660$n519
.sym 19281 $abc$19660$n518
.sym 19282 $abc$19660$n1582
.sym 19283 $abc$19660$n1596
.sym 19298 $abc$19660$n1623
.sym 19299 $abc$19660$n1624
.sym 19300 $false
.sym 19301 $false
.sym 19310 $false
.sym 19311 $false
.sym 19312 $abc$19660$n1625
.sym 19313 $false
.sym 19353 $true
.sym 19390 I2C_COUNTER[0]$2
.sym 19391 $false
.sym 19392 I2C_COUNTER[0]
.sym 19393 $false
.sym 19394 $false
.sym 19396 $auto$alumacc.cc:474:replace_alu$5207.C[2]
.sym 19398 $false
.sym 19399 I2C_COUNTER[1]
.sym 19402 $auto$alumacc.cc:474:replace_alu$5207.C[3]
.sym 19403 $false
.sym 19404 $false
.sym 19405 I2C_COUNTER[2]
.sym 19406 $auto$alumacc.cc:474:replace_alu$5207.C[2]
.sym 19408 $auto$alumacc.cc:474:replace_alu$5207.C[4]
.sym 19409 $false
.sym 19410 $false
.sym 19411 I2C_COUNTER[3]
.sym 19412 $auto$alumacc.cc:474:replace_alu$5207.C[3]
.sym 19414 $auto$alumacc.cc:474:replace_alu$5207.C[5]
.sym 19415 $false
.sym 19416 $false
.sym 19417 I2C_COUNTER[4]
.sym 19418 $auto$alumacc.cc:474:replace_alu$5207.C[4]
.sym 19420 $auto$alumacc.cc:474:replace_alu$5207.C[6]
.sym 19421 $false
.sym 19422 $false
.sym 19423 I2C_COUNTER[5]
.sym 19424 $auto$alumacc.cc:474:replace_alu$5207.C[5]
.sym 19427 $false
.sym 19428 $false
.sym 19429 I2C_COUNTER[6]
.sym 19430 $auto$alumacc.cc:474:replace_alu$5207.C[6]
.sym 19433 last_wr
.sym 19434 $abc$19660$n1086
.sym 19435 I2C.wr
.sym 19436 $false
.sym 19440 I2C_HID_DESC.VAL[0]
.sym 19441 I2C_HID_DESC.VAL[1]
.sym 19442 I2C_HID_DESC.VAL[2]
.sym 19443 I2C_HID_DESC.VAL[3]
.sym 19444 I2C_HID_DESC.VAL[4]
.sym 19445 I2C_HID_DESC.VAL[5]
.sym 19446 I2C_HID_DESC.VAL[6]
.sym 19447 I2C_HID_DESC.VAL[7]
.sym 19514 $abc$19660$n564
.sym 19515 $abc$19660$n563
.sym 19516 $abc$19660$n570
.sym 19517 $abc$19660$n571
.sym 19520 last_wr
.sym 19521 $abc$19660$n1088
.sym 19522 I2C.wr
.sym 19523 $false
.sym 19526 last_wr
.sym 19527 I2C.wr
.sym 19528 I2C_COUNTER[4]
.sym 19529 $false
.sym 19532 $abc$19660$n564
.sym 19533 $abc$19660$n563
.sym 19534 $abc$19660$n567_1
.sym 19535 $abc$19660$n568
.sym 19538 last_wr
.sym 19539 $abc$19660$n1084
.sym 19540 I2C.wr
.sym 19541 $false
.sym 19544 I2C_COUNTER[4]
.sym 19545 I2C_COUNTER[5]
.sym 19546 I2C_COUNTER[6]
.sym 19547 $false
.sym 19550 last_wr
.sym 19551 I2C.wr
.sym 19552 $false
.sym 19553 $false
.sym 19556 I2C_TRANS
.sym 19557 $abc$19660$n557
.sym 19558 last_trans
.sym 19559 $false
.sym 19560 $abc$19660$n42
.sym 19561 CLK$2$2
.sym 19562 $abc$19660$n19$2
.sym 19637 REPORT_DATA.r_data[7]
.sym 19638 I2C_HID_DESC.VAL[7]
.sym 19639 I2C_OUT_DESC_MASK[7]
.sym 19640 $false
.sym 19643 REPORT_DATA.r_data[3]
.sym 19644 I2C_HID_DESC.VAL[3]
.sym 19645 I2C_OUT_DESC_MASK[3]
.sym 19646 $false
.sym 19649 REPORT_DATA.r_data[4]
.sym 19650 I2C_HID_DESC.VAL[4]
.sym 19651 I2C_OUT_DESC_MASK[4]
.sym 19652 $false
.sym 19655 REPORT_DATA.r_data[5]
.sym 19656 I2C_HID_DESC.VAL[5]
.sym 19657 I2C_OUT_DESC_MASK[5]
.sym 19658 $false
.sym 19661 $2\I2C_OUT_DESC_MASK[7:0][7]
.sym 19662 $false
.sym 19663 $false
.sym 19664 $false
.sym 19667 $2\I2C_OUT_DESC_MASK[7:0][7]
.sym 19668 $false
.sym 19669 $false
.sym 19670 $false
.sym 19673 $2\I2C_OUT_DESC_MASK[7:0][7]
.sym 19674 $false
.sym 19675 $false
.sym 19676 $false
.sym 19679 $2\I2C_OUT_DESC_MASK[7:0][7]
.sym 19680 $false
.sym 19681 $false
.sym 19682 $false
.sym 19683 $abc$19660$n63
.sym 19684 CLK$2$2
.sym 19685 $abc$19660$n19$2
.sym 19686 REPORT_DATA.r_data[0]
.sym 19688 REPORT_DATA.r_data[1]
.sym 19690 REPORT_DATA.r_data[2]
.sym 19692 REPORT_DATA.r_data[3]
.sym 19760 I2C_TRANS
.sym 19761 last_trans
.sym 19762 $false
.sym 19763 $false
.sym 19784 $abc$19660$n16
.sym 19785 $false
.sym 19786 $false
.sym 19787 $false
.sym 19790 REPORT_DATA.r_data[0]
.sym 19791 I2C_HID_DESC.VAL[0]
.sym 19792 I2C_OUT_DESC_MASK[0]
.sym 19793 $false
.sym 19796 last_trans
.sym 19797 I2C_TRANS
.sym 19798 $false
.sym 19799 $false
.sym 19802 REPORT_DATA.r_data[6]
.sym 19803 I2C_HID_DESC.VAL[6]
.sym 19804 I2C_OUT_DESC_MASK[6]
.sym 19805 $false
.sym 19809 REPORT_DATA.r_data[4]
.sym 19811 REPORT_DATA.r_data[5]
.sym 19813 REPORT_DATA.r_data[6]
.sym 19815 REPORT_DATA.r_data[7]
.sym 19883 LED1$2
.sym 19884 $false
.sym 19885 $false
.sym 19886 $false
.sym 19895 CLK$2$2
.sym 19896 $false
.sym 19897 $false
.sym 19898 $false
.sym 19919 $2\ring_wr[3:0][2]
.sym 19920 $false
.sym 19921 $false
.sym 19922 $false
.sym 19929 $abc$19660$n41$2
.sym 19930 CLK$2$2
.sym 19931 $abc$19660$n19$2
.sym 19932 KEYBOARD.REPORT.r_data[0]
.sym 19934 KEYBOARD.REPORT.r_data[1]
.sym 19936 KEYBOARD.REPORT.r_data[2]
.sym 19938 KEYBOARD.REPORT.r_data[3]
.sym 20030 $abc$19660$n699
.sym 20031 $abc$19660$n1098
.sym 20032 $abc$19660$n761
.sym 20033 $abc$19660$n1311
.sym 20052 $abc$19660$n94
.sym 20053 CLK$2$2
.sym 20054 $abc$19660$n19$2
.sym 20055 KEYBOARD.REPORT.r_data[4]
.sym 20057 KEYBOARD.REPORT.r_data[5]
.sym 20059 KEYBOARD.REPORT.r_data[6]
.sym 20061 KEYBOARD.REPORT.r_data[7]
.sym 20129 KEYBOARD.report_adress_rd[3]
.sym 20130 $abc$19660$n701
.sym 20131 KEYBOARD.isr_internal
.sym 20132 KEYBOARD.IS_RAM_INIT$2
.sym 20135 $abc$19660$n41$2
.sym 20136 $abc$19660$n662
.sym 20137 $abc$19660$n660_1
.sym 20138 $false
.sym 20141 KEYBOARD.isr
.sym 20142 last_isr
.sym 20143 $abc$19660$n661_1
.sym 20144 $abc$19660$n46
.sym 20147 IS_RAM_INIT
.sym 20148 KEYBOARD.isr
.sym 20149 last_isr
.sym 20150 $false
.sym 20153 KEYBOARD.report_adress_rd[3]
.sym 20154 $abc$19660$n701
.sym 20155 $abc$19660$n937_1
.sym 20156 $abc$19660$n699
.sym 20159 $abc$19660$n1287
.sym 20160 $abc$19660$n1285
.sym 20161 $abc$19660$n1290
.sym 20162 $false
.sym 20165 KEYBOARD.report_adress_rd[2]
.sym 20166 KEYBOARD.report_adress_rd[0]
.sym 20167 KEYBOARD.report_adress_rd[1]
.sym 20168 $false
.sym 20171 report_wr_en
.sym 20172 $abc$19660$n891
.sym 20173 $abc$19660$n662
.sym 20174 IS_RAM_INIT
.sym 20175 $abc$19660$n37
.sym 20176 CLK$2$2
.sym 20177 $abc$19660$n19$2
.sym 20252 ring_wr[3]
.sym 20253 $abc$19660$n1227
.sym 20254 $abc$19660$n626
.sym 20255 $false
.sym 20270 $abc$19660$n626
.sym 20271 ring_wr[0]
.sym 20272 ring_wr[1]
.sym 20273 $false
.sym 20276 $2\ring_wr[3:0][1]
.sym 20277 ring_rd[1]
.sym 20278 $false
.sym 20279 $false
.sym 20282 $2\ring_wr[3:0][0]
.sym 20283 $false
.sym 20284 $false
.sym 20285 $false
.sym 20288 $2\ring_wr[3:0][1]
.sym 20289 $false
.sym 20290 $false
.sym 20291 $false
.sym 20294 $2\ring_wr[3:0][3]
.sym 20295 $false
.sym 20296 $false
.sym 20297 $false
.sym 20298 $abc$19660$n41$2
.sym 20299 CLK$2$2
.sym 20300 $abc$19660$n19$2
.sym 20375 $abc$19660$n1287
.sym 20376 $abc$19660$n1285
.sym 20377 $abc$19660$n1290
.sym 20378 $false
.sym 20381 ring_wr[0]
.sym 20382 $abc$19660$n1218
.sym 20383 $abc$19660$n626
.sym 20384 $false
.sym 20387 $abc$19660$n629_1
.sym 20388 $abc$19660$n627
.sym 20389 KEYBOARD.isr
.sym 20390 last_isr
.sym 20393 ring_rd[1]
.sym 20394 ring_wr[0]
.sym 20395 ring_wr[1]
.sym 20396 $false
.sym 20399 $abc$19660$n344
.sym 20400 ring_rd[2]
.sym 20401 $abc$19660$n1224
.sym 20402 $abc$19660$n628
.sym 20405 $false
.sym 20406 $false
.sym 20407 ring_wr[0]
.sym 20408 $false
.sym 20411 ring_wr[2]
.sym 20412 $abc$19660$n1224
.sym 20413 $abc$19660$n626
.sym 20414 $false
.sym 20417 ring_rd[0]
.sym 20418 $abc$19660$n1218
.sym 20419 ring_rd[3]
.sym 20420 $abc$19660$n1227
.sym 20460 $true
.sym 20497 ring_wr[0]$2
.sym 20498 $false
.sym 20499 ring_wr[0]
.sym 20500 $false
.sym 20501 $false
.sym 20503 $auto$alumacc.cc:474:replace_alu$5198.C[2]
.sym 20505 $false
.sym 20506 ring_wr[1]
.sym 20509 $auto$alumacc.cc:474:replace_alu$5198.C[3]
.sym 20510 $false
.sym 20511 $false
.sym 20512 ring_wr[2]
.sym 20513 $auto$alumacc.cc:474:replace_alu$5198.C[2]
.sym 20515 $auto$alumacc.cc:474:replace_alu$5198.C[4]
.sym 20516 $false
.sym 20517 $false
.sym 20518 ring_wr[3]
.sym 20519 $auto$alumacc.cc:474:replace_alu$5198.C[3]
.sym 20522 $false
.sym 20523 $false
.sym 20524 $false
.sym 20525 $auto$alumacc.cc:474:replace_alu$5198.C[4]
.sym 20715 $false
.sym 20717 KEYBOARD.ROWS_EN[0]
.sym 20718 $false
.sym 20720 KEYBOARD.ROWS_EN[1]
.sym 20772 $auto$alumacc.cc:474:replace_alu$5240.C[2]
.sym 20773 $abc$19660$n823
.sym 20777 $abc$19660$n822
.sym 20848 UART.tx_clk_counter[3]
.sym 20849 UART.tx_clk_counter[1]
.sym 20851 UART.tx_clk_counter[0]
.sym 20852 UART.tx_clk_counter[2]
.sym 20984 $abc$19660$n1623
.sym 20986 $abc$19660$n1624
.sym 20987 $abc$19660$n799
.sym 20988 $abc$19660$n1550
.sym 20989 $abc$19660$n819
.sym 20990 $abc$19660$n308
.sym 21090 UART.tx_activity
.sym 21191 KEYBOARD.ROWS_EN[14]
.sym 21392 $abc$19660$n568
.sym 21395 $abc$19660$n42
.sym 21397 $abc$19660$n666
.sym 21398 I2C_OUT_DESC_MASK[1]
.sym 21498 $abc$19660$n869
.sym 21499 last_wr
.sym 21500 I2C_COUNTER[1]
.sym 21510 $false
.sym 21511 $false
.sym 21512 $false
.sym 21513 $false
.sym 21514 $false
.sym 21515 $false
.sym 21516 $false
.sym 21517 $false
.sym 21518 $false
.sym 21519 $false
.sym 21520 $false
.sym 21521 $false
.sym 21522 $false$2
.sym 21523 $false
.sym 21524 $false
.sym 21525 $false
.sym 21526 $false
.sym 21527 $false
.sym 21528 $false
.sym 21529 $false
.sym 21530 $false
.sym 21531 $false
.sym 21596 $abc$19660$n650
.sym 21597 $abc$19660$n63
.sym 21598 $abc$19660$n871
.sym 21600 I2C_OUT_DESC_MASK[0]
.sym 21601 I2C_OUT_DESC_MASK[2]
.sym 21602 I2C_OUT_DESC_MASK[6]
.sym 21612 I2C_COUNTER[0]
.sym 21613 I2C_COUNTER[1]
.sym 21614 $false
.sym 21615 I2C_COUNTER[2]
.sym 21616 I2C_COUNTER[3]
.sym 21617 I2C_COUNTER[4]
.sym 21618 I2C_COUNTER[5]
.sym 21619 I2C_COUNTER[6]
.sym 21620 I2C_HID_DESC.DESC_TYPE
.sym 21621 $false
.sym 21622 $false
.sym 21623 I2C_HID_DESC.CLK
.sym 21624 $true
.sym 21625 $true$2
.sym 21626 $false
.sym 21627 $false
.sym 21628 $false
.sym 21629 $false
.sym 21630 $false
.sym 21631 $false
.sym 21632 $false
.sym 21633 $false
.sym 21700 $abc$19660$n732
.sym 21701 $abc$19660$n925_1
.sym 21705 IS_RAM_INIT
.sym 21706 $undef
.sym 21707 $undef
.sym 21708 $undef
.sym 21709 $undef
.sym 21710 $undef
.sym 21711 $undef
.sym 21712 $undef
.sym 21713 $undef
.sym 21714 wr_cnt[0]
.sym 21715 wr_cnt[1]
.sym 21716 $false
.sym 21717 wr_cnt[2]
.sym 21718 wr_cnt[3]
.sym 21719 ring_wr[0]
.sym 21720 ring_wr[1]
.sym 21721 ring_wr[2]
.sym 21722 ring_wr[3]
.sym 21723 $false
.sym 21724 $false
.sym 21725 I2C_HID_DESC.CLK
.sym 21726 report_wr_en
.sym 21727 KEYBOARD.REPORT.r_data[0]
.sym 21728 $undef
.sym 21729 KEYBOARD.REPORT.r_data[1]
.sym 21730 $undef
.sym 21731 KEYBOARD.REPORT.r_data[2]
.sym 21732 $undef
.sym 21733 KEYBOARD.REPORT.r_data[3]
.sym 21734 $undef
.sym 21735 $true$2
.sym 21800 $abc$19660$n662
.sym 21801 $abc$19660$n35
.sym 21802 $abc$19660$n663
.sym 21803 $abc$19660$n661_1
.sym 21804 wr_cnt[2]
.sym 21805 wr_cnt[1]
.sym 21806 wr_cnt[0]
.sym 21807 wr_cnt[3]
.sym 21808 $undef
.sym 21809 $undef
.sym 21810 $undef
.sym 21811 $undef
.sym 21812 $undef
.sym 21813 $undef
.sym 21814 $undef
.sym 21815 $undef
.sym 21816 I2C_COUNTER[0]
.sym 21817 I2C_COUNTER[1]
.sym 21818 $false
.sym 21819 I2C_COUNTER[2]
.sym 21820 I2C_COUNTER[3]
.sym 21821 ring_rd[0]
.sym 21822 ring_rd[1]
.sym 21823 ring_rd[2]
.sym 21824 ring_rd[3]
.sym 21825 $false
.sym 21826 $false
.sym 21827 I2C_HID_DESC.CLK
.sym 21828 $true
.sym 21829 $true$2
.sym 21830 KEYBOARD.REPORT.r_data[5]
.sym 21831 $undef
.sym 21832 KEYBOARD.REPORT.r_data[6]
.sym 21833 $undef
.sym 21834 KEYBOARD.REPORT.r_data[7]
.sym 21835 $undef
.sym 21836 KEYBOARD.REPORT.r_data[4]
.sym 21837 $undef
.sym 21904 KEYBOARD.ROWS_EN[7]
.sym 21905 KEYBOARD.ROWS_EN[5]
.sym 21909 KEYBOARD.ROWS_EN[4]
.sym 21910 $undef
.sym 21911 $undef
.sym 21912 $undef
.sym 21913 $undef
.sym 21914 $undef
.sym 21915 $undef
.sym 21916 $undef
.sym 21917 $undef
.sym 21918 KEYBOARD.report_adress_rd[0]
.sym 21919 KEYBOARD.report_adress_rd[1]
.sym 21920 $false
.sym 21921 KEYBOARD.report_adress_rd[2]
.sym 21922 KEYBOARD.report_adress_rd[3]
.sym 21923 $false
.sym 21924 $false
.sym 21925 $false
.sym 21926 $false
.sym 21927 $false
.sym 21928 $false
.sym 21929 CLK$2$2
.sym 21930 KEYBOARD.report_wr_en
.sym 21931 KEYBOARD.report_data_wr[0]
.sym 21932 $undef
.sym 21933 KEYBOARD.report_data_wr[1]
.sym 21934 $undef
.sym 21935 KEYBOARD.report_data_wr[2]
.sym 21936 $undef
.sym 21937 KEYBOARD.report_data_wr[3]
.sym 21938 $undef
.sym 21939 $true$2
.sym 22007 KEYBOARD.isr_internal
.sym 22012 $undef
.sym 22013 $undef
.sym 22014 $undef
.sym 22015 $undef
.sym 22016 $undef
.sym 22017 $undef
.sym 22018 $undef
.sym 22019 $undef
.sym 22020 KEYBOARD.report_adress_rd[0]
.sym 22021 KEYBOARD.report_adress_rd[1]
.sym 22022 $false
.sym 22023 KEYBOARD.report_adress_rd[2]
.sym 22024 KEYBOARD.report_adress_rd[3]
.sym 22025 $false
.sym 22026 $false
.sym 22027 $false
.sym 22028 $false
.sym 22029 $false
.sym 22030 $false
.sym 22031 CLK$2$2
.sym 22032 $true
.sym 22033 $true$2
.sym 22034 KEYBOARD.report_data_wr[5]
.sym 22035 $undef
.sym 22036 KEYBOARD.report_data_wr[6]
.sym 22037 $undef
.sym 22038 KEYBOARD.report_data_wr[7]
.sym 22039 $undef
.sym 22040 KEYBOARD.report_data_wr[4]
.sym 22041 $undef
.sym 22106 $abc$19660$n1732
.sym 22111 $abc$19660$n1733
.sym 22112 last_isr
.sym 22209 KEYBOARD.ROWS_EN[6]
.sym 22214 KEYBOARD.ROWS_EN[1]
.sym 22310 KEYBOARD.ROWS_EN[0]
.sym 22312 KEYBOARD.ROWS_EN[3]
.sym 22314 KEYBOARD.ROWS_EN[2]
.sym 22487 $false
.sym 22489 KEYBOARD.ROWS_EN[2]
.sym 22490 $false
.sym 22492 KEYBOARD.ROWS_EN[3]
.sym 22544 UART.tx_bit_counter[2]
.sym 22546 UART.tx_bit_counter[3]
.sym 22579 $true
.sym 22616 UART.tx_clk_counter[0]$2
.sym 22617 $false
.sym 22618 UART.tx_clk_counter[0]
.sym 22619 $false
.sym 22620 $false
.sym 22622 $auto$alumacc.cc:474:replace_alu$5240.C[2]$2
.sym 22624 UART.tx_clk_counter[1]
.sym 22625 $true$2
.sym 22628 $auto$alumacc.cc:474:replace_alu$5240.C[3]
.sym 22630 UART.tx_clk_counter[2]
.sym 22631 $true$2
.sym 22632 $auto$alumacc.cc:474:replace_alu$5240.C[2]$2
.sym 22635 $false
.sym 22636 UART.tx_clk_counter[3]
.sym 22637 $false
.sym 22638 $auto$alumacc.cc:474:replace_alu$5240.C[3]
.sym 22659 $false
.sym 22660 UART.tx_clk_counter[2]
.sym 22661 $false
.sym 22662 $auto$alumacc.cc:474:replace_alu$5240.C[2]
.sym 22672 $auto$alumacc.cc:474:replace_alu$5243.C[2]
.sym 22673 $abc$19660$n1626
.sym 22674 $abc$19660$n802_1
.sym 22675 $abc$19660$n801
.sym 22676 $abc$19660$n1681
.sym 22792 $abc$19660$n799
.sym 22793 $abc$19660$n823
.sym 22794 $false
.sym 22795 $false
.sym 22798 $abc$19660$n1550
.sym 22799 $false
.sym 22800 $false
.sym 22801 $false
.sym 22810 $abc$19660$n799
.sym 22811 $abc$19660$n819
.sym 22812 $false
.sym 22813 $false
.sym 22816 $abc$19660$n799
.sym 22817 $abc$19660$n822
.sym 22818 $false
.sym 22819 $false
.sym 22826 $abc$19660$n301
.sym 22827 CLK$2$2
.sym 22828 $abc$19660$n21
.sym 22829 UART.tx_bit_counter[1]
.sym 22832 UART.tx_bit_counter[0]
.sym 22903 UART.tx_bit_counter[0]
.sym 22904 UART.tx_bit_counter[1]
.sym 22905 $false
.sym 22906 $false
.sym 22915 $false
.sym 22916 UART.tx_bit_counter[0]
.sym 22917 $false
.sym 22918 $false
.sym 22921 $abc$19660$n1550
.sym 22922 $abc$19660$n819
.sym 22923 $abc$19660$n822
.sym 22924 $abc$19660$n823
.sym 22927 UART.tx_clk_counter[1]
.sym 22928 UART.tx_clk_counter[0]
.sym 22929 $false
.sym 22930 $false
.sym 22933 $false
.sym 22934 UART.tx_clk_counter[0]
.sym 22935 $false
.sym 22936 $false
.sym 22939 UART.tx_activity
.sym 22940 $abc$19660$n799
.sym 22941 $abc$19660$n801
.sym 22942 $abc$19660$n301
.sym 22956 $abc$19660$n1554
.sym 22957 $abc$19660$n1682
.sym 22959 $abc$19660$n1627
.sym 23050 $abc$19660$n801
.sym 23051 $abc$19660$n799
.sym 23052 $abc$19660$n797_1
.sym 23053 $false
.sym 23072 $true
.sym 23073 CLK$2$2
.sym 23074 $abc$19660$n19$2
.sym 23077 $abc$19660$n1582
.sym 23078 $abc$19660$n1245
.sym 23079 rststate[0]
.sym 23082 I2C.SCLF
.sym 23167 $abc$19660$n1733
.sym 23168 $false
.sym 23169 $false
.sym 23170 $false
.sym 23195 $abc$19660$n198$2
.sym 23196 CLK$2$2
.sym 23197 $abc$19660$n17
.sym 23199 KEYBOARD.ROWS_EN[10]
.sym 23202 KEYBOARD.ROWS_EN[9]
.sym 23203 KEYBOARD.ROWS_EN[11]
.sym 23204 KEYBOARD.ROWS_EN[12]
.sym 23205 KEYBOARD.ROWS_EN[8]
.sym 23322 $abc$19660$n1247
.sym 23323 $abc$19660$n1249
.sym 23324 $abc$19660$n1251
.sym 23325 I2C.FLT_SCL.RESET
.sym 23326 rststate[3]
.sym 23327 rststate[1]
.sym 23328 rststate[2]
.sym 23395 last_wr
.sym 23396 I2C.wr
.sym 23397 I2C_COUNTER[6]
.sym 23398 $false
.sym 23413 $abc$19660$n666
.sym 23414 I2C.wr
.sym 23415 last_wr
.sym 23416 $abc$19660$n41$2
.sym 23425 I2C_TRANS
.sym 23426 last_trans
.sym 23427 I2C.FLT_SCL.RESET$2
.sym 23428 $false
.sym 23431 $2\I2C_OUT_DESC_MASK[7:0][7]
.sym 23432 $false
.sym 23433 $false
.sym 23434 $false
.sym 23441 $abc$19660$n63
.sym 23442 CLK$2$2
.sym 23443 $abc$19660$n19$2
.sym 23446 $abc$19660$n805
.sym 23447 $abc$19660$n806
.sym 23448 I2C.SDAF
.sym 23542 REPORT_DATA.r_data[1]
.sym 23543 I2C_HID_DESC.VAL[1]
.sym 23544 I2C_OUT_DESC_MASK[1]
.sym 23545 $false
.sym 23548 I2C.wr
.sym 23549 $false
.sym 23550 $false
.sym 23551 $false
.sym 23554 $abc$19660$n1671
.sym 23555 $abc$19660$n52$2
.sym 23556 $false
.sym 23557 $false
.sym 23564 $abc$19660$n41$2
.sym 23565 CLK$2$2
.sym 23566 $abc$19660$n19$2
.sym 23567 KEYBOARD.report_adress_rd[3]
.sym 23568 KEYBOARD.report_adress_rd[1]
.sym 23572 KEYBOARD.report_adress_rd[0]
.sym 23574 KEYBOARD.report_adress_rd[2]
.sym 23641 $abc$19660$n644
.sym 23642 I2C_HID_DESC.DESC_TYPE
.sym 23643 $false
.sym 23644 $false
.sym 23647 IS_RAM_INIT
.sym 23648 $abc$19660$n644
.sym 23649 I2C.FLT_SCL.RESET$2
.sym 23650 $false
.sym 23653 REPORT_DATA.r_data[2]
.sym 23654 I2C_HID_DESC.VAL[2]
.sym 23655 I2C_OUT_DESC_MASK[2]
.sym 23656 $false
.sym 23665 $2\I2C_OUT_DESC_MASK[7:0][7]
.sym 23666 $false
.sym 23667 $false
.sym 23668 $false
.sym 23671 $2\I2C_OUT_DESC_MASK[7:0][7]
.sym 23672 $false
.sym 23673 $false
.sym 23674 $false
.sym 23677 $2\I2C_OUT_DESC_MASK[7:0][7]
.sym 23678 $false
.sym 23679 $false
.sym 23680 $false
.sym 23687 $abc$19660$n63
.sym 23688 CLK$2$2
.sym 23689 $abc$19660$n19$2
.sym 23690 $abc$19660$n918_1
.sym 23691 $abc$19660$n926_1
.sym 23692 $abc$19660$n802
.sym 23693 $abc$19660$n913_1
.sym 23694 $abc$19660$n920_1
.sym 23696 $abc$19660$n916_1
.sym 23697 last_trans
.sym 23776 $false
.sym 23777 $false
.sym 23778 wr_cnt[0]
.sym 23779 $false
.sym 23782 KEYBOARD.report_adress_rd[0]
.sym 23783 KEYBOARD.report_adress_rd[1]
.sym 23784 $abc$19660$n926_1
.sym 23785 $false
.sym 23806 report_wr_en
.sym 23807 $abc$19660$n662
.sym 23808 IS_RAM_INIT
.sym 23809 $false
.sym 23810 $true
.sym 23811 CLK$2$2
.sym 23812 $abc$19660$n19$2
.sym 23815 $abc$19660$n735
.sym 23816 $abc$19660$n736
.sym 23820 $abc$19660$n658
.sym 23887 wr_cnt[0]
.sym 23888 wr_cnt[1]
.sym 23889 $abc$19660$n663
.sym 23890 $false
.sym 23893 KEYBOARD.isr
.sym 23894 last_isr
.sym 23895 $abc$19660$n46
.sym 23896 $abc$19660$n658
.sym 23899 $abc$19660$n732
.sym 23900 $abc$19660$n735
.sym 23901 $abc$19660$n736
.sym 23902 $false
.sym 23905 wr_cnt[2]
.sym 23906 wr_cnt[1]
.sym 23907 wr_cnt[0]
.sym 23908 wr_cnt[3]
.sym 23911 IS_RAM_INIT
.sym 23912 $abc$19660$n661_1
.sym 23913 $abc$19660$n891
.sym 23914 $abc$19660$n735
.sym 23917 $abc$19660$n891
.sym 23918 wr_cnt[0]
.sym 23919 wr_cnt[1]
.sym 23920 $false
.sym 23923 IS_RAM_INIT
.sym 23924 $abc$19660$n661_1
.sym 23925 $abc$19660$n732
.sym 23926 $abc$19660$n891
.sym 23929 IS_RAM_INIT
.sym 23930 $abc$19660$n661_1
.sym 23931 $abc$19660$n891
.sym 23932 $abc$19660$n736
.sym 23933 $abc$19660$n35
.sym 23934 CLK$2$2
.sym 23935 $abc$19660$n19$2
.sym 23937 $abc$19660$n1731
.sym 23942 $abc$19660$n1728
.sym 24022 $abc$19660$n1734
.sym 24023 $false
.sym 24024 $false
.sym 24025 $false
.sym 24028 $abc$19660$n1732
.sym 24029 $false
.sym 24030 $false
.sym 24031 $false
.sym 24052 $abc$19660$n1731
.sym 24053 $false
.sym 24054 $false
.sym 24055 $false
.sym 24056 $abc$19660$n198$2
.sym 24057 CLK$2$2
.sym 24058 $abc$19660$n16
.sym 24065 KEYBOARD.isr
.sym 24151 $abc$19660$n940_1
.sym 24152 KEYBOARD.report_wr_en
.sym 24153 $abc$19660$n695
.sym 24154 $abc$19660$n690
.sym 24179 $abc$19660$n204
.sym 24180 CLK$2$2
.sym 24181 $abc$19660$n19$2
.sym 24184 KEYBOARD.row_counter[1]
.sym 24256 $abc$19660$n1287
.sym 24257 $abc$19660$n1285
.sym 24258 $abc$19660$n1290
.sym 24259 $false
.sym 24286 $abc$19660$n1285
.sym 24287 $abc$19660$n1287
.sym 24288 $abc$19660$n1290
.sym 24289 $false
.sym 24292 KEYBOARD.isr
.sym 24293 $false
.sym 24294 $false
.sym 24295 $false
.sym 24302 $abc$19660$n41$2
.sym 24303 CLK$2$2
.sym 24304 $abc$19660$n19$2
.sym 24305 $abc$19660$n1730
.sym 24306 $abc$19660$n1729
.sym 24385 $abc$19660$n1733
.sym 24386 $false
.sym 24387 $false
.sym 24388 $false
.sym 24415 $abc$19660$n1728
.sym 24416 $false
.sym 24417 $false
.sym 24418 $false
.sym 24425 $abc$19660$n198$2
.sym 24426 CLK$2$2
.sym 24427 $abc$19660$n16
.sym 24502 $abc$19660$n1727
.sym 24503 $false
.sym 24504 $false
.sym 24505 $false
.sym 24514 $abc$19660$n1730
.sym 24515 $false
.sym 24516 $false
.sym 24517 $false
.sym 24526 $abc$19660$n1729
.sym 24527 $false
.sym 24528 $false
.sym 24529 $false
.sym 24548 $abc$19660$n198$2
.sym 24549 CLK$2$2
.sym 24550 $abc$19660$n16
.sym 24596 $false
.sym 24598 KEYBOARD.ROWS_EN[4]
.sym 24599 $false
.sym 24601 KEYBOARD.ROWS_EN[5]
.sym 24730 $abc$19660$n1681
.sym 24731 $false
.sym 24732 $false
.sym 24733 $false
.sym 24742 $abc$19660$n1626
.sym 24743 $false
.sym 24744 $false
.sym 24745 $false
.sym 24764 $abc$19660$n308
.sym 24765 CLK$2$2
.sym 24766 $abc$19660$n21
.sym 24847 $true
.sym 24884 UART.tx_bit_counter[0]$2
.sym 24885 $false
.sym 24886 UART.tx_bit_counter[0]
.sym 24887 $false
.sym 24888 $false
.sym 24890 $auto$alumacc.cc:474:replace_alu$5243.C[2]$2
.sym 24892 UART.tx_bit_counter[1]
.sym 24893 $true$2
.sym 24896 $auto$alumacc.cc:474:replace_alu$5243.C[3]
.sym 24898 UART.tx_bit_counter[2]
.sym 24899 $true$2
.sym 24900 $auto$alumacc.cc:474:replace_alu$5243.C[2]$2
.sym 24903 $false
.sym 24904 UART.tx_bit_counter[3]
.sym 24905 $false
.sym 24906 $auto$alumacc.cc:474:replace_alu$5243.C[3]
.sym 24909 UART.tx_bit_counter[0]
.sym 24910 UART.tx_bit_counter[1]
.sym 24911 UART.tx_bit_counter[2]
.sym 24912 UART.tx_activity
.sym 24915 UART.tx_bit_counter[3]
.sym 24916 $abc$19660$n802_1
.sym 24917 $false
.sym 24918 $false
.sym 24921 $false
.sym 24922 UART.tx_bit_counter[2]
.sym 24923 $false
.sym 24924 $auto$alumacc.cc:474:replace_alu$5243.C[2]
.sym 25040 $abc$19660$n1623
.sym 25041 $false
.sym 25042 $false
.sym 25043 $false
.sym 25058 $abc$19660$n1624
.sym 25059 $false
.sym 25060 $false
.sym 25061 $false
.sym 25086 $abc$19660$n308
.sym 25087 CLK$2$2
.sym 25088 $abc$19660$n21
.sym 25157 $true
.sym 25194 $abc$19660$n1625$2
.sym 25195 $false
.sym 25196 $abc$19660$n1625
.sym 25197 $false
.sym 25198 $false
.sym 25200 $auto$alumacc.cc:474:replace_alu$5154.C[2]
.sym 25202 $false
.sym 25203 $abc$19660$n1623
.sym 25206 $auto$alumacc.cc:474:replace_alu$5154.C[3]
.sym 25208 $false
.sym 25209 $abc$19660$n1682
.sym 25212 $abc$19660$n1554$2
.sym 25214 $false
.sym 25215 $abc$19660$n1627
.sym 25222 $abc$19660$n1554$2
.sym 25225 $abc$19660$n1681
.sym 25226 $false
.sym 25227 $false
.sym 25228 $false
.sym 25237 $abc$19660$n1626
.sym 25238 $false
.sym 25239 $false
.sym 25240 $false
.sym 25312 $true
.sym 25349 $abc$19660$n1625$3
.sym 25350 $false
.sym 25351 $abc$19660$n1625
.sym 25352 $false
.sym 25353 $false
.sym 25355 $auto$alumacc.cc:474:replace_alu$5246.C[2]
.sym 25357 $false
.sym 25358 $abc$19660$n1623
.sym 25362 $false
.sym 25363 $false
.sym 25364 $abc$19660$n1682
.sym 25365 $auto$alumacc.cc:474:replace_alu$5246.C[2]
.sym 25368 $false
.sym 25369 $abc$19660$n19$2
.sym 25370 rststate[0]
.sym 25371 $false
.sym 25374 $abc$19660$n898
.sym 25375 $abc$19660$n1245
.sym 25376 $false
.sym 25377 $false
.sym 25392 SCL$2
.sym 25393 $false
.sym 25394 $false
.sym 25395 $false
.sym 25396 $true
.sym 25397 CLK$2$2
.sym 25398 $false
.sym 25511 $abc$19660$n1729
.sym 25512 $false
.sym 25513 $false
.sym 25514 $false
.sym 25529 $abc$19660$n1728
.sym 25530 $false
.sym 25531 $false
.sym 25532 $false
.sym 25535 $abc$19660$n1730
.sym 25536 $false
.sym 25537 $false
.sym 25538 $false
.sym 25541 $abc$19660$n1731
.sym 25542 $false
.sym 25543 $false
.sym 25544 $false
.sym 25547 $abc$19660$n1727
.sym 25548 $false
.sym 25549 $false
.sym 25550 $false
.sym 25551 $abc$19660$n198$2
.sym 25552 CLK$2$2
.sym 25553 $abc$19660$n17
.sym 25556 SCL$2
.sym 25622 $false
.sym 25659 $auto$alumacc.cc:474:replace_alu$5213.C[1]
.sym 25661 $abc$19660$n19$2
.sym 25662 rststate[0]
.sym 25665 $auto$alumacc.cc:474:replace_alu$5213.C[2]
.sym 25666 $false
.sym 25667 $false
.sym 25668 rststate[1]
.sym 25669 $auto$alumacc.cc:474:replace_alu$5213.C[1]
.sym 25671 $auto$alumacc.cc:474:replace_alu$5213.C[3]
.sym 25672 $false
.sym 25673 $false
.sym 25674 rststate[2]
.sym 25675 $auto$alumacc.cc:474:replace_alu$5213.C[2]
.sym 25678 $false
.sym 25679 $false
.sym 25680 rststate[3]
.sym 25681 $auto$alumacc.cc:474:replace_alu$5213.C[3]
.sym 25684 rststate[3]
.sym 25685 rststate[2]
.sym 25686 rststate[1]
.sym 25687 rststate[0]
.sym 25690 $abc$19660$n898
.sym 25691 $abc$19660$n1251
.sym 25692 $false
.sym 25693 $false
.sym 25696 $abc$19660$n898
.sym 25697 $abc$19660$n1247
.sym 25698 $false
.sym 25699 $false
.sym 25702 $abc$19660$n898
.sym 25703 $abc$19660$n1249
.sym 25704 $false
.sym 25705 $false
.sym 25706 $true
.sym 25707 CLK$2$2
.sym 25708 $false
.sym 25709 I2C.SDA_IN
.sym 25777 $true
.sym 25814 KEYBOARD.report_adress_rd[0]$2
.sym 25815 $false
.sym 25816 KEYBOARD.report_adress_rd[0]
.sym 25817 $false
.sym 25818 $false
.sym 25820 $auto$alumacc.cc:474:replace_alu$5228.C[2]
.sym 25822 $false
.sym 25823 KEYBOARD.report_adress_rd[1]
.sym 25826 $auto$alumacc.cc:474:replace_alu$5228.C[3]
.sym 25827 $false
.sym 25828 $false
.sym 25829 KEYBOARD.report_adress_rd[2]
.sym 25830 $auto$alumacc.cc:474:replace_alu$5228.C[2]
.sym 25833 $false
.sym 25834 $false
.sym 25835 KEYBOARD.report_adress_rd[3]
.sym 25836 $auto$alumacc.cc:474:replace_alu$5228.C[3]
.sym 25839 I2C.SDA_IN
.sym 25840 $false
.sym 25841 $false
.sym 25842 $false
.sym 25861 $true
.sym 25862 CLK$2$2
.sym 25863 $false
.sym 25970 $abc$19660$n806
.sym 25971 $abc$19660$n690
.sym 25972 $abc$19660$n920_1
.sym 25973 KEYBOARD.IS_RAM_INIT$2
.sym 25976 $abc$19660$n916_1
.sym 25977 $abc$19660$n914_1
.sym 25978 KEYBOARD.report_adress_rd[0]
.sym 25979 KEYBOARD.report_adress_rd[1]
.sym 26000 $abc$19660$n802
.sym 26001 $abc$19660$n690
.sym 26002 $abc$19660$n913_1
.sym 26003 KEYBOARD.IS_RAM_INIT$2
.sym 26012 $abc$19660$n805
.sym 26013 $abc$19660$n914_1
.sym 26014 $abc$19660$n918_1
.sym 26015 $false
.sym 26016 $abc$19660$n177
.sym 26017 CLK$2$2
.sym 26018 $abc$19660$n19$2
.sym 26125 KEYBOARD.isr_internal
.sym 26126 wr_cnt[2]
.sym 26127 $abc$19660$n690
.sym 26128 KEYBOARD.IS_RAM_INIT$2
.sym 26131 $abc$19660$n802
.sym 26132 $abc$19660$n805
.sym 26133 $abc$19660$n806
.sym 26134 KEYBOARD.IS_RAM_INIT$2
.sym 26137 $false
.sym 26138 $false
.sym 26139 KEYBOARD.report_adress_rd[0]
.sym 26140 $false
.sym 26143 KEYBOARD.isr_internal
.sym 26144 wr_cnt[0]
.sym 26145 $abc$19660$n914_1
.sym 26146 $abc$19660$n802
.sym 26149 KEYBOARD.isr_internal
.sym 26150 wr_cnt[3]
.sym 26151 $abc$19660$n914_1
.sym 26152 $abc$19660$n806
.sym 26161 KEYBOARD.isr_internal
.sym 26162 wr_cnt[1]
.sym 26163 $abc$19660$n690
.sym 26164 KEYBOARD.IS_RAM_INIT$2
.sym 26167 I2C_TRANS
.sym 26168 $false
.sym 26169 $false
.sym 26170 $false
.sym 26171 $abc$19660$n41$2
.sym 26172 CLK$2$2
.sym 26173 $abc$19660$n19$2
.sym 26242 $true
.sym 26279 wr_cnt[0]$2
.sym 26280 $false
.sym 26281 wr_cnt[0]
.sym 26282 $false
.sym 26283 $false
.sym 26285 $auto$alumacc.cc:474:replace_alu$5201.C[2]
.sym 26287 $false
.sym 26288 wr_cnt[1]
.sym 26291 $auto$alumacc.cc:474:replace_alu$5201.C[3]
.sym 26292 $false
.sym 26293 $false
.sym 26294 wr_cnt[2]
.sym 26295 $auto$alumacc.cc:474:replace_alu$5201.C[2]
.sym 26298 $false
.sym 26299 $false
.sym 26300 wr_cnt[3]
.sym 26301 $auto$alumacc.cc:474:replace_alu$5201.C[3]
.sym 26322 wr_cnt[0]
.sym 26323 wr_cnt[1]
.sym 26324 wr_cnt[2]
.sym 26325 wr_cnt[3]
.sym 26441 $abc$19660$n1287
.sym 26442 $abc$19660$n1285
.sym 26443 $abc$19660$n1290
.sym 26444 $false
.sym 26471 $abc$19660$n1287
.sym 26472 $abc$19660$n1290
.sym 26473 $abc$19660$n1285
.sym 26474 $false
.sym 26626 KEYBOARD.isr_internal
.sym 26627 $false
.sym 26628 $false
.sym 26629 $false
.sym 26636 $abc$19660$n207
.sym 26637 CLK$2$2
.sym 26638 $abc$19660$n19$2
.sym 26757 $abc$19660$n1287
.sym 26758 $false
.sym 26759 $false
.sym 26760 $false
.sym 26791 $abc$19660$n198$2
.sym 26792 CLK$2$2
.sym 26793 $false
.sym 26900 $abc$19660$n1290
.sym 26901 $abc$19660$n1285
.sym 26902 $abc$19660$n1287
.sym 26903 $false
.sym 26906 $abc$19660$n1285
.sym 26907 $abc$19660$n1290
.sym 26908 $abc$19660$n1287
.sym 26909 $false
.sym 27189 $false
.sym 27191 KEYBOARD.ROWS_EN[6]
.sym 27192 $false
.sym 27194 KEYBOARD.ROWS_EN[7]
.sym 27283 $false
.sym 27285 KEYBOARD.ROWS_EN[8]
.sym 27310 $false
.sym 27312 KEYBOARD.ROWS_EN[9]
.sym 27313 $false
.sym 27315 KEYBOARD.ROWS_EN[10]
.sym 27370 $false
.sym 27372 KEYBOARD.ROWS_EN[11]
.sym 27373 $false
.sym 27375 KEYBOARD.ROWS_EN[12]
.sym 27400 COM_DCD$2
.sym 27429 I2C.FLT_SCL.RESET
.sym 27430 $false
.sym 27432 I2C.SDA_DIR
.sym 27459 $abc$19660$n52
.sym 27463 I2C_TRANS
.sym 27519 LED4$2
.sym 27522 LED3$2
.sym 27549 LED2$2
.sym 27552 LED1$2