You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
fs-TrellisBoard/hardware/ecp5_mainboard/sym-lib-table

10 lines
723 B

(sym_lib_table
(lib (name ECP5UM5G)(type Legacy)(uri ${KIPRJMOD}/../lib/ecp5um5g.lib)(options "")(descr ""))
(lib (name eco_connectors)(type Legacy)(uri ${KIPRJMOD}/../lib/eco_connectors.lib)(options "")(descr ""))
(lib (name eco_power)(type Legacy)(uri ${KIPRJMOD}/../lib/eco_power.lib)(options "")(descr ""))
(lib (name eco_virtual)(type Legacy)(uri ${KIPRJMOD}/../lib/eco_virtual.lib)(options "")(descr ""))
(lib (name eco_memory)(type Legacy)(uri ${KIPRJMOD}/../lib/eco_memory.lib)(options "")(descr ""))
(lib (name eco_glue)(type Legacy)(uri ${KIPRJMOD}/../lib/eco_glue.lib)(options "")(descr ""))
(lib (name eco_interface)(type Legacy)(uri ${KIPRJMOD}/../lib/eco_interface.lib)(options "")(descr ""))
)